content
stringlengths
1
1.04M
-- -- DSI Shield -- Copyright (C) 2013-2014 twl <[email protected]> -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 3 of the License, or (at your option) any later version. -- -- This library is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the Free Software -- Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA -- -- -- rev2_top.vhd - top level for rev 2.2. PCB FPGA -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.gencores_pkg.all; use work.wishbone_pkg.all; library unisim; use unisim.vcomponents.all; -- Table 1: PLL Settings for the supported displays. -- Display Type Refresh Mul Sys_Div Phy_Div PHY_Freq Clock period -- Droid DNA 48 Hz 26 7 1 650 MHz 1538 ps -- Optimus P880 60 Hz 30 8 2 375 MHz 2666 ps -- Iphone 4 60 Hz 31 8 2 387.5 MHz 2580 ps entity rev2_top is generic ( g_with_hdmi : boolean := true; -- DDR clock-to-data delay g_data_delay : integer := 0; --70; -- DDR data-to-DQs delay g_dqs_delay : integer := 40; --140; --75 - 45; g_clock_delay : integer := 40; --70; g_addr_delay : integer := 0; --70; -- PLL configuration: -- Fsys = 25 MHz * g_pll_mul / g_pll_sys_div -- Fphy = 25 MHz * g_pll_mul / g_pll_phy_div -- PLL multiplier g_pll_mul : integer := 31; -- System clock PLL divider g_pll_sys_div : integer := 8; -- DSI PHY clock PLL divider g_pll_phy_div : integer := 2; -- DSI PHY clock period, in picoseconds g_clock_period_ps : integer := 1538; g_simulation : boolean := false ); port ( clk_25m_i : in std_logic; uart_txd_o : out std_logic; uart_rxd_i : in std_logic; ------------------------------------------------------------------------------- -- HDMI ------------------------------------------------------------------------------- hdmi_rx_p_i : in std_logic_vector(3 downto 0); hdmi_rx_n_i : in std_logic_vector(3 downto 0); hdmi_scl_b : inout std_logic; hdmi_sda_b : inout std_logic; hdmi_hpd_o : out std_logic; hdmi_p5v_notif_i : in std_logic; ------------------------------------------------------------------------------- -- SDRAM ------------------------------------------------------------------------------- sdram_clk_p : out std_logic; sdram_clk_n : out std_logic; sdram_cke : out std_logic; sdram_cs_n : out std_logic; sdram_we_n : out std_logic; sdram_cas_n : out std_logic; sdram_ras_n : out std_logic; sdram_adr : out std_logic_vector(12 downto 0); sdram_ba : out std_logic_vector(1 downto 0); sdram_dm : out std_logic_vector(1 downto 0); sdram_dq : inout std_logic_vector(15 downto 0); sdram_dqs : inout std_logic_vector(1 downto 0); ------------------------------------------------------------------------------- -- DSI ports ------------------------------------------------------------------------------- dsi_clk_p_o : out std_logic; dsi_clk_n_o : out std_logic; dsi_clk_lp_p_o : out std_logic; dsi_clk_lp_n_o : out std_logic; dsi_hs_p_o : out std_logic_vector(3 downto 0); dsi_hs_n_o : out std_logic_vector(3 downto 0); dsi_lp_p_o : out std_logic_vector(3 downto 0); dsi_lp_n_o : out std_logic_vector(3 downto 0); dsi_resetb_o : out std_logic; dsi_gpio0_o : out std_logic; dsi_gpio1_o : out std_logic; lcd_pwren_o : out std_logic; bl_dim_o : out std_logic; vid_resetn_o : out std_logic; -- SPI Flash interface (bit-banged) spi_cs_n_rst_b : inout std_logic; spi_mosi_o : out std_logic; spi_miso_i : in std_logic; spi_sck_o : out std_logic; dbg_o : out std_logic_vector(3 downto 0) ); end rev2_top; architecture rtl of rev2_top is constant c_fml_depth : integer := 25; component dsi_core is generic( g_pixels_per_clock : integer := 2; g_lanes : integer := 4; g_fifo_size : integer := 4096; g_invert_lanes : integer := 5; -- lanes 0 and 2 inverted IP4 g_invert_clock : integer := 0; --g_invert_lanes : integer := 5; -- lanes 0 and 2 inverted E980 --g_invert_clock : integer := 1; -- clock inverted g_clock_period_ps : integer := 2000 ); port( clk_sys_i : in std_logic; clk_dsi_i : in std_logic; clk_phy_i : in std_logic; clk_dsi_shifted_i : in std_logic; clk_phy_shifted_i : in std_logic; rst_n_i : in std_logic; pll_locked_i : in std_logic; pix_almost_full_o : out std_logic; pix_i : in std_logic_vector (24 * g_pixels_per_clock-1 downto 0) := (others => '0'); pix_wr_i : in std_logic; pix_vsync_i : in std_logic; pix_next_frame_o : out std_logic; dsi_clk_p_o : out std_logic; dsi_clk_n_o : out std_logic; dsi_clk_lp_p_o : out std_logic; dsi_clk_lp_n_o : out std_logic; dsi_clk_lp_oe_o : out std_logic; dsi_hs_p_o : out std_logic_vector(g_lanes-1 downto 0); dsi_hs_n_o : out std_logic_vector(g_lanes-1 downto 0); dsi_lp_p_o : out std_logic_vector(g_lanes-1 downto 0); dsi_lp_n_o : out std_logic_vector(g_lanes-1 downto 0); dsi_lp_oe_o : out std_logic_vector(g_lanes-1 downto 0); dsi_reset_n_o : out std_logic; dsi_gpio_o : out std_logic_vector(2 downto 0); wb_adr_i : in std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_we_i : in std_logic; wb_stb_i : in std_logic; wb_sel_i : in std_logic_vector(3 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_stall_o : out std_logic; wb_ack_o : out std_logic ); end component; component fml_wb_bridge generic ( sdram_depth : integer := 26); port ( clk_sys_i : in std_logic; rst_n_i : in std_logic; fml_adr : out std_logic_vector(sdram_depth-1 downto 0); fml_stb : out std_logic; fml_we : out std_logic; fml_ack : in std_logic; fml_sel : out std_logic_vector(3 downto 0); fml_di : in std_logic_vector(31 downto 0); fml_do : out std_logic_vector(31 downto 0); wb_adr_i : in std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_we_i : in std_logic; wb_stb_i : in std_logic; wb_sel_i : in std_logic_vector(3 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_stall_o : out std_logic; wb_ack_o : out std_logic ); end component; component fmlarb generic( fml_depth : integer; fml_width : integer); port ( sys_clk : in std_logic; sys_rst : in std_logic; -- Interface 0 has higher priority than the others m0_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m0_stb : in std_logic := '0'; m0_we : in std_logic := '0'; m0_ack : out std_logic; m0_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m0_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m0_do : out std_logic_vector(fml_width-1 downto 0); m1_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m1_stb : in std_logic := '0'; m1_we : in std_logic := '0'; m1_ack : out std_logic; m1_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m1_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m1_do : out std_logic_vector(fml_width-1 downto 0); m2_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m2_stb : in std_logic := '0'; m2_we : in std_logic := '0'; m2_ack : out std_logic; m2_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m2_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m2_do : out std_logic_vector(fml_width-1 downto 0); m3_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m3_stb : in std_logic := '0'; m3_we : in std_logic := '0'; m3_ack : out std_logic; m3_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m3_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m3_do : out std_logic_vector(fml_width-1 downto 0); m4_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m4_stb : in std_logic := '0'; m4_we : in std_logic := '0'; m4_ack : out std_logic; m4_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m4_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m4_do : out std_logic_vector(fml_width-1 downto 0); m5_adr : in std_logic_vector(fml_depth-1 downto 0) := (others => '0'); m5_stb : in std_logic := '0'; m5_we : in std_logic := '0'; m5_ack : out std_logic; m5_sel : in std_logic_vector(fml_width/8-1 downto 0) := (others => '0'); m5_di : in std_logic_vector(fml_width-1 downto 0) := (others => '0'); m5_do : out std_logic_vector(fml_width-1 downto 0); s_adr : out std_logic_vector(fml_depth-1 downto 0); s_stb : out std_logic; s_we : out std_logic; s_eack : in std_logic; s_sel : out std_logic_vector(fml_width/8-1 downto 0); s_di : in std_logic_vector(fml_width-1 downto 0); s_do : out std_logic_vector(fml_width-1 downto 0) ); end component; component hpdmc generic ( csr_addr : integer := 0; sdram_depth : integer := 25; sdram_columndepth : integer := 10; data_delay : integer := 0; dqs_delay : integer := 0; clock_delay : integer := 0; addr_delay : integer := 0 ); port ( sys_clk : in std_logic; sys_clk_n : in std_logic; sys_rst : in std_logic; csr_a : in std_logic_vector(13 downto 0); csr_we : in std_logic; csr_di : in std_logic_vector(31 downto 0); csr_do : out std_logic_vector(31 downto 0); fml_adr : in std_logic_vector(sdram_depth-1 downto 0); fml_stb : in std_logic; fml_we : in std_logic; fml_eack : out std_logic; fml_sel : in std_logic_vector(3 downto 0); fml_di : in std_logic_vector(31 downto 0); fml_do : out std_logic_vector(31 downto 0); sdram_clk_p : out std_logic; sdram_clk_n : out std_logic; sdram_cke : out std_logic; sdram_cs_n : out std_logic; sdram_we_n : out std_logic; sdram_cas_n : out std_logic; sdram_ras_n : out std_logic; sdram_adr : out std_logic_vector(12 downto 0); sdram_ba : out std_logic_vector(1 downto 0); sdram_dm : out std_logic_vector(1 downto 0); sdram_dq : inout std_logic_vector(15 downto 0); sdram_dqs : inout std_logic_vector(1 downto 0) ); end component; component reset_gen is port ( clk_sys_i : in std_logic; spi_cs_n_rst_i : in std_logic; mask_reset_i : in std_logic; rst_sys_n_o : out std_logic); end component reset_gen; component pll_drp_sequencer port ( clk_sys_i : in std_logic; clk_reconf_i : in std_logic; r_pll_ctl0_i : in std_logic_vector(31 downto 0); r_pll_ctl1_i : in std_logic_vector(31 downto 0); r_pll_status_o : out std_logic_vector(31 downto 0); pll_locked_i : in std_logic; pll_rst_o : out std_logic; pll_di_o : out std_logic_vector(15 downto 0); pll_do_i : in std_logic_vector(15 downto 0); pll_drdy_i : in std_logic; pll_daddr_o : out std_logic_vector(4 downto 0); pll_den_o : out std_logic; pll_dwe_o : out std_logic; busy_o : out std_logic ); end component; component fml_framebuffer generic ( g_fml_depth : integer ); port ( clk_sys_i : in std_logic; rst_n_i : in std_logic; pix_almost_full_i : in std_logic; pix_wr_o : out std_logic; pix_o : out std_logic_vector(47 downto 0); pix_vsync_o : out std_logic; pix_next_frame_i : in std_logic; fml_adr : out std_logic_vector(g_fml_depth-1 downto 0); fml_stb : out std_logic; fml_we : out std_logic; fml_ack : in std_logic; fml_sel : out std_logic_vector(3 downto 0); fml_di : in std_logic_vector(31 downto 0); r_fb_enable_i : in std_logic; r_fb_pix32_i : in std_logic; r_fb_addr_i : in std_logic_vector(g_fml_depth-1 downto 0); r_fb_size_i : in std_logic_vector(g_fml_depth-1 downto 0) ); end component; component sysctl_regs generic ( g_fml_depth : integer; g_default_pll_mul : integer; g_default_pll_sys_div : integer ); port ( clk_sys_i : in std_logic; rst_n_i : in std_logic; wb_adr_i : in std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_we_i : in std_logic; wb_stb_i : in std_logic; wb_sel_i : in std_logic_vector(3 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_stall_o : out std_logic; wb_ack_o : out std_logic; r_fb_enable_o : out std_logic; r_fb_pix32_o : out std_logic; r_fb_addr_o : out std_logic_vector(g_fml_depth-1 downto 0); r_fb_size_o : out std_logic_vector(g_fml_depth-1 downto 0); r_mixer_ctl_i : in std_logic_vector(7 downto 0); r_mixer_ctl_o : out std_logic_vector(7 downto 0); r_edid_addr_o : out std_logic_vector(7 downto 0); r_edid_data_o : out std_logic_vector(7 downto 0); r_edid_wr_o : out std_logic; r_pwm_ctl_o : out std_logic_vector(7 downto 0); r_pll_ctl0_o : out std_logic_vector(31 downto 0); r_pll_ctl1_o : out std_logic_vector(31 downto 0); r_pll_status_i : in std_logic_vector(31 downto 0); r_gpio_o : out std_logic_vector(31 downto 0); r_gpio_i : in std_logic_vector(31 downto 0) ); end component; component dvi_decoder port ( tmdsclk_p : in std_logic; tmdsclk_n : in std_logic; blue_p : in std_logic; blue_n : in std_logic; green_p : in std_logic; green_n : in std_logic; red_p : in std_logic; red_n : in std_logic; exrst : in std_logic; pclk : out std_logic; hsync : out std_logic; vsync : out std_logic; de : out std_logic; red : out std_logic_vector(7 downto 0); green : out std_logic_vector(7 downto 0); blue : out std_logic_vector(7 downto 0); green_vld : out std_logic; pixel : out std_logic_vector(47 downto 0); pixel_valid : out std_logic; link_up : out std_logic ); end component; component edid_eeprom is port( clk_sys_i : in std_logic; rst_n_i : in std_logic; scl_b : inout std_logic; sda_b : inout std_logic; edid_en_i : in std_logic; scl_master_i : in std_logic; sda_master_i : in std_logic; sda_master_o : out std_logic; hdmi_p5v_notif_i : in std_logic; hdmi_hpd_en_o : out std_logic; addr_i : in std_logic_vector(7 downto 0); data_i : in std_logic_vector(7 downto 0); wr_i : in std_logic); end component; component video_mixer is port( clk_sys_i : in std_logic; clk_dvi_i : in std_logic; rst_n_i : in std_logic; fb_almost_full_o : out std_logic; fb_wr_i : in std_logic; fb_pixel_i : in std_logic_vector(47 downto 0); fb_vsync_i : in std_logic; fb_next_frame_o : out std_logic; dvi_de_i : in std_logic; dvi_hsync_i : in std_logic; dvi_vsync_i : in std_logic; dvi_pixel_i : in std_logic_vector(47 downto 0); dvi_valid_i : in std_logic; dvi_link_up_i : in std_logic; dsif_almost_full_i : in std_logic; dsif_wr_o : out std_logic; dsif_pix_o : out std_logic_vector(47 downto 0); dsif_vsync_o : out std_logic; dsif_next_frame_i : in std_logic; mixer_ctl_i : in std_logic_vector(7 downto 0); mixer_ctl_o : out std_logic_vector(7 downto 0) ); end component; constant c_cnx_slave_ports : integer := 1; constant c_cnx_master_ports : integer := 6; constant c_master_cpu_i : integer := 0; constant c_slave_dpram : integer := 0; constant c_slave_uart : integer := 1; constant c_slave_dsi : integer := 2; constant c_slave_ddram_csr : integer := 3; constant c_slave_csr : integer := 4; constant c_slave_ddram_mem : integer := 5; signal cnx_slave_in : t_wishbone_slave_in_array(c_cnx_slave_ports-1 downto 0); signal cnx_slave_out : t_wishbone_slave_out_array(c_cnx_slave_ports-1 downto 0); signal cnx_master_in : t_wishbone_master_in_array(c_cnx_master_ports-1 downto 0); signal cnx_master_out : t_wishbone_master_out_array(c_cnx_master_ports-1 downto 0); constant c_cfg_base_addr : t_wishbone_address_array(c_cnx_master_ports-1 downto 0) := (0 => x"00000000", -- 64KB of fpga memory 1 => x"c0010000", 2 => x"c0020000", 3 => x"c0030000", 4 => x"c0040000", 5 => x"80000000"); -- DDR constant c_cfg_base_mask : t_wishbone_address_array(c_cnx_master_ports-1 downto 0) := (0 => x"ffff0000", 1 => x"ffff0000", 2 => x"ffff0000", 3 => x"ffff0000", 4 => x"ffff0000", 5 => x"c0000000"); signal cpu_iwb_out : t_wishbone_master_out; signal cpu_iwb_in : t_wishbone_master_in; signal rst_n_sys, rst_sys, rst_n_dsi, clk_phy, clk_sys, clk_dsi, clk_sys_n, pll_locked_n, dsi_wr : std_logic; signal dsi_lp_p_int, dsi_lp_n_int, dsi_lp_oe : std_logic_vector(3 downto 0); signal dsi_clk_lp_p, dsi_clk_lp_n, dsi_clk_lp_oe : std_logic; attribute keep : string; attribute keep of clk_phy : signal is "true"; attribute keep of clk_sys : signal is "true"; attribute keep of clk_sys_n : signal is "true"; attribute keep of clk_dsi : signal is "true"; signal csr_we : std_logic; signal dsi_wr_sync : std_logic_vector(7 downto 0); signal pll_clk_in, pll_clk_fb, pll_clk_dsi : std_logic; signal pll_clk_sys, pll_clk_sys_n : std_logic; signal dsif_almost_full : std_logic; signal dsif_wr : std_logic; signal dsif_pix : std_logic_vector(47 downto 0); signal dsif_vsync : std_logic; signal dsif_next_frame : std_logic; signal fb_almost_full : std_logic; signal fb_wr : std_logic; signal fb_pix : std_logic_vector(47 downto 0); signal fb_vsync : std_logic; signal fb_next_frame : std_logic; type t_fml_link is record adr : std_logic_vector(24 downto 0); stb, we, ack, eack : std_logic; d_m2s : std_logic_vector(31 downto 0); d_s2m : std_logic_vector(31 downto 0); sel : std_logic_vector(3 downto 0); end record; signal ddrc, fwb, frameb : t_fml_link; signal hdmi_link_up, hdmi_pclk, hdmi_vsync, hdmi_hsync, hdmi_de, hdmi_valid : std_logic; signal hdmi_pixel : std_logic_vector(47 downto 0); signal r_mixer_ctl_tomix, r_mixer_ctl_tocsr : std_logic_vector(7 downto 0); signal r_edid_addr, r_edid_data : std_logic_vector(7 downto 0); signal r_edid_wr : std_logic; signal r_fb_pix32, r_fb_enable : std_logic; signal r_fb_addr, r_fb_size : std_logic_vector(c_fml_depth-1 downto 0); signal r_gpio_in, r_gpio_out : std_logic_vector(31 downto 0); signal r_pll_ctl1, r_pll_ctl0, r_pll_status : std_logic_vector(31 downto 0); signal r_pwm_ctl : std_logic_vector(7 downto 0); signal dsi_gpio : std_logic_vector(2 downto 0); signal pwm_prescaler : unsigned(6 downto 0); signal pwm_count : unsigned(4 downto 0); -- 100 MHz / 4096 = 5 kHz component xurv_core is generic ( g_internal_ram_size : integer; g_internal_ram_init_file : string; g_simulation : boolean); port ( clk_sys_i : in std_logic; rst_n_i : in std_logic; cpu_rst_i : in std_logic := '0'; irq_i : in std_logic_vector(7 downto 0) := x"00"; dwb_o : out t_wishbone_master_out; dwb_i : in t_wishbone_master_in; host_slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; host_slave_o : out t_wishbone_slave_out); end component xurv_core; component chipscope_icon is port ( CONTROL0 : inout std_logic_vector(35 downto 0)); end component chipscope_icon; component chipscope_ila is port ( CONTROL : inout std_logic_vector(35 downto 0); CLK : in std_logic; TRIG0 : in std_logic_vector(7 downto 0)); end component chipscope_ila; signal CONTROL : std_logic_vector(35 downto 0); signal TRIG0 : std_logic_vector(7 downto 0); signal uart_txd_int : std_logic; signal clk_phy_shifted : std_logic; signal clk_dsi_shifted : std_logic; signal pll_clk_dsi_shifted : std_logic; signal pll_sys_clk_sel, pll_clk_sys_buf : std_logic; signal pll_rst : std_logic; signal pll_do : std_logic_vector(15 downto 0); signal pll_den : std_logic; signal pll_dwe : std_logic; signal pll_daddr : std_logic_vector(4 downto 0); signal pll_di : std_logic_vector(15 downto 0); signal pll_drdy : std_logic; signal pll_locked : std_logic; signal backlight_pwm : std_logic; signal dcm_clk_sys, dcm_clk_sys_n : std_logic; signal pll_locked_synced : std_logic; signal pll_locked_n_masked : std_logic; signal pll_reconfiguring : std_logic; signal pll_clk_in_bufio : std_logic; signal pll_clk_in_reconf : std_logic; signal mask_reset_input : std_logic; signal rst_n_sys_pre : std_logic; begin -- rtl uart_txd_o <= uart_txd_int; rst_sys <= not rst_n_sys; U_Sync_DSI_Reset : gc_sync_ffs port map ( clk_i => clk_dsi, rst_n_i => '1', data_i => rst_n_sys, synced_o => rst_n_dsi); --BUFIO2_1: BUFIO2 -- generic map ( -- DIVIDE_BYPASS => true, -- DIVIDE => 1, -- I_INVERT => false, -- USE_DOUBLER => false) -- port map ( -- DIVCLK => pll_clk_in, -- IOCLK => open, -- SERDESSTROBE => open, -- I => clk_25m_i); U_IbufG_CLKIn : IBUFG port map ( I => clk_25m_i, O => pll_clk_in ); -- U_IbufG_CLKIn: BUFG -- port map ( -- I => pll_clk_in, -- O => pll_clk_in_reconf -- ); pll_clk_in_reconf <= pll_clk_in; U_PLL : PLL_ADV generic map ( BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "SYSTEM_SYNCHRONOUS", DIVCLK_DIVIDE => 1, CLKFBOUT_MULT => g_pll_mul, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => g_pll_phy_div, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => g_pll_phy_div, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DIVIDE => g_pll_phy_div * 8, CLKOUT2_PHASE => 0.000, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT3_DIVIDE => g_pll_phy_div * 8, CLKOUT3_PHASE => 0.000, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT4_DIVIDE => g_pll_sys_div, CLKOUT4_PHASE => 0.000, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT5_DIVIDE => g_pll_sys_div, CLKOUT5_PHASE => 180.000, CLKOUT5_DUTY_CYCLE => 0.500, CLKIN1_PERIOD => 40.000, CLKIN2_PERIOD => 40.000, REF_JITTER => 0.010, SIM_DEVICE => "SPARTAN6") port map ( CLKIN1 => pll_clk_in, CLKIN2 => '0', CLKINSEL => '1', -- select CLKIN1 CLKFBOUT => pll_clk_fb, CLKOUT0 => clk_phy, CLKOUT1 => clk_phy_shifted, CLKOUT2 => open, CLKOUT3 => pll_clk_dsi, CLKOUT4 => pll_clk_sys, CLKOUT5 => pll_clk_sys_n, LOCKED => pll_locked, RST => pll_rst, CLKFBIN => pll_clk_fb, DO => pll_do, DI => pll_di, DRDY => pll_drdy, DADDR => pll_daddr, DCLK => pll_clk_in_reconf, DEN => pll_den, DWE => pll_dwe, REL => '0' ); U_PLL_Reconf : pll_drp_sequencer port map ( clk_sys_i => clk_sys, clk_reconf_i => pll_clk_in_reconf, r_pll_ctl0_i => r_pll_ctl0, r_pll_ctl1_i => r_pll_ctl1, r_pll_status_o => r_pll_status, pll_locked_i => pll_locked, pll_rst_o => pll_rst, pll_di_o => pll_di, pll_do_i => pll_do, pll_drdy_i => pll_drdy, pll_daddr_o => pll_daddr, pll_den_o => pll_den, pll_dwe_o => pll_dwe, busy_o => pll_reconfiguring); pll_locked_n <= not pll_locked; U_BufG_CLK_DSI : BUFG port map ( I => pll_clk_dsi, O => clk_dsi); --U_BufG_CLK_DSI_Shifted: BUFG -- port map ( -- I => pll_clk_dsi_shifted, -- O => clk_dsi_shifted); U_BufG_CLK_SYS : BUFG port map ( I => pll_clk_sys, O => clk_sys); --U_BufG_CLK_SYS : BUFGCE -- port map ( -- O => clk_sys, -- I => pll_clk_sys, -- CE => pll_reconfiguring); --U_BufG_CLK_SYS_N : BUFGCE -- port map ( -- O => clk_sys_n, -- I => pll_clk_sys_n, -- CE => pll_reconfiguring); --U_BufG_CLK_DSI : BUFGMUX -- port map ( -- O => clk_dsi, -- I0 => pll_clk_dsi, -- I1 => '0', -- S => pll_reconfiguring); U_BufG_CLK_SYS_N : BUFG port map ( I => pll_clk_sys_n, O => clk_sys_n); pll_locked_n_masked <= pll_locked_n or pll_rst; --dbg_o(0) <= clk_sys_div2; --dbg_o(1) <= clk_sys_sh_div2; --dbg_o(2) <= clk_dsi_div2; --dbg_o(3) <= rst_n_sys; dbg_o <= (others => '0'); U_Sync_PLL_Lock : gc_sync_ffs port map ( clk_i => clk_sys, rst_n_i => '1', data_i => pll_locked, synced_o => pll_locked_synced); U_Reset_Gen : reset_gen port map ( clk_sys_i => clk_sys, mask_reset_i => mask_reset_input, spi_cs_n_rst_i => spi_cs_n_rst_b, rst_sys_n_o => rst_n_sys_pre); rst_n_sys <= rst_n_sys_pre and pll_locked_synced; -- and rst_n_sys_pre; U_Intercon : xwb_crossbar generic map ( g_num_masters => c_cnx_slave_ports, g_num_slaves => c_cnx_master_ports, g_registered => true, g_address => c_cfg_base_addr, g_mask => c_cfg_base_mask) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, slave_i => cnx_slave_in, slave_o => cnx_slave_out, master_i => cnx_master_in, master_o => cnx_master_out); U_CPU : xurv_core generic map ( g_internal_ram_size => 32768, g_internal_ram_init_file => "", g_simulation => g_simulation) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, cpu_rst_i => rst_sys, irq_i => x"00", dwb_o => cnx_slave_in(0), dwb_i => cnx_slave_out(0) ); U_UART : xwb_simple_uart generic map ( g_interface_mode => PIPELINED, g_address_granularity => BYTE) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, slave_i => cnx_master_out(c_slave_uart), slave_o => cnx_master_in(c_slave_uart), uart_rxd_i => uart_rxd_i, uart_txd_o => uart_txd_int); csr_we <= cnx_master_out(c_slave_ddram_csr).cyc and cnx_master_out(c_slave_ddram_csr).stb and cnx_master_out(c_slave_ddram_csr).we; U_DDR_Controller : hpdmc generic map ( data_delay => g_data_delay, dqs_delay => g_dqs_delay, clock_delay => g_clock_delay, addr_delay => g_addr_delay) port map ( sys_clk => clk_sys, sys_clk_n => clk_sys_n, sys_rst => rst_sys, csr_a => cnx_master_out(c_slave_ddram_csr).adr(15 downto 2), csr_we => csr_we, csr_di => cnx_master_out(c_slave_ddram_csr).dat, csr_do => cnx_master_in(c_slave_ddram_csr).dat, fml_adr => ddrc.adr(24 downto 0), fml_stb => ddrc.stb, fml_we => ddrc.we, fml_eack => ddrc.eack, fml_sel => ddrc.sel, fml_di => ddrc.d_m2s, fml_do => ddrc.d_s2m, sdram_clk_p => sdram_clk_p, sdram_clk_n => sdram_clk_n, sdram_cke => sdram_cke, sdram_cs_n => sdram_cs_n, sdram_we_n => sdram_we_n, sdram_cas_n => sdram_cas_n, sdram_ras_n => sdram_ras_n, sdram_adr => sdram_adr, sdram_ba => sdram_ba, sdram_dm => sdram_dm, sdram_dq => sdram_dq, sdram_dqs => sdram_dqs); U_FML_Arb : fmlarb generic map ( fml_width => 32, fml_depth => c_fml_depth) port map ( sys_clk => clk_sys, sys_rst => rst_sys, m0_adr => frameb.adr, m0_stb => frameb.stb, m0_we => frameb.we, m0_ack => frameb.ack, m0_sel => frameb.sel, m0_do => frameb.d_s2m, m1_adr => fwb.adr, m1_stb => fwb.stb, m1_we => fwb.we, m1_ack => fwb.ack, m1_sel => fwb.sel, m1_di => fwb.d_m2s, m1_do => fwb.d_s2m, s_adr => ddrc.adr, s_stb => ddrc.stb, s_we => ddrc.we, s_eack => ddrc.eack, s_sel => ddrc.sel, s_di => ddrc.d_s2m, s_do => ddrc.d_m2s); U_FML_WB_Bridge : fml_wb_bridge generic map ( sdram_depth => 25) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, fml_adr => fwb.adr, fml_stb => fwb.stb, fml_we => fwb.we, fml_ack => fwb.ack, fml_sel => fwb.sel, fml_di => fwb.d_s2m, fml_do => fwb.d_m2s, wb_adr_i => cnx_master_out(c_slave_ddram_mem).adr, wb_cyc_i => cnx_master_out(c_slave_ddram_mem).cyc, wb_we_i => cnx_master_out(c_slave_ddram_mem).we, wb_sel_i => cnx_master_out(c_slave_ddram_mem).sel, wb_stb_i => cnx_master_out(c_slave_ddram_mem).stb, wb_dat_i => cnx_master_out(c_slave_ddram_mem).dat, wb_dat_o => cnx_master_in(c_slave_ddram_mem).dat, wb_stall_o => cnx_master_in(c_slave_ddram_mem).stall, wb_ack_o => cnx_master_in(c_slave_ddram_mem).ack ); U_DSI_Core : dsi_core generic map (g_clock_period_ps => g_clock_period_ps) port map ( clk_dsi_i => clk_dsi, clk_dsi_shifted_i => clk_dsi, clk_sys_i => clk_sys, clk_phy_i => clk_phy, clk_phy_shifted_i => clk_phy_shifted, rst_n_i => rst_n_dsi, pll_locked_i => pll_locked, pix_i => dsif_pix, pix_almost_full_o => dsif_almost_full, pix_vsync_i => dsif_vsync, pix_next_frame_o => dsif_next_frame, pix_wr_i => dsif_wr, dsi_clk_p_o => dsi_clk_p_o, dsi_clk_n_o => dsi_clk_n_o, dsi_clk_lp_n_o => dsi_clk_lp_n, dsi_clk_lp_p_o => dsi_clk_lp_p, dsi_clk_lp_oe_o => dsi_clk_lp_oe, dsi_hs_p_o => dsi_hs_p_o, dsi_hs_n_o => dsi_hs_n_o, dsi_lp_p_o => dsi_lp_p_int, dsi_lp_n_o => dsi_lp_n_int, dsi_lp_oe_o => dsi_lp_oe, dsi_reset_n_o => dsi_resetb_o, dsi_gpio_o => dsi_gpio, wb_adr_i => cnx_master_out(c_slave_dsi).adr, wb_cyc_i => cnx_master_out(c_slave_dsi).cyc, wb_we_i => cnx_master_out(c_slave_dsi).we, wb_sel_i => cnx_master_out(c_slave_dsi).sel, wb_stb_i => cnx_master_out(c_slave_dsi).stb, wb_dat_i => cnx_master_out(c_slave_dsi).dat, wb_dat_o => cnx_master_in(c_slave_dsi).dat, wb_stall_o => cnx_master_in(c_slave_dsi).stall, wb_ack_o => cnx_master_in(c_slave_dsi).ack ); U_Framebuffer : fml_framebuffer generic map ( g_fml_depth => c_fml_depth ) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, pix_almost_full_i => fb_almost_full, pix_wr_o => fb_wr, pix_o => fb_pix, pix_vsync_o => fb_vsync, pix_next_frame_i => fb_next_frame, fml_adr => frameb.adr, fml_stb => frameb.stb, fml_we => frameb.we, fml_ack => frameb.ack, fml_sel => frameb.sel, fml_di => frameb.d_s2m, r_fb_addr_i => r_fb_addr, r_fb_size_i => r_fb_size, r_fb_pix32_i => r_fb_pix32, r_fb_enable_i => r_fb_enable ); U_Sysctl_Regs : sysctl_regs generic map ( g_fml_depth => c_fml_depth, g_default_pll_mul => g_pll_mul, g_default_pll_sys_div => g_pll_sys_div) port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, wb_adr_i => cnx_master_out(c_slave_csr).adr, wb_cyc_i => cnx_master_out(c_slave_csr).cyc, wb_we_i => cnx_master_out(c_slave_csr).we, wb_sel_i => cnx_master_out(c_slave_csr).sel, wb_stb_i => cnx_master_out(c_slave_csr).stb, wb_dat_i => cnx_master_out(c_slave_csr).dat, wb_dat_o => cnx_master_in(c_slave_csr).dat, wb_stall_o => cnx_master_in(c_slave_csr).stall, wb_ack_o => cnx_master_in(c_slave_csr).ack, r_fb_enable_o => r_fb_enable, r_fb_pix32_o => r_fb_pix32, r_fb_addr_o => r_fb_addr, r_fb_size_o => r_fb_size, r_mixer_ctl_i => r_mixer_ctl_tocsr, r_mixer_ctl_o => r_mixer_ctl_tomix, r_edid_addr_o => r_edid_addr, r_edid_data_o => r_edid_data, r_edid_wr_o => r_edid_wr, r_pwm_ctl_o => r_pwm_ctl, r_pll_ctl0_o => r_pll_ctl0, r_pll_ctl1_o => r_pll_ctl1, r_pll_status_i => r_pll_status, r_gpio_o => r_gpio_out, r_gpio_i => r_gpio_in); gen_with_hdmi_sampler : if (g_with_hdmi = true) generate U_HDMI_RX : dvi_decoder port map ( tmdsclk_p => hdmi_rx_p_i(3), tmdsclk_n => hdmi_rx_n_i(3), blue_p => hdmi_rx_p_i(0), blue_n => hdmi_rx_n_i(0), green_p => hdmi_rx_p_i(1), green_n => hdmi_rx_n_i(1), red_p => hdmi_rx_p_i(2), red_n => hdmi_rx_n_i(2), exrst => rst_sys, pclk => hdmi_pclk, hsync => hdmi_hsync, vsync => hdmi_vsync, de => hdmi_de, pixel => hdmi_pixel, link_up => hdmi_link_up, pixel_valid => hdmi_valid ); end generate gen_with_hdmi_sampler; mask_reset_input <= r_gpio_out(3); spi_cs_n_rst_b <= r_gpio_out(4) when mask_reset_input = '1' else 'Z'; spi_sck_o <= r_gpio_out(5); spi_mosi_o <= r_gpio_out(6); r_gpio_in(6) <= spi_miso_i; U_EDID_EEPROM : edid_eeprom port map ( clk_sys_i => clk_sys, rst_n_i => rst_n_sys, edid_en_i => r_gpio_out(0), scl_master_i => r_gpio_out(1), sda_master_i => r_gpio_out(2), sda_master_o => r_gpio_in(2), scl_b => hdmi_scl_b, sda_b => hdmi_sda_b, hdmi_p5v_notif_i => hdmi_p5v_notif_i, hdmi_hpd_en_o => hdmi_hpd_o, addr_i => r_edid_addr, data_i => r_edid_data, wr_i => r_edid_wr); U_Video_Mixer : video_mixer port map ( clk_sys_i => clk_sys, clk_dvi_i => hdmi_pclk, rst_n_i => rst_n_sys, fb_almost_full_o => fb_almost_full, fb_wr_i => fb_wr, fb_pixel_i => fb_pix, fb_vsync_i => fb_vsync, fb_next_frame_o => fb_next_frame, dvi_de_i => hdmi_de, dvi_hsync_i => hdmi_hsync, dvi_vsync_i => hdmi_vsync, dvi_pixel_i => hdmi_pixel, dvi_valid_i => hdmi_valid, dvi_link_up_i => hdmi_link_up, dsif_almost_full_i => dsif_almost_full, dsif_wr_o => dsif_wr, dsif_pix_o => dsif_pix, dsif_vsync_o => dsif_vsync, dsif_next_frame_i => dsif_next_frame, mixer_ctl_i => r_mixer_ctl_tomix, mixer_ctl_o => r_mixer_ctl_tocsr ); gen_lp_tristates : for i in 0 to 3 generate dsi_lp_p_o(i) <= '1' when (dsi_lp_p_int(i) = '1' and dsi_lp_oe(i) = '1') else 'Z'; dsi_lp_n_o(i) <= '1' when (dsi_lp_n_int(i) = '1' and dsi_lp_oe(i) = '1') else 'Z'; end generate gen_lp_tristates; dsi_clk_lp_p_o <= '1' when (dsi_clk_lp_p = '1' and dsi_clk_lp_oe = '1') else 'Z'; dsi_clk_lp_n_o <= '1' when (dsi_clk_lp_n = '1' and dsi_clk_lp_oe = '1') else 'Z'; process(clk_sys) begin if rising_edge(clk_sys) then cnx_master_in(c_slave_ddram_csr).ack <= cnx_master_out(c_slave_ddram_csr).stb and cnx_master_out(c_slave_ddram_csr).cyc; end if; end process; cnx_master_in(c_slave_dsi).err <= '0'; cnx_master_in(c_slave_ddram_csr).stall <= '0'; cnx_master_in(c_slave_ddram_csr).err <= '0'; cnx_master_in(c_slave_ddram_mem).err <= '0'; dsi_gpio1_o <= dsi_gpio(0); dsi_gpio0_o <= 'Z'; p_bl_pwm : process(clk_sys) begin if rising_edge(clk_sys) then if rst_n_sys = '0' then pwm_prescaler <= (others => '0'); pwm_count <= (others => '0'); bl_dim_o <= '0'; else pwm_prescaler <= pwm_prescaler + 1; if(pwm_prescaler = 0) then pwm_count <= pwm_count + 1; end if; if(pwm_count < unsigned(r_pwm_ctl)) then bl_dim_o <= '1'; else bl_dim_o <= '0'; end if; end if; end if; end process; lcd_pwren_o <= '1'; vid_resetn_o <= '1'; end rtl;
--! --! @file: example8_4.vhd --! @brief: Shift register with Component and generate --! @author: Antonio Gutierrez --! @date: 2013-11-26 --! --! -------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.my_components.all; -------------------------------------- entity shift_register is generic (M: positive := 4; -- num. of stages N: positive := 8); -- bits per stage port ( clk, load: in std_logic; x: in std_logic_vector(N-1 downto 0); d: in twoD(0 to M-1, N-1 downto 0); y: out std_logic_vector(N-1 downto 0)); end entity shift_register; -------------------------------------- architecture circuit of shift_register is signal u: twoD(0 to M, N-1 downto 0); signal v: twoD(0 to M-1, N-1 downto 0); begin -- transfer of x -> u and u -> y gen1: for i in N-1 downto 0 generate u(0,i) <= x(i); -- mapping input of entity to input of first stage y(i) <= u(M, i); -- mapping the output of the last stage to the output of entity end generate gen1; -- update internal stages gen2: for i in 0 to M-1 generate gen3: for j in N-1 downto 0 generate mux1: mux port map ( a => u(i, j), b => d(i, j), sel => load, x => v(i, j) ); dff1: flipflop port map ( d => v(i,j), clk => clk, q => u(i + 1, j) ); end generate gen3; end generate gen2; end architecture circuit;
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.all; -- globals for projects using my modules package globals is constant FPGA_CLK_FREQ : integer := 100000000; -- 100 MHz subtype byte_t is std_logic_vector(7 downto 0); -- register interface --constant REG_ADDR_W : integer := 5; subtype reg_addr_t is std_logic_vector(REG_ADDR_W - 1 downto 0); constant reg_addr_invl : std_logic_vector(REG_ADDR_W - 1 downto 0) := ( others => '1' ); type reg_bus_t is record wr : std_logic; data : byte_t; addr : reg_addr_t; end record reg_bus_t; end globals; package body globals is end globals;
entity \test\ is end;
------------------------------------------------------------------------------- -- axi_vdma_mm2s_linebuf ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_mm2s_linebuf.vhd -- Description: This entity encompases the mm2s line buffer logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_mm2s_linebuf is generic ( C_DATA_WIDTH : integer range 8 to 1024 := 32; C_M_AXIS_MM2S_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Line Buffer Data Width C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_INCLUDE_MM2S_SF : integer range 0 to 1 := 0; -- Include or exclude MM2S Store And Forward Functionality -- 0 = Exclude MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; C_MM2S_SOF_ENABLE : integer range 0 to 1 := 0; -- Enable/Disable start of frame generation on tuser(0). This -- is only valid for external frame sync (C_USE_FSYNC = 1) -- 0 = disable SOF -- 1 = enable SOF C_M_AXIS_MM2S_TUSER_BITS : integer range 1 to 1 := 1; -- Master AXI Stream User Width for MM2S Channel C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142 -- Depth as set by user at top level parameter C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- Linebuffer depth in Bytes. Must be a power of 2 C_LINEBUFFER_AE_THRESH : integer range 1 to 65536 := 1; -- Linebuffer almost empty threshold in Bytes. Must be a power of 2 C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. --C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 -- --C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 -- C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1; -- Setting this make core backward compatible to 2012.4 version in terms of ports and registers C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1; -- Enable debug information bit 0 C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1; -- Enable debug information bit 1 C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1; -- Enable debug information bit 2 C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1; -- Enable debug information bit 3 C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1; -- Enable debug information bit 4 C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1; -- Enable debug information bit 5 C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1; -- Enable debug information bit 6 C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1; -- Enable debug information bit 7 C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1; -- Enable debug information bit 8 C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1; -- Enable debug information bit 9 C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1; -- Enable debug information bit 10 C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1; -- Enable debug information bit 11 C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1; -- Enable debug information bit 12 C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1; -- Enable debug information bit 13 C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1; -- Enable debug information bit 14 C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1; -- Enable debug information bit 15 ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( -- MM2S AXIS Input Side (i.e. Datamover side) s_axis_aclk : in std_logic ; -- s_axis_resetn : in std_logic ; -- -- -- MM2S AXIS Output Side -- m_axis_aclk : in std_logic ; -- m_axis_resetn : in std_logic ; -- mm2s_axis_linebuf_reset_out : out std_logic ; -- s2mm_axis_resetn : in std_logic := '1' ; -- s_axis_s2mm_aclk : in std_logic := '0' ; -- mm2s_fsync : in std_logic ; -- s2mm_fsync : in std_logic ; -- mm2s_fsync_core : out std_logic ; -- mm2s_fsize_mismatch_err_s : out std_logic ; -- mm2s_fsize_mismatch_err_m : out std_logic ; -- mm2s_vsize_cntr_clr_flag : out std_logic ; -- MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S : out std_logic ; -- fsync_src_select : in std_logic_vector(1 downto 0) ; -- -- run_stop : in std_logic ; -- -- Graceful shut down control -- dm_halt : in std_logic ; -- dm_halt_reg_out : out std_logic ; -- cmdsts_idle : in std_logic ; -- stop : in std_logic ; -- CR623291 stop_reg_out : out std_logic ; -- CR623291 -- -- Vertical Line Count control -- fsync_out : in std_logic ; -- CR616211 fsync_out_m : out std_logic ; -- CR616211 mm2s_fsize_mismatch_err_flag: in std_logic ; -- CR616211 frame_sync : in std_logic ; -- CR616211 crnt_vsize : in std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- CR616211 crnt_vsize_d2_out : out std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- CR616211 -- linebuf_threshold : in std_logic_vector -- (LINEBUFFER_THRESH_WIDTH-1 downto 0); -- -- -- Stream In (Datamover To Line Buffer) -- s_axis_tdata : in std_logic_vector -- (C_DATA_WIDTH-1 downto 0) ; -- s_axis_tkeep : in std_logic_vector -- ((C_DATA_WIDTH/8)-1 downto 0) ; -- s_axis_tlast : in std_logic ; -- s_axis_tvalid : in std_logic ; -- s_axis_tready : out std_logic ; -- -- -- -- Stream Out (Line Buffer To MM2S AXIS) -- m_axis_tdata : out std_logic_vector -- (C_DATA_WIDTH-1 downto 0) ; -- m_axis_tkeep : out std_logic_vector -- ((C_DATA_WIDTH/8)-1 downto 0) ; -- m_axis_tlast : out std_logic ; -- m_axis_tvalid : out std_logic ; -- m_axis_tready : in std_logic ; -- m_axis_tuser : out std_logic_vector -- (C_M_AXIS_MM2S_TUSER_BITS-1 downto 0); -- -- -- Fifo Status Flags -- dwidth_fifo_pipe_empty : in std_logic ; -- dwidth_fifo_pipe_empty_m : out std_logic ; -- mm2s_fifo_pipe_empty : out std_logic ; -- mm2s_fifo_empty : out std_logic ; -- mm2s_fifo_almost_empty : out std_logic ; -- mm2s_all_lines_xfred_s_dwidth : in std_logic ; -- mm2s_all_lines_xfred_s : out std_logic ; -- mm2s_all_lines_xfred : out std_logic -- CR616211 ); end axi_vdma_mm2s_linebuf; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_mm2s_linebuf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Bufer depth --constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8)); constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH; -- Buffer width is data width + strobe width + 1 bit for tlast -- Increase data width by 1 when tuser support included. --constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8) + 1; constant BUFFER_WIDTH : integer := C_DATA_WIDTH -- tdata + (C_DATA_WIDTH/8)*C_INCLUDE_MM2S_DRE -- tkeep + 1 -- tlast + (C_MM2S_SOF_ENABLE -- tuser *C_M_AXIS_MM2S_TUSER_BITS); -- Buffer data count width constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH); constant DATA_COUNT_ZERO : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0'); -- Constants for line tracking logic constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,VSIZE_DWIDTH)); constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- Linebuffer threshold support constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8)); constant THRESHOLD_PAD : std_logic_vector(THRESHOLD_LSB_INDEX-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0'); signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0'); signal fifo_wren : std_logic := '0'; signal fifo_rden : std_logic := '0'; signal fifo_empty_i : std_logic := '0'; signal fifo_full_i : std_logic := '0'; signal fifo_ainit : std_logic := '0'; signal fifo_rdcount : std_logic_vector(DATACOUNT_WIDTH -1 downto 0) := (others => '0'); signal s_axis_tready_i : std_logic := '0'; -- CR619293 signal m_axis_tready_i : std_logic := '0'; signal m_axis_tvalid_i : std_logic := '0'; signal m_axis_tlast_i : std_logic := '0'; signal m_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0'); signal m_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_tuser_i : std_logic_vector(C_M_AXIS_MM2S_TUSER_BITS - 1 downto 0) := (others => '0'); signal m_axis_tready_d1 : std_logic := '0'; signal m_axis_tlast_d1 : std_logic := '0'; signal m_axis_tvalid_d1 : std_logic := '0'; signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal decr_vcount : std_logic := '0'; -- CR575884 signal all_lines_xfred : std_logic := '0'; -- CR616211 signal all_lines_xfred_no_dwidth : std_logic := '0'; -- CR616211 signal mm2s_all_lines_xfred_s_sig : std_logic := '0'; -- CR616211 signal m_axis_tvalid_out : std_logic := '0'; -- CR576993 signal m_axis_tlast_out : std_logic := '0'; -- CR616211 signal slv2skid_s_axis_tvalid : std_logic := '0'; -- CR576993 signal fifo_empty_d1 : std_logic := '0'; -- CR576993 -- FIFO Pipe empty signals signal fifo_pipe_empty : std_logic := '0'; signal fifo_wren_d1 : std_logic := '0'; -- CR579191 signal pot_empty : std_logic := '0'; -- CR579191 signal fifo_almost_empty_i : std_logic := '1'; -- CR604273/CR604272 signal fifo_almost_empty_d1 : std_logic := '1'; signal fifo_almost_empty_fe : std_logic := '0'; -- CR604273/CR604272 signal fifo_almost_empty_reg : std_logic := '1'; signal data_count_ae_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal data_count_ae_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal data_count_ae_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal m_data_count_ae_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal sf_threshold_met : std_logic := '0'; signal cmdsts_idle_d1 : std_logic := '0'; signal cmdsts_idle_fe : std_logic := '0'; signal stop_reg : std_logic := '0'; --CR623291 signal s_axis_fifo_ainit : std_logic := '0'; signal m_axis_fifo_ainit : std_logic := '0'; signal s_axis_fifo_ainit_nosync : std_logic := '0'; signal m_axis_fifo_ainit_nosync : std_logic := '0'; signal dm_decr_vcount : std_logic := '0'; -- CR619293 signal dm_xfred_all_lines : std_logic := '0'; -- CR619293 signal dm_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR619293 signal dm_xfred_all_lines_reg : std_logic := '0'; -- CR619293 signal sof_flag : std_logic := '0'; signal mm2s_fifo_pipe_empty_i : std_logic := '0'; signal frame_sync_d1 : std_logic := '0'; signal m_skid_reset : std_logic := '0'; signal dm_halt_reg : std_logic := '0'; signal mm2s_axis_linebuf_reset_out_inv : std_logic := '0' ; -- signal sof_reset : std_logic := '0'; signal wr_rst_busy_sig : std_logic := '0'; signal rd_rst_busy_sig : std_logic := '0'; ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true"; ATTRIBUTE async_reg OF data_count_ae_threshold_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF data_count_ae_threshold_d1 : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_fifo_pipe_empty <= mm2s_fifo_pipe_empty_i; dm_halt_reg_out <= dm_halt_reg; stop_reg_out <= stop_reg; crnt_vsize_d2_out <= crnt_vsize_d2; GEN_MM2S_DRE_ON : if C_INCLUDE_MM2S_DRE = 1 generate begin m_axis_tkeep <= m_axis_tkeep_signal; s_axis_tkeep_signal <= s_axis_tkeep; end generate GEN_MM2S_DRE_ON; GEN_MM2S_DRE_OFF : if C_INCLUDE_MM2S_DRE = 0 generate begin m_axis_tkeep <= (others => '1'); s_axis_tkeep_signal <= (others => '1'); end generate GEN_MM2S_DRE_OFF; GEN_LINEBUF_NO_SOF : if (ENABLE_FLUSH_ON_FSYNC = 0 or C_MM2S_SOF_ENABLE = 0) generate begin mm2s_fsync_core <= mm2s_fsync; MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S <= '0'; mm2s_fsize_mismatch_err_s <= '0'; --*****************************************************************************-- --** LINE BUFFER MODE (Sync or Async) **-- --*****************************************************************************-- GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate begin -- Divide by number bytes per data beat and add padding to dynamic -- threshold setting data_count_ae_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX downto THRESHOLD_LSB_INDEX); -- Synchronous clock therefore instantiate an Asynchronous FIFO GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , data_count => fifo_rdcount ); --wr_rst_busy_sig <= '0'; --rd_rst_busy_sig <= '0'; end generate GEN_SYNC_FIFO; -- Asynchronous clock therefore instantiate an Asynchronous FIFO GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => open , rd_rst_busy => open , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , wr_data_count => open , --CR622702 rd_data_count => fifo_rdcount ); wr_rst_busy_sig <= '0'; rd_rst_busy_sig <= '0'; end generate LB_BRAM; LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin generic map( PL_FIFO_TYPE => "BUILT_IN" , PL_READ_MODE => "FWFT" , PL_FASTER_CLOCK => "WR_CLK" , --RD_CLK PL_FULL_FLAGS_RST_VAL => 0 , -- ? PL_DATA_WIDTH => BUFFER_WIDTH , C_FAMILY => C_FAMILY , PL_FIFO_DEPTH => BUFFER_DEPTH ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i ); end generate LB_BUILT_IN; end generate GEN_ASYNC_FIFO; -- Generate an SOF on tuser(0). currently vdma only support 1 tuser bit that is set by -- frame sync and driven out on first data beat of mm2s packet. GEN_SOF : if ENABLE_FLUSH_ON_FSYNC = 0 and C_MM2S_SOF_ENABLE = 1 generate --signal sof_reset : std_logic := '0'; begin sof_reset <= '1' when (s_axis_resetn = '0') or (dm_halt = '1') else '0'; -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(sof_reset = '1' or fifo_wren = '1')then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-3 downto (BUFFER_WIDTH-3) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; end generate GEN_SOF; -- SOF turned off therefore do not generate SOF on tuser GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate begin GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin sof_flag <= '0'; -- AXI Slave Side of FIFO fifo_din <= s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1); m_axis_tuser_i <= (others => '0'); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin sof_flag <= '0'; -- AXI Slave Side of FIFO fifo_din <= s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1); m_axis_tuser_i <= (others => '0'); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; end generate GEN_NO_SOF; -- Top level line buffer depth not equal to zero therefore gererate threshold -- flags. (CR625142) GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Almost empty flag (note: asserts when empty also) REG_ALMST_EMPTY : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_reg <= '1'; --elsif(fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= DATA_COUNT_AE_THRESHOLD or fifo_empty_i = '1')then --elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh -- or fifo_empty_i = '1') and fifo_full_i = '0')then elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh or (fifo_empty_i = '1' or rd_rst_busy_sig = '1')))then fifo_almost_empty_reg <= '1'; else fifo_almost_empty_reg <= '0'; end if; end if; end process REG_ALMST_EMPTY; mm2s_fifo_almost_empty <= fifo_almost_empty_reg or (not sf_threshold_met) -- CR622777 or (not m_axis_tvalid_out); -- CR625724 mm2s_fifo_empty <= not m_axis_tvalid_out; end generate GEN_THRESHOLD_ENABLED; -- Top level line buffer depth is zero therefore turn off threshold logic. -- this occurs for async operation where the async fifo is needed for CDC (CR625142) GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; fifo_almost_empty_reg <= '0'; end generate GEN_THRESHOLD_DISABLED; -- CR#578903 -- FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- CR622702 - need to look at write side of fifo to prevent false empties due to async fifo --fifo_pipe_empty <= '1' when (fifo_wrcount(DATACOUNT_WIDTH-1 downto 0) = DATA_COUNT_ZERO -- Data count is 0 -- and m_axis_tvalid_out = '0') -- Skid Buffer is done -- -- Forced stop and Threshold not met (CR623291) -- or (sf_threshold_met = '0' and stop_reg = '1') -- else '0'; -- CR623879 fixed flase fifo_pipe_assertions due to extreme AXI4 throttling on -- mm2s reads causing fifo to go empty for extended periods of time. This then -- caused flase idles to be flagged and frame syncs were then generated in free run mode -------- fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted -------- or (sf_threshold_met = '0' -- Or Threshold not met -------- and stop_reg = '1' -- Commanded to stop -------- and m_axis_tvalid_out = '0') -- And NOT driving tvalid -------- else '0'; -------- -- If store and forward is turned on by user then gate tvalid with -- threshold met GEN_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 1 and C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Register fifo_almost empty in order to generate -- almost empty fall edge pulse REG_ALMST_EMPTY_FE : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_d1 <= '1'; else fifo_almost_empty_d1 <= fifo_almost_empty_reg; end if; end if; end process REG_ALMST_EMPTY_FE; -- Almost empty falling edge fifo_almost_empty_fe <= not fifo_almost_empty_reg and fifo_almost_empty_d1; -- Store and Forward threshold met THRESH_MET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then sf_threshold_met <= '0'; elsif(fsync_out = '1')then sf_threshold_met <= '0'; -- Reached threshold or all reads done for the frame elsif(fifo_almost_empty_fe = '1' or (dm_xfred_all_lines_reg = '1'))then sf_threshold_met <= '1'; end if; end if; end process THRESH_MET; end generate GEN_THRESH_MET_FOR_SNF; -- Store and forward off therefore do not need to meet threshold GEN_NO_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 0 or C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin sf_threshold_met <= '1'; end generate GEN_NO_THRESH_MET_FOR_SNF; --*********************************************************-- --** MM2S MASTER SKID BUFFER **-- --*********************************************************-- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_DATA_WIDTH , C_TUSER_WIDTH => C_M_AXIS_MM2S_TUSER_BITS ) port map( -- System Ports ACLK => m_axis_aclk , ARST => m_axis_fifo_ainit_nosync , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => m_axis_tvalid_i , S_READY => m_axis_tready_i , S_Data => m_axis_tdata_i , S_STRB => m_axis_tkeep_i , S_Last => m_axis_tlast_i , S_User => m_axis_tuser_i , -- Master Side (Stream Data Output) M_VALID => m_axis_tvalid_out , M_READY => m_axis_tready , M_Data => m_axis_tdata , M_STRB => m_axis_tkeep_signal , M_Last => m_axis_tlast_out , M_User => m_axis_tuser ); -- Pass out of core m_axis_tvalid <= m_axis_tvalid_out; m_axis_tlast <= m_axis_tlast_out; -- Register to break long timing paths for use in -- transfer complete generation REG_STRM_SIGS : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then m_axis_tlast_d1 <= '0'; m_axis_tvalid_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tlast_d1 <= m_axis_tlast_out; m_axis_tvalid_d1 <= m_axis_tvalid_out; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; end generate GEN_LINEBUFFER; --*****************************************************************************-- --** NO LINE BUFFER MODE (Sync Only) **-- --*****************************************************************************-- -- LineBuffer forced on if asynchronous mode is enabled GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate -- No Line Buffer begin -- Map Datamover to AXIS Master Out m_axis_tdata <= s_axis_tdata; m_axis_tkeep_signal <= s_axis_tkeep_signal; m_axis_tvalid <= s_axis_tvalid; m_axis_tlast <= s_axis_tlast; s_axis_tready <= m_axis_tready; -- Tie FIFO Flags off mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; -- Generate sof on tuser(0) GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate begin -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' or (s_axis_tvalid = '1' and m_axis_tready = '1'))then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; m_axis_tuser(0) <= sof_flag; end generate GEN_SOF; -- Do not generate sof on tuser(0) GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate begin sof_flag <= '0'; m_axis_tuser <= (others => '0'); end generate GEN_NO_SOF; -- CR#578903 -- Register tvalid to break timing paths for use in -- psuedo fifo empty for channel idle generation and -- for xfer complete generation. REG_STRM_SIGS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then m_axis_tvalid_d1 <= '0'; m_axis_tlast_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tvalid_d1 <= s_axis_tvalid; m_axis_tlast_d1 <= s_axis_tlast; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; -- CR#578903 -- Psuedo FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- This flag is looked at at the end of frames. -- Order of else-if is critical -- CR579191 modified method to prevent double fsync assertions REG_PIPE_EMPTY : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then fifo_pipe_empty <= '1'; -- Command/Status not idle indicates pending datamover commands -- set psuedo fifo empty to NOT empty. elsif(cmdsts_idle_fe = '1')then fifo_pipe_empty <= '0'; -- On accepted tlast then clear psuedo empty flag back to being empty elsif(pot_empty = '1' and cmdsts_idle = '1')then fifo_pipe_empty <= '1'; end if; end if; end process REG_PIPE_EMPTY; REG_IDLE_FE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then cmdsts_idle_d1 <= '1'; else cmdsts_idle_d1 <= cmdsts_idle; end if; end if; end process REG_IDLE_FE; -- CR579586 Use falling edge to set pfifo empty cmdsts_idle_fe <= not cmdsts_idle and cmdsts_idle_d1; -- CR579191 POTENTIAL_EMPTY_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '1' and m_axis_tready_d1 = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '0')then pot_empty <= '0'; end if; end if; end process POTENTIAL_EMPTY_PROCESS; end generate GEN_NO_LINEBUFFER; --*****************************************************************************-- --** MM2S ASYNCH CLOCK SUPPORT **-- --*****************************************************************************-- -- Cross fifo pipe empty flag to secondary clock domain GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Pipe Empty and Shutdown reset CDC ---- SHUTDOWN_RST_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fifo_pipe_empty , ---- scndry_out => mm2s_fifo_pipe_empty_i , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- SHUTDOWN_RST_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fifo_pipe_empty, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_fifo_pipe_empty_i, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- CR619293 ---- prmry_out => open , -- CR619293 ---- prmry_in => all_lines_xfred , ---- scndry_out => mm2s_all_lines_xfred , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ---- ALL_LINES_XFRED_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => all_lines_xfred, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_all_lines_xfred, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_xfred_all_lines , -- CR619293 ---- prmry_out => dm_xfred_all_lines_reg , -- CR619293 ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_xfred_all_lines, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_xfred_all_lines_reg, scndry_vect_out => open ); VSIZE_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then crnt_vsize_cdc_tig <= crnt_vsize; crnt_vsize_d1 <= crnt_vsize_cdc_tig; end if; end process VSIZE_CNT_CROSSING; crnt_vsize_d2 <= crnt_vsize_d1; -- Cross stop signal (CR623291) ---- STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => stop , ---- prmry_out => stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => stop_reg, scndry_vect_out => open ); -- Cross datamover halt and threshold signals ---- HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_halt , ---- prmry_out => dm_halt_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- scndry_vect_out => open ---- ); ---- HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_halt, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_halt_reg, scndry_vect_out => open ); THRESH_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then data_count_ae_threshold_cdc_tig <= data_count_ae_threshold; data_count_ae_threshold_d1 <= data_count_ae_threshold_cdc_tig; end if; end process THRESH_CNT_CROSSING; m_data_count_ae_thresh <= data_count_ae_threshold_d1; end generate GEN_FOR_ASYNC; --*****************************************************************************-- --** MM2S SYNCH CLOCK SUPPORT **-- --*****************************************************************************-- GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin mm2s_fifo_pipe_empty_i <= fifo_pipe_empty; crnt_vsize_d2 <= crnt_vsize; -- CR616211 mm2s_all_lines_xfred <= all_lines_xfred; -- CR616211 dm_xfred_all_lines_reg <= dm_xfred_all_lines; -- CR619293 stop_reg <= stop; -- CR623291 dm_halt_reg <= dm_halt; m_data_count_ae_thresh <= data_count_ae_threshold; end generate GEN_FOR_SYNC; --***************************************************************************** --** Vertical Line Tracking (CR616211) --***************************************************************************** -- Decrement vertical count with each accept tlast decr_vcount <= '1' when m_axis_tlast_d1 = '1' and m_axis_tvalid_d1 = '1' and m_axis_tready_d1 = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. VERT_COUNTER : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1' and fsync_out = '0')then vsize_counter <= (others => '0'); all_lines_xfred <= '1'; elsif(fsync_out = '1')then vsize_counter <= crnt_vsize_d2; all_lines_xfred <= '0'; elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then vsize_counter <= (others => '0'); all_lines_xfred <= '1'; elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1); all_lines_xfred <= '0'; end if; end if; end process VERT_COUNTER; -- Store and forward or no line buffer (CR619293) GEN_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH /= 0 and C_INCLUDE_MM2S_SF = 1 generate begin dm_decr_vcount <= '1' when s_axis_tlast = '1' and s_axis_tvalid = '1' and s_axis_tready_i = '1' else '0'; -- Delay 1 pipe to align with cnrt_vsize REG_FSYNC_TO_ALIGN : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' and frame_sync = '0')then frame_sync_d1 <= '0'; else frame_sync_d1 <= frame_sync; end if; end if; end process REG_FSYNC_TO_ALIGN; -- Count lines to determine when datamover done. Used for snf mode -- for threshold met (CR619293) DM_DONE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1')then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; --elsif(fsync_out = '1')then -- CR623088 elsif(frame_sync_d1 = '1')then -- CR623088 dm_vsize_counter <= crnt_vsize; dm_xfred_all_lines <= '0'; elsif(dm_decr_vcount = '1' and dm_vsize_counter = VSIZE_ONE_VALUE)then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '1'; elsif(dm_decr_vcount = '1' and dm_vsize_counter /= VSIZE_ZERO_VALUE)then dm_vsize_counter <= std_logic_vector(unsigned(dm_vsize_counter) - 1); dm_xfred_all_lines <= '0'; end if; end if; end process DM_DONE; end generate GEN_VCOUNT_FOR_SNF; -- Not store and forward or no line buffer (CR619293) GEN_NO_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH = 0 or C_INCLUDE_MM2S_SF = 0 generate begin dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; dm_decr_vcount <= '0'; end generate GEN_NO_VCOUNT_FOR_SNF; --*****************************************************************************-- --** SPECIAL RESET GENERATION **-- --*****************************************************************************-- -- Assert reset to skid buffer on hard reset or on shutdown when fifo pipe empty -- Waiting for fifo_pipe_empty is required to prevent a AXIS protocol violation -- when channel shut down early REG_SKID_RESET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then m_skid_reset <= '1'; elsif(fifo_pipe_empty = '1')then if(fsync_out = '1' or dm_halt_reg = '1')then m_skid_reset <= '1'; else m_skid_reset <= '0'; end if; else m_skid_reset <= '0'; end if; end if; end process REG_SKID_RESET; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit <= '1' when s_axis_resetn = '0' or frame_sync = '1' -- Frame sync or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit <= '1' when m_axis_resetn = '0' or fsync_out = '1' -- Frame sync or dm_halt_reg = '1' -- Datamover being halted else '0'; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit_nosync <= '1' when s_axis_resetn = '0' or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit_nosync <= '1' when m_axis_resetn = '0' or dm_halt_reg = '1' -- Datamover being halted else '0'; --reset for axis_dwidth mm2s_axis_linebuf_reset_out_inv <= m_axis_fifo_ainit_nosync; mm2s_axis_linebuf_reset_out <= not (mm2s_axis_linebuf_reset_out_inv); MM2S_DWIDTH_CONV_IS : if (C_DATA_WIDTH /= C_M_AXIS_MM2S_TDATA_WIDTH) generate begin fifo_pipe_empty <= dwidth_fifo_pipe_empty; dwidth_fifo_pipe_empty_m <= mm2s_fifo_pipe_empty_i; end generate MM2S_DWIDTH_CONV_IS; MM2S_DWIDTH_CONV_IS_NOT : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted or (sf_threshold_met = '0' -- Or Threshold not met and stop_reg = '1' -- Commanded to stop and m_axis_tvalid_out = '0') -- And NOT driving tvalid else '0'; dwidth_fifo_pipe_empty_m <= '1'; end generate MM2S_DWIDTH_CONV_IS_NOT; mm2s_all_lines_xfred_s <= '0'; fsync_out_m <= '0'; mm2s_vsize_cntr_clr_flag <= '0'; mm2s_fsize_mismatch_err_m <= '0'; end generate GEN_LINEBUF_NO_SOF; GEN_LINEBUF_FLUSH_SOF : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_MM2S_SOF_ENABLE = 1) generate signal s2mm_fsync_mm2s_s : std_logic := '0'; signal run_stop_reg : std_logic := '0'; signal fsync_out_d1 : std_logic := '0'; signal mm2s_fsync_int : std_logic := '0'; signal fsize_mismatch_err_int_s : std_logic := '0'; signal fsize_mismatch_err_int_m : std_logic := '0'; signal fsize_mismatch_err_flag_s : std_logic := '0'; signal fsize_mismatch_err_flag_vsize_cntr_clr : std_logic := '0'; signal fsize_mismatch_err_flag_cmb_s : std_logic := '0'; signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0'); signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0'); signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0'); signal fsize_err_to_dm_halt_flag : std_logic := '0'; signal fsize_err_to_dm_halt_flag_ored : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0'; signal d_fsync_halt_cmplt_s : std_logic := '0'; ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true"; begin --*****************************************************************************-- --** LINE BUFFER MODE (Sync or Async) **-- --*****************************************************************************-- GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate begin -- Divide by number bytes per data beat and add padding to dynamic -- threshold setting data_count_ae_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX downto THRESHOLD_LSB_INDEX); -- Synchronous clock therefore instantiate an Asynchronous FIFO GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , data_count => fifo_rdcount ); --wr_rst_busy_sig <= '0'; --rd_rst_busy_sig <= '0'; end generate GEN_SYNC_FIFO; -- Asynchronous clock therefore instantiate an Asynchronous FIFO GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => open , rd_rst_busy => open , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , wr_data_count => open , --CR622702 rd_data_count => fifo_rdcount ); wr_rst_busy_sig <= '0'; rd_rst_busy_sig <= '0'; end generate LB_BRAM; LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin generic map( PL_FIFO_TYPE => "BUILT_IN" , PL_READ_MODE => "FWFT" , PL_FASTER_CLOCK => "WR_CLK" , --RD_CLK PL_FULL_FLAGS_RST_VAL => 0 , -- ? PL_DATA_WIDTH => BUFFER_WIDTH , C_FAMILY => C_FAMILY , PL_FIFO_DEPTH => BUFFER_DEPTH ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i ); end generate LB_BUILT_IN; end generate GEN_ASYNC_FIFO; -- Generate an SOF on tuser(0). currently vdma only support 1 tuser bit that is set by -- frame sync and driven out on first data beat of mm2s packet. ------ GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate ------ signal sof_reset : std_logic := '0'; ------ begin sof_reset <= '1' when (s_axis_resetn = '0') or (dm_halt = '1') else '0'; -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(sof_reset = '1' or fifo_wren = '1')then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-3 downto (BUFFER_WIDTH-3) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; ------ end generate GEN_SOF; ------ ------ -- SOF turned off therefore do not generate SOF on tuser ---------- GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate ---------- begin ---------- ---------- sof_flag <= '0'; ---------- ---------- -- AXI Slave Side of FIFO ---------- fifo_din <= s_axis_tlast & s_axis_tkeep & s_axis_tdata; ---------- fifo_wren <= s_axis_tvalid and not fifo_full_i and not s_axis_fifo_ainit; ---------- s_axis_tready_i <= not fifo_full_i and not s_axis_fifo_ainit; ---------- s_axis_tready <= s_axis_tready_i; -- CR619293 ---------- ---------- -- AXI Master Side of FIFO ---------- fifo_rden <= m_axis_tready_i and not fifo_empty_i and sf_threshold_met; ---------- m_axis_tvalid_i <= not fifo_empty_i and sf_threshold_met; ---------- m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); ---------- m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1); ---------- m_axis_tlast_i <= not fifo_empty_i and fifo_dout(BUFFER_WIDTH-1); ---------- m_axis_tuser_i <= (others => '0'); ---------- ---------- end generate GEN_NO_SOF; -- Top level line buffer depth not equal to zero therefore gererate threshold -- flags. (CR625142) GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Almost empty flag (note: asserts when empty also) REG_ALMST_EMPTY : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_reg <= '1'; --elsif(fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= DATA_COUNT_AE_THRESHOLD or fifo_empty_i = '1')then --elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh -- or fifo_empty_i = '1') and fifo_full_i = '0')then elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh or (fifo_empty_i = '1' or rd_rst_busy_sig = '1')))then fifo_almost_empty_reg <= '1'; else fifo_almost_empty_reg <= '0'; end if; end if; end process REG_ALMST_EMPTY; mm2s_fifo_almost_empty <= fifo_almost_empty_reg or (not sf_threshold_met) -- CR622777 or (not m_axis_tvalid_out); -- CR625724 mm2s_fifo_empty <= not m_axis_tvalid_out; end generate GEN_THRESHOLD_ENABLED; -- Top level line buffer depth is zero therefore turn off threshold logic. -- this occurs for async operation where the async fifo is needed for CDC (CR625142) GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; fifo_almost_empty_reg <= '0'; end generate GEN_THRESHOLD_DISABLED; -- CR#578903 -- FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- CR622702 - need to look at write side of fifo to prevent false empties due to async fifo --fifo_pipe_empty <= '1' when (fifo_wrcount(DATACOUNT_WIDTH-1 downto 0) = DATA_COUNT_ZERO -- Data count is 0 -- and m_axis_tvalid_out = '0') -- Skid Buffer is done -- -- Forced stop and Threshold not met (CR623291) -- or (sf_threshold_met = '0' and stop_reg = '1') -- else '0'; -- CR623879 fixed flase fifo_pipe_assertions due to extreme AXI4 throttling on -- mm2s reads causing fifo to go empty for extended periods of time. This then -- caused flase idles to be flagged and frame syncs were then generated in free run mode ---------------- fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted ---------------- or (sf_threshold_met = '0' -- Or Threshold not met ---------------- and stop_reg = '1' -- Commanded to stop ---------------- and m_axis_tvalid_out = '0') -- And NOT driving tvalid ---------------- else '0'; ---------------- -- If store and forward is turned on by user then gate tvalid with -- threshold met GEN_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 1 and C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Register fifo_almost empty in order to generate -- almost empty fall edge pulse REG_ALMST_EMPTY_FE : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_d1 <= '1'; else fifo_almost_empty_d1 <= fifo_almost_empty_reg; end if; end if; end process REG_ALMST_EMPTY_FE; -- Almost empty falling edge fifo_almost_empty_fe <= not fifo_almost_empty_reg and fifo_almost_empty_d1; -- Store and Forward threshold met THRESH_MET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then sf_threshold_met <= '0'; elsif(fsync_out = '1')then sf_threshold_met <= '0'; -- Reached threshold or all reads done for the frame elsif(fifo_almost_empty_fe = '1' or (dm_xfred_all_lines_reg = '1'))then sf_threshold_met <= '1'; end if; end if; end process THRESH_MET; end generate GEN_THRESH_MET_FOR_SNF; -- Store and forward off therefore do not need to meet threshold GEN_NO_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 0 or C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin sf_threshold_met <= '1'; end generate GEN_NO_THRESH_MET_FOR_SNF; --*********************************************************-- --** MM2S MASTER SKID BUFFER **-- --*********************************************************-- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_DATA_WIDTH , C_TUSER_WIDTH => C_M_AXIS_MM2S_TUSER_BITS ) port map( -- System Ports ACLK => m_axis_aclk , ARST => m_axis_fifo_ainit_nosync , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => m_axis_tvalid_i , S_READY => m_axis_tready_i , S_Data => m_axis_tdata_i , S_STRB => m_axis_tkeep_i , S_Last => m_axis_tlast_i , S_User => m_axis_tuser_i , -- Master Side (Stream Data Output) M_VALID => m_axis_tvalid_out , M_READY => m_axis_tready , M_Data => m_axis_tdata , M_STRB => m_axis_tkeep_signal , M_Last => m_axis_tlast_out , M_User => m_axis_tuser ); -- Pass out of core m_axis_tvalid <= m_axis_tvalid_out; m_axis_tlast <= m_axis_tlast_out; -- Register to break long timing paths for use in -- transfer complete generation REG_STRM_SIGS : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then m_axis_tlast_d1 <= '0'; m_axis_tvalid_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tlast_d1 <= m_axis_tlast_out; m_axis_tvalid_d1 <= m_axis_tvalid_out; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; end generate GEN_LINEBUFFER; --*****************************************************************************-- --** NO LINE BUFFER MODE (Sync Only) **-- --*****************************************************************************-- -- LineBuffer forced on if asynchronous mode is enabled GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate -- No Line Buffer begin -- Map Datamover to AXIS Master Out m_axis_tdata <= s_axis_tdata; m_axis_tkeep_signal <= s_axis_tkeep_signal; m_axis_tvalid <= s_axis_tvalid; m_axis_tlast <= s_axis_tlast; s_axis_tready <= m_axis_tready; -- Tie FIFO Flags off mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; -- Generate sof on tuser(0) ---- GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate --- begin -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' or (s_axis_tvalid = '1' and m_axis_tready = '1'))then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; m_axis_tuser(0) <= sof_flag; --- end generate GEN_SOF; -- Do not generate sof on tuser(0) ----- GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate ----- begin ----- sof_flag <= '0'; ----- m_axis_tuser <= (others => '0'); ----- end generate GEN_NO_SOF; -- CR#578903 -- Register tvalid to break timing paths for use in -- psuedo fifo empty for channel idle generation and -- for xfer complete generation. REG_STRM_SIGS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then m_axis_tvalid_d1 <= '0'; m_axis_tlast_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tvalid_d1 <= s_axis_tvalid; m_axis_tlast_d1 <= s_axis_tlast; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; -- CR#578903 -- Psuedo FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- This flag is looked at at the end of frames. -- Order of else-if is critical -- CR579191 modified method to prevent double fsync assertions REG_PIPE_EMPTY : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then fifo_pipe_empty <= '1'; -- Command/Status not idle indicates pending datamover commands -- set psuedo fifo empty to NOT empty. elsif(cmdsts_idle_fe = '1')then fifo_pipe_empty <= '0'; -- On accepted tlast then clear psuedo empty flag back to being empty elsif(pot_empty = '1' and cmdsts_idle = '1')then fifo_pipe_empty <= '1'; end if; end if; end process REG_PIPE_EMPTY; REG_IDLE_FE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then cmdsts_idle_d1 <= '1'; else cmdsts_idle_d1 <= cmdsts_idle; end if; end if; end process REG_IDLE_FE; -- CR579586 Use falling edge to set pfifo empty cmdsts_idle_fe <= not cmdsts_idle and cmdsts_idle_d1; -- CR579191 POTENTIAL_EMPTY_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '1' and m_axis_tready_d1 = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '0')then pot_empty <= '0'; end if; end if; end process POTENTIAL_EMPTY_PROCESS; end generate GEN_NO_LINEBUFFER; --*****************************************************************************-- --** MM2S ASYNCH CLOCK SUPPORT **-- --*****************************************************************************-- -- Cross fifo pipe empty flag to secondary clock domain GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Pipe Empty and Shutdown reset CDC ---- SHUTDOWN_RST_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fifo_pipe_empty , ---- scndry_out => mm2s_fifo_pipe_empty_i , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- SHUTDOWN_RST_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fifo_pipe_empty, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_fifo_pipe_empty_i, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- CR619293 ---- prmry_out => open , -- CR619293 ---- prmry_in => all_lines_xfred , ---- scndry_out => mm2s_all_lines_xfred , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => all_lines_xfred, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_all_lines_xfred, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_xfred_all_lines , -- CR619293 ---- prmry_out => dm_xfred_all_lines_reg , -- CR619293 ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_xfred_all_lines, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_xfred_all_lines_reg, scndry_vect_out => open ); VSIZE_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then crnt_vsize_cdc_tig <= crnt_vsize; crnt_vsize_d1 <= crnt_vsize_cdc_tig; end if; end process VSIZE_CNT_CROSSING; crnt_vsize_d2 <= crnt_vsize_d1; -- Cross stop signal (CR623291) ---- STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => stop , ---- prmry_out => stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => stop_reg, scndry_vect_out => open ); ---- MM2S_RUN_STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => run_stop , ---- prmry_out => run_stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); MM2S_RUN_STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => run_stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => run_stop_reg, scndry_vect_out => open ); ---- MM2S_FSIZE_ERR_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fsize_mismatch_err_int_s , ---- scndry_out => fsize_mismatch_err_int_m , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- MM2S_FSIZE_ERR_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fsize_mismatch_err_int_s, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => fsize_mismatch_err_int_m, scndry_vect_out => open ); ---- MM2S_FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => fsync_out , ---- scndry_out => fsync_out_m , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- MM2S_FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fsync_out, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => fsync_out_m, scndry_vect_out => open ); GEN_FSYNC_SEL_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then fsync_src_select_cdc_tig <= fsync_src_select; fsync_src_select_d1 <= fsync_src_select_cdc_tig; end if; end process GEN_FSYNC_SEL_CROSSING; fsync_src_select_s_int <= fsync_src_select_d1; -- Cross datamover halt and threshold signals ---- HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_halt , ---- prmry_out => dm_halt_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- scndry_vect_out => open ---- ); ---- HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_halt, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_halt_reg, scndry_vect_out => open ); THRESH_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then data_count_ae_threshold_cdc_tig <= data_count_ae_threshold; data_count_ae_threshold_d1 <= data_count_ae_threshold_cdc_tig; end if; end process THRESH_CNT_CROSSING; m_data_count_ae_thresh <= data_count_ae_threshold_d1; GEN_ASYNC_CROSS_FSYNC : if C_INCLUDE_S2MM = 1 generate begin ---- CROSS_FSYNC_CDC_I_FLUSH_MM2S_SOF : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axis_s2mm_aclk , ---- prmry_resetn => s2mm_axis_resetn , ---- scndry_aclk => m_axis_aclk , ---- scndry_resetn => m_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => s2mm_fsync , ---- scndry_out => s2mm_fsync_mm2s_s , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- CROSS_FSYNC_CDC_I_FLUSH_MM2S_SOF : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_s2mm_aclk, prmry_resetn => s2mm_axis_resetn, prmry_in => s2mm_fsync, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => s2mm_fsync_mm2s_s, scndry_vect_out => open ); end generate GEN_ASYNC_CROSS_FSYNC; GEN_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_S2MM = 0 generate begin s2mm_fsync_mm2s_s <= '0'; end generate GEN_ASYNC_NO_CROSS_FSYNC; end generate GEN_FOR_ASYNC; --*****************************************************************************-- --** MM2S SYNCH CLOCK SUPPORT **-- --*****************************************************************************-- GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin mm2s_fifo_pipe_empty_i <= fifo_pipe_empty; crnt_vsize_d2 <= crnt_vsize; -- CR616211 mm2s_all_lines_xfred <= all_lines_xfred; -- CR616211 dm_xfred_all_lines_reg <= dm_xfred_all_lines; -- CR619293 stop_reg <= stop; -- CR623291 run_stop_reg <= run_stop; -- CR623291 fsync_out_m <= fsync_out; -- CR623291 dm_halt_reg <= dm_halt; m_data_count_ae_thresh <= data_count_ae_threshold; fsync_src_select_s_int <= fsync_src_select; fsize_mismatch_err_int_m <= fsize_mismatch_err_int_s; GEN_SYNC_CROSS_FSYNC : if C_INCLUDE_S2MM = 1 generate begin s2mm_fsync_mm2s_s <= s2mm_fsync; end generate GEN_SYNC_CROSS_FSYNC; GEN_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_S2MM = 0 generate begin s2mm_fsync_mm2s_s <= '0'; end generate GEN_SYNC_NO_CROSS_FSYNC; end generate GEN_FOR_SYNC; NO_DWIDTH_VERT_COUNTER : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin --***************************************************************************** --** Vertical Line Tracking (CR616211) --***************************************************************************** -- Decrement vertical count with each accept tlast decr_vcount <= '1' when m_axis_tlast_d1 = '1' and m_axis_tvalid_d1 = '1' and m_axis_tready_d1 = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. VERT_COUNTER : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if((m_axis_fifo_ainit = '1' and fsync_out = '0') or fsize_mismatch_err_flag_vsize_cntr_clr = '1' )then vsize_counter <= (others => '0'); all_lines_xfred_no_dwidth <= '1'; elsif(fsync_out = '1')then vsize_counter <= crnt_vsize_d2; all_lines_xfred_no_dwidth <= '0'; elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then vsize_counter <= (others => '0'); all_lines_xfred_no_dwidth <= '1'; elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1); all_lines_xfred_no_dwidth <= '0'; end if; end if; end process VERT_COUNTER; end generate NO_DWIDTH_VERT_COUNTER; -- Store and forward or no line buffer (CR619293) GEN_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH /= 0 and C_INCLUDE_MM2S_SF = 1 generate begin dm_decr_vcount <= '1' when s_axis_tlast = '1' and s_axis_tvalid = '1' and s_axis_tready_i = '1' else '0'; -- Delay 1 pipe to align with cnrt_vsize REG_FSYNC_TO_ALIGN : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' and frame_sync = '0')then frame_sync_d1 <= '0'; else frame_sync_d1 <= frame_sync; end if; end if; end process REG_FSYNC_TO_ALIGN; -- Count lines to determine when datamover done. Used for snf mode -- for threshold met (CR619293) DM_DONE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1')then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; --elsif(fsync_out = '1')then -- CR623088 elsif(frame_sync_d1 = '1')then -- CR623088 dm_vsize_counter <= crnt_vsize; dm_xfred_all_lines <= '0'; elsif(dm_decr_vcount = '1' and dm_vsize_counter = VSIZE_ONE_VALUE)then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '1'; elsif(dm_decr_vcount = '1' and dm_vsize_counter /= VSIZE_ZERO_VALUE)then dm_vsize_counter <= std_logic_vector(unsigned(dm_vsize_counter) - 1); dm_xfred_all_lines <= '0'; end if; end if; end process DM_DONE; end generate GEN_VCOUNT_FOR_SNF; -- Not store and forward or no line buffer (CR619293) GEN_NO_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH = 0 or C_INCLUDE_MM2S_SF = 0 generate begin dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; dm_decr_vcount <= '0'; end generate GEN_NO_VCOUNT_FOR_SNF; --*****************************************************************************-- --** SPECIAL RESET GENERATION **-- --*****************************************************************************-- -- Assert reset to skid buffer on hard reset or on shutdown when fifo pipe empty -- Waiting for fifo_pipe_empty is required to prevent a AXIS protocol violation -- when channel shut down early REG_SKID_RESET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then m_skid_reset <= '1'; elsif(fifo_pipe_empty = '1')then if(fsync_out = '1' or dm_halt_reg = '1')then m_skid_reset <= '1'; else m_skid_reset <= '0'; end if; else m_skid_reset <= '0'; end if; end if; end process REG_SKID_RESET; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit <= '1' when s_axis_resetn = '0' or frame_sync = '1' -- Frame sync or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit <= '1' when m_axis_resetn = '0' or fsync_out = '1' -- Frame sync or dm_halt_reg = '1' -- Datamover being halted else '0'; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit_nosync <= '1' when s_axis_resetn = '0' or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit_nosync <= '1' when m_axis_resetn = '0' or dm_halt_reg = '1' -- Datamover being halted else '0'; --reset for axis_dwidth mm2s_axis_linebuf_reset_out_inv <= m_axis_fifo_ainit_nosync; mm2s_axis_linebuf_reset_out <= not (mm2s_axis_linebuf_reset_out_inv); all_lines_xfred <= mm2s_all_lines_xfred_s_sig; mm2s_all_lines_xfred_s <= mm2s_all_lines_xfred_s_sig; --C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED MM2S_DWIDTH_CONV_IS : if (C_DATA_WIDTH /= C_M_AXIS_MM2S_TDATA_WIDTH) generate begin mm2s_all_lines_xfred_s_sig <= mm2s_all_lines_xfred_s_dwidth; fifo_pipe_empty <= dwidth_fifo_pipe_empty; dwidth_fifo_pipe_empty_m <= mm2s_fifo_pipe_empty_i; end generate MM2S_DWIDTH_CONV_IS; MM2S_DWIDTH_CONV_IS_NOT : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin mm2s_all_lines_xfred_s_sig <= all_lines_xfred_no_dwidth; fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted or (sf_threshold_met = '0' -- Or Threshold not met and stop_reg = '1' -- Commanded to stop and m_axis_tvalid_out = '0') -- And NOT driving tvalid else '0'; dwidth_fifo_pipe_empty_m <= '1'; end generate MM2S_DWIDTH_CONV_IS_NOT; mm2s_fsync_int <= mm2s_fsync and run_stop_reg; -- Frame sync cross bar ---- FSYNC_CROSSBAR_MM2S_S : process(fsync_src_select_s_int, ---- run_stop_reg, ---- mm2s_fsync, ---- s2mm_fsync_mm2s_s) ---- begin ---- case fsync_src_select_s_int is ---- ---- when "00" => -- primary fsync (default) ---- mm2s_fsync_int <= mm2s_fsync and run_stop_reg; ---- when "01" => -- other channel fsync ---- mm2s_fsync_int <= s2mm_fsync_mm2s_s and run_stop_reg; ---- when others => ---- mm2s_fsync_int <= '0'; ---- end case; ---- end process FSYNC_CROSSBAR_MM2S_S; FSIZE_MISMATCH_MM2S_FLUSH_SOF_s : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0')then fsize_mismatch_err_int_s <= '0'; -- fsync occurred when not all lines transferred elsif(mm2s_fsync_int = '1' and mm2s_all_lines_xfred_s_sig = '0')then fsize_mismatch_err_int_s <= '1'; else fsize_mismatch_err_int_s <= '0'; end if; end if; end process FSIZE_MISMATCH_MM2S_FLUSH_SOF_s; FSIZE_MISMATCH_FLAG_MM2S_FLUSH_SOF_s : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0' or mm2s_fsync_int = '1')then fsize_mismatch_err_flag_s <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_mismatch_err_flag_s <= '1'; end if; end if; end process FSIZE_MISMATCH_FLAG_MM2S_FLUSH_SOF_s; fsize_mismatch_err_flag_cmb_s <= fsize_mismatch_err_int_s or fsize_mismatch_err_flag_s; MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S <= fsize_mismatch_err_flag_cmb_s; mm2s_fsize_mismatch_err_s <= fsize_mismatch_err_int_s; mm2s_fsize_mismatch_err_m <= fsize_mismatch_err_int_m; mm2s_vsize_cntr_clr_flag <= fsize_mismatch_err_flag_vsize_cntr_clr or fsize_mismatch_err_int_s; D1_FSYNC_OUT : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0')then fsync_out_d1 <= '0'; else fsync_out_d1 <= fsync_out; end if; end if; end process D1_FSYNC_OUT; FLAG_VSIZE_CNTR_CLR : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0' or fsync_out_d1 = '1')then fsize_mismatch_err_flag_vsize_cntr_clr <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_mismatch_err_flag_vsize_cntr_clr <= '1'; end if; end if; end process FLAG_VSIZE_CNTR_CLR; MM2S_FSIZE_ERR_TO_DM_HALT_FLAG : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0' or dm_halt_reg = '1')then fsize_err_to_dm_halt_flag <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_err_to_dm_halt_flag <= '1'; end if; end if; end process MM2S_FSIZE_ERR_TO_DM_HALT_FLAG; fsize_err_to_dm_halt_flag_ored <= fsize_mismatch_err_int_s or fsize_err_to_dm_halt_flag or dm_halt_reg; delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and mm2s_fsync_int = '1' else '0'; MM2S_FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0'; elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1'; end if; end if; end process MM2S_FSIZE_LESS_DM_HALT_CMPLT_FLAG; MM2S_REG_D_FSYNC : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0'; else delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s; end if; end if; end process MM2S_REG_D_FSYNC; d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s; mm2s_fsync_core <= (mm2s_fsync_int and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or d_fsync_halt_cmplt_s; --mm2s_fsync_core <= mm2s_fsync_int; end generate GEN_LINEBUF_FLUSH_SOF; end implementation;
------------------------------------------------------------------------------- -- axi_vdma_mm2s_linebuf ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_mm2s_linebuf.vhd -- Description: This entity encompases the mm2s line buffer logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_mm2s_linebuf is generic ( C_DATA_WIDTH : integer range 8 to 1024 := 32; C_M_AXIS_MM2S_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Line Buffer Data Width C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_INCLUDE_MM2S_SF : integer range 0 to 1 := 0; -- Include or exclude MM2S Store And Forward Functionality -- 0 = Exclude MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; C_MM2S_SOF_ENABLE : integer range 0 to 1 := 0; -- Enable/Disable start of frame generation on tuser(0). This -- is only valid for external frame sync (C_USE_FSYNC = 1) -- 0 = disable SOF -- 1 = enable SOF C_M_AXIS_MM2S_TUSER_BITS : integer range 1 to 1 := 1; -- Master AXI Stream User Width for MM2S Channel C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142 -- Depth as set by user at top level parameter C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- Linebuffer depth in Bytes. Must be a power of 2 C_LINEBUFFER_AE_THRESH : integer range 1 to 65536 := 1; -- Linebuffer almost empty threshold in Bytes. Must be a power of 2 C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. --C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 -- --C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 -- C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1; -- Setting this make core backward compatible to 2012.4 version in terms of ports and registers C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1; -- Enable debug information bit 0 C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1; -- Enable debug information bit 1 C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1; -- Enable debug information bit 2 C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1; -- Enable debug information bit 3 C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1; -- Enable debug information bit 4 C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1; -- Enable debug information bit 5 C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1; -- Enable debug information bit 6 C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1; -- Enable debug information bit 7 C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1; -- Enable debug information bit 8 C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1; -- Enable debug information bit 9 C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1; -- Enable debug information bit 10 C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1; -- Enable debug information bit 11 C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1; -- Enable debug information bit 12 C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1; -- Enable debug information bit 13 C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1; -- Enable debug information bit 14 C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1; -- Enable debug information bit 15 ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( -- MM2S AXIS Input Side (i.e. Datamover side) s_axis_aclk : in std_logic ; -- s_axis_resetn : in std_logic ; -- -- -- MM2S AXIS Output Side -- m_axis_aclk : in std_logic ; -- m_axis_resetn : in std_logic ; -- mm2s_axis_linebuf_reset_out : out std_logic ; -- s2mm_axis_resetn : in std_logic := '1' ; -- s_axis_s2mm_aclk : in std_logic := '0' ; -- mm2s_fsync : in std_logic ; -- s2mm_fsync : in std_logic ; -- mm2s_fsync_core : out std_logic ; -- mm2s_fsize_mismatch_err_s : out std_logic ; -- mm2s_fsize_mismatch_err_m : out std_logic ; -- mm2s_vsize_cntr_clr_flag : out std_logic ; -- MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S : out std_logic ; -- fsync_src_select : in std_logic_vector(1 downto 0) ; -- -- run_stop : in std_logic ; -- -- Graceful shut down control -- dm_halt : in std_logic ; -- dm_halt_reg_out : out std_logic ; -- cmdsts_idle : in std_logic ; -- stop : in std_logic ; -- CR623291 stop_reg_out : out std_logic ; -- CR623291 -- -- Vertical Line Count control -- fsync_out : in std_logic ; -- CR616211 fsync_out_m : out std_logic ; -- CR616211 mm2s_fsize_mismatch_err_flag: in std_logic ; -- CR616211 frame_sync : in std_logic ; -- CR616211 crnt_vsize : in std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- CR616211 crnt_vsize_d2_out : out std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- CR616211 -- linebuf_threshold : in std_logic_vector -- (LINEBUFFER_THRESH_WIDTH-1 downto 0); -- -- -- Stream In (Datamover To Line Buffer) -- s_axis_tdata : in std_logic_vector -- (C_DATA_WIDTH-1 downto 0) ; -- s_axis_tkeep : in std_logic_vector -- ((C_DATA_WIDTH/8)-1 downto 0) ; -- s_axis_tlast : in std_logic ; -- s_axis_tvalid : in std_logic ; -- s_axis_tready : out std_logic ; -- -- -- -- Stream Out (Line Buffer To MM2S AXIS) -- m_axis_tdata : out std_logic_vector -- (C_DATA_WIDTH-1 downto 0) ; -- m_axis_tkeep : out std_logic_vector -- ((C_DATA_WIDTH/8)-1 downto 0) ; -- m_axis_tlast : out std_logic ; -- m_axis_tvalid : out std_logic ; -- m_axis_tready : in std_logic ; -- m_axis_tuser : out std_logic_vector -- (C_M_AXIS_MM2S_TUSER_BITS-1 downto 0); -- -- -- Fifo Status Flags -- dwidth_fifo_pipe_empty : in std_logic ; -- dwidth_fifo_pipe_empty_m : out std_logic ; -- mm2s_fifo_pipe_empty : out std_logic ; -- mm2s_fifo_empty : out std_logic ; -- mm2s_fifo_almost_empty : out std_logic ; -- mm2s_all_lines_xfred_s_dwidth : in std_logic ; -- mm2s_all_lines_xfred_s : out std_logic ; -- mm2s_all_lines_xfred : out std_logic -- CR616211 ); end axi_vdma_mm2s_linebuf; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_mm2s_linebuf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Bufer depth --constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8)); constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH; -- Buffer width is data width + strobe width + 1 bit for tlast -- Increase data width by 1 when tuser support included. --constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8) + 1; constant BUFFER_WIDTH : integer := C_DATA_WIDTH -- tdata + (C_DATA_WIDTH/8)*C_INCLUDE_MM2S_DRE -- tkeep + 1 -- tlast + (C_MM2S_SOF_ENABLE -- tuser *C_M_AXIS_MM2S_TUSER_BITS); -- Buffer data count width constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH); constant DATA_COUNT_ZERO : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0'); -- Constants for line tracking logic constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,VSIZE_DWIDTH)); constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- Linebuffer threshold support constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8)); constant THRESHOLD_PAD : std_logic_vector(THRESHOLD_LSB_INDEX-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0'); signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0'); signal fifo_wren : std_logic := '0'; signal fifo_rden : std_logic := '0'; signal fifo_empty_i : std_logic := '0'; signal fifo_full_i : std_logic := '0'; signal fifo_ainit : std_logic := '0'; signal fifo_rdcount : std_logic_vector(DATACOUNT_WIDTH -1 downto 0) := (others => '0'); signal s_axis_tready_i : std_logic := '0'; -- CR619293 signal m_axis_tready_i : std_logic := '0'; signal m_axis_tvalid_i : std_logic := '0'; signal m_axis_tlast_i : std_logic := '0'; signal m_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0'); signal m_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_tuser_i : std_logic_vector(C_M_AXIS_MM2S_TUSER_BITS - 1 downto 0) := (others => '0'); signal m_axis_tready_d1 : std_logic := '0'; signal m_axis_tlast_d1 : std_logic := '0'; signal m_axis_tvalid_d1 : std_logic := '0'; signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR575884 signal decr_vcount : std_logic := '0'; -- CR575884 signal all_lines_xfred : std_logic := '0'; -- CR616211 signal all_lines_xfred_no_dwidth : std_logic := '0'; -- CR616211 signal mm2s_all_lines_xfred_s_sig : std_logic := '0'; -- CR616211 signal m_axis_tvalid_out : std_logic := '0'; -- CR576993 signal m_axis_tlast_out : std_logic := '0'; -- CR616211 signal slv2skid_s_axis_tvalid : std_logic := '0'; -- CR576993 signal fifo_empty_d1 : std_logic := '0'; -- CR576993 -- FIFO Pipe empty signals signal fifo_pipe_empty : std_logic := '0'; signal fifo_wren_d1 : std_logic := '0'; -- CR579191 signal pot_empty : std_logic := '0'; -- CR579191 signal fifo_almost_empty_i : std_logic := '1'; -- CR604273/CR604272 signal fifo_almost_empty_d1 : std_logic := '1'; signal fifo_almost_empty_fe : std_logic := '0'; -- CR604273/CR604272 signal fifo_almost_empty_reg : std_logic := '1'; signal data_count_ae_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal data_count_ae_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal data_count_ae_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal m_data_count_ae_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0'); signal sf_threshold_met : std_logic := '0'; signal cmdsts_idle_d1 : std_logic := '0'; signal cmdsts_idle_fe : std_logic := '0'; signal stop_reg : std_logic := '0'; --CR623291 signal s_axis_fifo_ainit : std_logic := '0'; signal m_axis_fifo_ainit : std_logic := '0'; signal s_axis_fifo_ainit_nosync : std_logic := '0'; signal m_axis_fifo_ainit_nosync : std_logic := '0'; signal dm_decr_vcount : std_logic := '0'; -- CR619293 signal dm_xfred_all_lines : std_logic := '0'; -- CR619293 signal dm_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR619293 signal dm_xfred_all_lines_reg : std_logic := '0'; -- CR619293 signal sof_flag : std_logic := '0'; signal mm2s_fifo_pipe_empty_i : std_logic := '0'; signal frame_sync_d1 : std_logic := '0'; signal m_skid_reset : std_logic := '0'; signal dm_halt_reg : std_logic := '0'; signal mm2s_axis_linebuf_reset_out_inv : std_logic := '0' ; -- signal sof_reset : std_logic := '0'; signal wr_rst_busy_sig : std_logic := '0'; signal rd_rst_busy_sig : std_logic := '0'; ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true"; ATTRIBUTE async_reg OF data_count_ae_threshold_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF data_count_ae_threshold_d1 : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_fifo_pipe_empty <= mm2s_fifo_pipe_empty_i; dm_halt_reg_out <= dm_halt_reg; stop_reg_out <= stop_reg; crnt_vsize_d2_out <= crnt_vsize_d2; GEN_MM2S_DRE_ON : if C_INCLUDE_MM2S_DRE = 1 generate begin m_axis_tkeep <= m_axis_tkeep_signal; s_axis_tkeep_signal <= s_axis_tkeep; end generate GEN_MM2S_DRE_ON; GEN_MM2S_DRE_OFF : if C_INCLUDE_MM2S_DRE = 0 generate begin m_axis_tkeep <= (others => '1'); s_axis_tkeep_signal <= (others => '1'); end generate GEN_MM2S_DRE_OFF; GEN_LINEBUF_NO_SOF : if (ENABLE_FLUSH_ON_FSYNC = 0 or C_MM2S_SOF_ENABLE = 0) generate begin mm2s_fsync_core <= mm2s_fsync; MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S <= '0'; mm2s_fsize_mismatch_err_s <= '0'; --*****************************************************************************-- --** LINE BUFFER MODE (Sync or Async) **-- --*****************************************************************************-- GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate begin -- Divide by number bytes per data beat and add padding to dynamic -- threshold setting data_count_ae_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX downto THRESHOLD_LSB_INDEX); -- Synchronous clock therefore instantiate an Asynchronous FIFO GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , data_count => fifo_rdcount ); --wr_rst_busy_sig <= '0'; --rd_rst_busy_sig <= '0'; end generate GEN_SYNC_FIFO; -- Asynchronous clock therefore instantiate an Asynchronous FIFO GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => open , rd_rst_busy => open , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , wr_data_count => open , --CR622702 rd_data_count => fifo_rdcount ); wr_rst_busy_sig <= '0'; rd_rst_busy_sig <= '0'; end generate LB_BRAM; LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin generic map( PL_FIFO_TYPE => "BUILT_IN" , PL_READ_MODE => "FWFT" , PL_FASTER_CLOCK => "WR_CLK" , --RD_CLK PL_FULL_FLAGS_RST_VAL => 0 , -- ? PL_DATA_WIDTH => BUFFER_WIDTH , C_FAMILY => C_FAMILY , PL_FIFO_DEPTH => BUFFER_DEPTH ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i ); end generate LB_BUILT_IN; end generate GEN_ASYNC_FIFO; -- Generate an SOF on tuser(0). currently vdma only support 1 tuser bit that is set by -- frame sync and driven out on first data beat of mm2s packet. GEN_SOF : if ENABLE_FLUSH_ON_FSYNC = 0 and C_MM2S_SOF_ENABLE = 1 generate --signal sof_reset : std_logic := '0'; begin sof_reset <= '1' when (s_axis_resetn = '0') or (dm_halt = '1') else '0'; -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(sof_reset = '1' or fifo_wren = '1')then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-3 downto (BUFFER_WIDTH-3) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; end generate GEN_SOF; -- SOF turned off therefore do not generate SOF on tuser GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate begin GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin sof_flag <= '0'; -- AXI Slave Side of FIFO fifo_din <= s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1); m_axis_tuser_i <= (others => '0'); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin sof_flag <= '0'; -- AXI Slave Side of FIFO fifo_din <= s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1); m_axis_tuser_i <= (others => '0'); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; end generate GEN_NO_SOF; -- Top level line buffer depth not equal to zero therefore gererate threshold -- flags. (CR625142) GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Almost empty flag (note: asserts when empty also) REG_ALMST_EMPTY : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_reg <= '1'; --elsif(fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= DATA_COUNT_AE_THRESHOLD or fifo_empty_i = '1')then --elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh -- or fifo_empty_i = '1') and fifo_full_i = '0')then elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh or (fifo_empty_i = '1' or rd_rst_busy_sig = '1')))then fifo_almost_empty_reg <= '1'; else fifo_almost_empty_reg <= '0'; end if; end if; end process REG_ALMST_EMPTY; mm2s_fifo_almost_empty <= fifo_almost_empty_reg or (not sf_threshold_met) -- CR622777 or (not m_axis_tvalid_out); -- CR625724 mm2s_fifo_empty <= not m_axis_tvalid_out; end generate GEN_THRESHOLD_ENABLED; -- Top level line buffer depth is zero therefore turn off threshold logic. -- this occurs for async operation where the async fifo is needed for CDC (CR625142) GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; fifo_almost_empty_reg <= '0'; end generate GEN_THRESHOLD_DISABLED; -- CR#578903 -- FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- CR622702 - need to look at write side of fifo to prevent false empties due to async fifo --fifo_pipe_empty <= '1' when (fifo_wrcount(DATACOUNT_WIDTH-1 downto 0) = DATA_COUNT_ZERO -- Data count is 0 -- and m_axis_tvalid_out = '0') -- Skid Buffer is done -- -- Forced stop and Threshold not met (CR623291) -- or (sf_threshold_met = '0' and stop_reg = '1') -- else '0'; -- CR623879 fixed flase fifo_pipe_assertions due to extreme AXI4 throttling on -- mm2s reads causing fifo to go empty for extended periods of time. This then -- caused flase idles to be flagged and frame syncs were then generated in free run mode -------- fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted -------- or (sf_threshold_met = '0' -- Or Threshold not met -------- and stop_reg = '1' -- Commanded to stop -------- and m_axis_tvalid_out = '0') -- And NOT driving tvalid -------- else '0'; -------- -- If store and forward is turned on by user then gate tvalid with -- threshold met GEN_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 1 and C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Register fifo_almost empty in order to generate -- almost empty fall edge pulse REG_ALMST_EMPTY_FE : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_d1 <= '1'; else fifo_almost_empty_d1 <= fifo_almost_empty_reg; end if; end if; end process REG_ALMST_EMPTY_FE; -- Almost empty falling edge fifo_almost_empty_fe <= not fifo_almost_empty_reg and fifo_almost_empty_d1; -- Store and Forward threshold met THRESH_MET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then sf_threshold_met <= '0'; elsif(fsync_out = '1')then sf_threshold_met <= '0'; -- Reached threshold or all reads done for the frame elsif(fifo_almost_empty_fe = '1' or (dm_xfred_all_lines_reg = '1'))then sf_threshold_met <= '1'; end if; end if; end process THRESH_MET; end generate GEN_THRESH_MET_FOR_SNF; -- Store and forward off therefore do not need to meet threshold GEN_NO_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 0 or C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin sf_threshold_met <= '1'; end generate GEN_NO_THRESH_MET_FOR_SNF; --*********************************************************-- --** MM2S MASTER SKID BUFFER **-- --*********************************************************-- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_DATA_WIDTH , C_TUSER_WIDTH => C_M_AXIS_MM2S_TUSER_BITS ) port map( -- System Ports ACLK => m_axis_aclk , ARST => m_axis_fifo_ainit_nosync , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => m_axis_tvalid_i , S_READY => m_axis_tready_i , S_Data => m_axis_tdata_i , S_STRB => m_axis_tkeep_i , S_Last => m_axis_tlast_i , S_User => m_axis_tuser_i , -- Master Side (Stream Data Output) M_VALID => m_axis_tvalid_out , M_READY => m_axis_tready , M_Data => m_axis_tdata , M_STRB => m_axis_tkeep_signal , M_Last => m_axis_tlast_out , M_User => m_axis_tuser ); -- Pass out of core m_axis_tvalid <= m_axis_tvalid_out; m_axis_tlast <= m_axis_tlast_out; -- Register to break long timing paths for use in -- transfer complete generation REG_STRM_SIGS : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then m_axis_tlast_d1 <= '0'; m_axis_tvalid_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tlast_d1 <= m_axis_tlast_out; m_axis_tvalid_d1 <= m_axis_tvalid_out; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; end generate GEN_LINEBUFFER; --*****************************************************************************-- --** NO LINE BUFFER MODE (Sync Only) **-- --*****************************************************************************-- -- LineBuffer forced on if asynchronous mode is enabled GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate -- No Line Buffer begin -- Map Datamover to AXIS Master Out m_axis_tdata <= s_axis_tdata; m_axis_tkeep_signal <= s_axis_tkeep_signal; m_axis_tvalid <= s_axis_tvalid; m_axis_tlast <= s_axis_tlast; s_axis_tready <= m_axis_tready; -- Tie FIFO Flags off mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; -- Generate sof on tuser(0) GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate begin -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' or (s_axis_tvalid = '1' and m_axis_tready = '1'))then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; m_axis_tuser(0) <= sof_flag; end generate GEN_SOF; -- Do not generate sof on tuser(0) GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate begin sof_flag <= '0'; m_axis_tuser <= (others => '0'); end generate GEN_NO_SOF; -- CR#578903 -- Register tvalid to break timing paths for use in -- psuedo fifo empty for channel idle generation and -- for xfer complete generation. REG_STRM_SIGS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then m_axis_tvalid_d1 <= '0'; m_axis_tlast_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tvalid_d1 <= s_axis_tvalid; m_axis_tlast_d1 <= s_axis_tlast; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; -- CR#578903 -- Psuedo FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- This flag is looked at at the end of frames. -- Order of else-if is critical -- CR579191 modified method to prevent double fsync assertions REG_PIPE_EMPTY : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then fifo_pipe_empty <= '1'; -- Command/Status not idle indicates pending datamover commands -- set psuedo fifo empty to NOT empty. elsif(cmdsts_idle_fe = '1')then fifo_pipe_empty <= '0'; -- On accepted tlast then clear psuedo empty flag back to being empty elsif(pot_empty = '1' and cmdsts_idle = '1')then fifo_pipe_empty <= '1'; end if; end if; end process REG_PIPE_EMPTY; REG_IDLE_FE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then cmdsts_idle_d1 <= '1'; else cmdsts_idle_d1 <= cmdsts_idle; end if; end if; end process REG_IDLE_FE; -- CR579586 Use falling edge to set pfifo empty cmdsts_idle_fe <= not cmdsts_idle and cmdsts_idle_d1; -- CR579191 POTENTIAL_EMPTY_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '1' and m_axis_tready_d1 = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '0')then pot_empty <= '0'; end if; end if; end process POTENTIAL_EMPTY_PROCESS; end generate GEN_NO_LINEBUFFER; --*****************************************************************************-- --** MM2S ASYNCH CLOCK SUPPORT **-- --*****************************************************************************-- -- Cross fifo pipe empty flag to secondary clock domain GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Pipe Empty and Shutdown reset CDC ---- SHUTDOWN_RST_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fifo_pipe_empty , ---- scndry_out => mm2s_fifo_pipe_empty_i , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- SHUTDOWN_RST_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fifo_pipe_empty, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_fifo_pipe_empty_i, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- CR619293 ---- prmry_out => open , -- CR619293 ---- prmry_in => all_lines_xfred , ---- scndry_out => mm2s_all_lines_xfred , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ---- ALL_LINES_XFRED_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => all_lines_xfred, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_all_lines_xfred, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_xfred_all_lines , -- CR619293 ---- prmry_out => dm_xfred_all_lines_reg , -- CR619293 ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_xfred_all_lines, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_xfred_all_lines_reg, scndry_vect_out => open ); VSIZE_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then crnt_vsize_cdc_tig <= crnt_vsize; crnt_vsize_d1 <= crnt_vsize_cdc_tig; end if; end process VSIZE_CNT_CROSSING; crnt_vsize_d2 <= crnt_vsize_d1; -- Cross stop signal (CR623291) ---- STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => stop , ---- prmry_out => stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => stop_reg, scndry_vect_out => open ); -- Cross datamover halt and threshold signals ---- HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_halt , ---- prmry_out => dm_halt_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- scndry_vect_out => open ---- ); ---- HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_halt, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_halt_reg, scndry_vect_out => open ); THRESH_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then data_count_ae_threshold_cdc_tig <= data_count_ae_threshold; data_count_ae_threshold_d1 <= data_count_ae_threshold_cdc_tig; end if; end process THRESH_CNT_CROSSING; m_data_count_ae_thresh <= data_count_ae_threshold_d1; end generate GEN_FOR_ASYNC; --*****************************************************************************-- --** MM2S SYNCH CLOCK SUPPORT **-- --*****************************************************************************-- GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin mm2s_fifo_pipe_empty_i <= fifo_pipe_empty; crnt_vsize_d2 <= crnt_vsize; -- CR616211 mm2s_all_lines_xfred <= all_lines_xfred; -- CR616211 dm_xfred_all_lines_reg <= dm_xfred_all_lines; -- CR619293 stop_reg <= stop; -- CR623291 dm_halt_reg <= dm_halt; m_data_count_ae_thresh <= data_count_ae_threshold; end generate GEN_FOR_SYNC; --***************************************************************************** --** Vertical Line Tracking (CR616211) --***************************************************************************** -- Decrement vertical count with each accept tlast decr_vcount <= '1' when m_axis_tlast_d1 = '1' and m_axis_tvalid_d1 = '1' and m_axis_tready_d1 = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. VERT_COUNTER : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1' and fsync_out = '0')then vsize_counter <= (others => '0'); all_lines_xfred <= '1'; elsif(fsync_out = '1')then vsize_counter <= crnt_vsize_d2; all_lines_xfred <= '0'; elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then vsize_counter <= (others => '0'); all_lines_xfred <= '1'; elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1); all_lines_xfred <= '0'; end if; end if; end process VERT_COUNTER; -- Store and forward or no line buffer (CR619293) GEN_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH /= 0 and C_INCLUDE_MM2S_SF = 1 generate begin dm_decr_vcount <= '1' when s_axis_tlast = '1' and s_axis_tvalid = '1' and s_axis_tready_i = '1' else '0'; -- Delay 1 pipe to align with cnrt_vsize REG_FSYNC_TO_ALIGN : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' and frame_sync = '0')then frame_sync_d1 <= '0'; else frame_sync_d1 <= frame_sync; end if; end if; end process REG_FSYNC_TO_ALIGN; -- Count lines to determine when datamover done. Used for snf mode -- for threshold met (CR619293) DM_DONE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1')then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; --elsif(fsync_out = '1')then -- CR623088 elsif(frame_sync_d1 = '1')then -- CR623088 dm_vsize_counter <= crnt_vsize; dm_xfred_all_lines <= '0'; elsif(dm_decr_vcount = '1' and dm_vsize_counter = VSIZE_ONE_VALUE)then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '1'; elsif(dm_decr_vcount = '1' and dm_vsize_counter /= VSIZE_ZERO_VALUE)then dm_vsize_counter <= std_logic_vector(unsigned(dm_vsize_counter) - 1); dm_xfred_all_lines <= '0'; end if; end if; end process DM_DONE; end generate GEN_VCOUNT_FOR_SNF; -- Not store and forward or no line buffer (CR619293) GEN_NO_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH = 0 or C_INCLUDE_MM2S_SF = 0 generate begin dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; dm_decr_vcount <= '0'; end generate GEN_NO_VCOUNT_FOR_SNF; --*****************************************************************************-- --** SPECIAL RESET GENERATION **-- --*****************************************************************************-- -- Assert reset to skid buffer on hard reset or on shutdown when fifo pipe empty -- Waiting for fifo_pipe_empty is required to prevent a AXIS protocol violation -- when channel shut down early REG_SKID_RESET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then m_skid_reset <= '1'; elsif(fifo_pipe_empty = '1')then if(fsync_out = '1' or dm_halt_reg = '1')then m_skid_reset <= '1'; else m_skid_reset <= '0'; end if; else m_skid_reset <= '0'; end if; end if; end process REG_SKID_RESET; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit <= '1' when s_axis_resetn = '0' or frame_sync = '1' -- Frame sync or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit <= '1' when m_axis_resetn = '0' or fsync_out = '1' -- Frame sync or dm_halt_reg = '1' -- Datamover being halted else '0'; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit_nosync <= '1' when s_axis_resetn = '0' or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit_nosync <= '1' when m_axis_resetn = '0' or dm_halt_reg = '1' -- Datamover being halted else '0'; --reset for axis_dwidth mm2s_axis_linebuf_reset_out_inv <= m_axis_fifo_ainit_nosync; mm2s_axis_linebuf_reset_out <= not (mm2s_axis_linebuf_reset_out_inv); MM2S_DWIDTH_CONV_IS : if (C_DATA_WIDTH /= C_M_AXIS_MM2S_TDATA_WIDTH) generate begin fifo_pipe_empty <= dwidth_fifo_pipe_empty; dwidth_fifo_pipe_empty_m <= mm2s_fifo_pipe_empty_i; end generate MM2S_DWIDTH_CONV_IS; MM2S_DWIDTH_CONV_IS_NOT : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted or (sf_threshold_met = '0' -- Or Threshold not met and stop_reg = '1' -- Commanded to stop and m_axis_tvalid_out = '0') -- And NOT driving tvalid else '0'; dwidth_fifo_pipe_empty_m <= '1'; end generate MM2S_DWIDTH_CONV_IS_NOT; mm2s_all_lines_xfred_s <= '0'; fsync_out_m <= '0'; mm2s_vsize_cntr_clr_flag <= '0'; mm2s_fsize_mismatch_err_m <= '0'; end generate GEN_LINEBUF_NO_SOF; GEN_LINEBUF_FLUSH_SOF : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_MM2S_SOF_ENABLE = 1) generate signal s2mm_fsync_mm2s_s : std_logic := '0'; signal run_stop_reg : std_logic := '0'; signal fsync_out_d1 : std_logic := '0'; signal mm2s_fsync_int : std_logic := '0'; signal fsize_mismatch_err_int_s : std_logic := '0'; signal fsize_mismatch_err_int_m : std_logic := '0'; signal fsize_mismatch_err_flag_s : std_logic := '0'; signal fsize_mismatch_err_flag_vsize_cntr_clr : std_logic := '0'; signal fsize_mismatch_err_flag_cmb_s : std_logic := '0'; signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0'); signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0'); signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0'); signal fsize_err_to_dm_halt_flag : std_logic := '0'; signal fsize_err_to_dm_halt_flag_ored : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0'; signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0'; signal d_fsync_halt_cmplt_s : std_logic := '0'; ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true"; begin --*****************************************************************************-- --** LINE BUFFER MODE (Sync or Async) **-- --*****************************************************************************-- GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate begin -- Divide by number bytes per data beat and add padding to dynamic -- threshold setting data_count_ae_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX downto THRESHOLD_LSB_INDEX); -- Synchronous clock therefore instantiate an Asynchronous FIFO GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , data_count => fifo_rdcount ); --wr_rst_busy_sig <= '0'; --rd_rst_busy_sig <= '0'; end generate GEN_SYNC_FIFO; -- Asynchronous clock therefore instantiate an Asynchronous FIFO GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo generic map( UW_DATA_WIDTH => BUFFER_WIDTH , C_FULL_FLAGS_RST_VAL => 1 , UW_FIFO_DEPTH => BUFFER_DEPTH , C_FAMILY => C_FAMILY ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => open , rd_rst_busy => open , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i , wr_data_count => open , --CR622702 rd_data_count => fifo_rdcount ); wr_rst_busy_sig <= '0'; rd_rst_busy_sig <= '0'; end generate LB_BRAM; LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) ) generate begin I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin generic map( PL_FIFO_TYPE => "BUILT_IN" , PL_READ_MODE => "FWFT" , PL_FASTER_CLOCK => "WR_CLK" , --RD_CLK PL_FULL_FLAGS_RST_VAL => 0 , -- ? PL_DATA_WIDTH => BUFFER_WIDTH , C_FAMILY => C_FAMILY , PL_FIFO_DEPTH => BUFFER_DEPTH ) port map( -- Inputs rst => s_axis_fifo_ainit_nosync , sleep => '0' , wr_rst_busy => wr_rst_busy_sig , rd_rst_busy => rd_rst_busy_sig , wr_clk => s_axis_aclk , wr_en => fifo_wren , din => fifo_din , rd_clk => m_axis_aclk , rd_en => fifo_rden , -- Outputs dout => fifo_dout , full => fifo_full_i , empty => fifo_empty_i ); end generate LB_BUILT_IN; end generate GEN_ASYNC_FIFO; -- Generate an SOF on tuser(0). currently vdma only support 1 tuser bit that is set by -- frame sync and driven out on first data beat of mm2s packet. ------ GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate ------ signal sof_reset : std_logic := '0'; ------ begin sof_reset <= '1' when (s_axis_resetn = '0') or (dm_halt = '1') else '0'; -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(sof_reset = '1' or fifo_wren = '1')then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; GEN_MM2S_DRE_ENABLED_TKEEP : if C_INCLUDE_MM2S_DRE = 1 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tkeep_signal & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-3 downto (BUFFER_WIDTH-3) - (C_DATA_WIDTH/8) + 1); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_MM2S_DRE_ENABLED_TKEEP; GEN_NO_MM2S_DRE_DISABLE_TKEEP : if C_INCLUDE_MM2S_DRE = 0 generate begin -- AXI Slave Side of FIFO fifo_din <= sof_flag & s_axis_tlast & s_axis_tdata; fifo_wren <= s_axis_tvalid and s_axis_tready_i; s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit; s_axis_tready <= s_axis_tready_i; -- CR619293 -- AXI Master Side of FIFO fifo_rden <= m_axis_tready_i and m_axis_tvalid_i; m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig and sf_threshold_met; m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); m_axis_tkeep_i <= (others => '1'); m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-2); m_axis_tuser_i(0) <= fifo_dout(BUFFER_WIDTH-1); end generate GEN_NO_MM2S_DRE_DISABLE_TKEEP; ------ end generate GEN_SOF; ------ ------ -- SOF turned off therefore do not generate SOF on tuser ---------- GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate ---------- begin ---------- ---------- sof_flag <= '0'; ---------- ---------- -- AXI Slave Side of FIFO ---------- fifo_din <= s_axis_tlast & s_axis_tkeep & s_axis_tdata; ---------- fifo_wren <= s_axis_tvalid and not fifo_full_i and not s_axis_fifo_ainit; ---------- s_axis_tready_i <= not fifo_full_i and not s_axis_fifo_ainit; ---------- s_axis_tready <= s_axis_tready_i; -- CR619293 ---------- ---------- -- AXI Master Side of FIFO ---------- fifo_rden <= m_axis_tready_i and not fifo_empty_i and sf_threshold_met; ---------- m_axis_tvalid_i <= not fifo_empty_i and sf_threshold_met; ---------- m_axis_tdata_i <= fifo_dout(C_DATA_WIDTH-1 downto 0); ---------- m_axis_tkeep_i <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1); ---------- m_axis_tlast_i <= not fifo_empty_i and fifo_dout(BUFFER_WIDTH-1); ---------- m_axis_tuser_i <= (others => '0'); ---------- ---------- end generate GEN_NO_SOF; -- Top level line buffer depth not equal to zero therefore gererate threshold -- flags. (CR625142) GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Almost empty flag (note: asserts when empty also) REG_ALMST_EMPTY : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_reg <= '1'; --elsif(fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= DATA_COUNT_AE_THRESHOLD or fifo_empty_i = '1')then --elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh -- or fifo_empty_i = '1') and fifo_full_i = '0')then elsif((fifo_rdcount(DATACOUNT_WIDTH-1 downto 0) <= m_data_count_ae_thresh or (fifo_empty_i = '1' or rd_rst_busy_sig = '1')))then fifo_almost_empty_reg <= '1'; else fifo_almost_empty_reg <= '0'; end if; end if; end process REG_ALMST_EMPTY; mm2s_fifo_almost_empty <= fifo_almost_empty_reg or (not sf_threshold_met) -- CR622777 or (not m_axis_tvalid_out); -- CR625724 mm2s_fifo_empty <= not m_axis_tvalid_out; end generate GEN_THRESHOLD_ENABLED; -- Top level line buffer depth is zero therefore turn off threshold logic. -- this occurs for async operation where the async fifo is needed for CDC (CR625142) GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; fifo_almost_empty_reg <= '0'; end generate GEN_THRESHOLD_DISABLED; -- CR#578903 -- FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- CR622702 - need to look at write side of fifo to prevent false empties due to async fifo --fifo_pipe_empty <= '1' when (fifo_wrcount(DATACOUNT_WIDTH-1 downto 0) = DATA_COUNT_ZERO -- Data count is 0 -- and m_axis_tvalid_out = '0') -- Skid Buffer is done -- -- Forced stop and Threshold not met (CR623291) -- or (sf_threshold_met = '0' and stop_reg = '1') -- else '0'; -- CR623879 fixed flase fifo_pipe_assertions due to extreme AXI4 throttling on -- mm2s reads causing fifo to go empty for extended periods of time. This then -- caused flase idles to be flagged and frame syncs were then generated in free run mode ---------------- fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted ---------------- or (sf_threshold_met = '0' -- Or Threshold not met ---------------- and stop_reg = '1' -- Commanded to stop ---------------- and m_axis_tvalid_out = '0') -- And NOT driving tvalid ---------------- else '0'; ---------------- -- If store and forward is turned on by user then gate tvalid with -- threshold met GEN_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 1 and C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin -- Register fifo_almost empty in order to generate -- almost empty fall edge pulse REG_ALMST_EMPTY_FE : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then fifo_almost_empty_d1 <= '1'; else fifo_almost_empty_d1 <= fifo_almost_empty_reg; end if; end if; end process REG_ALMST_EMPTY_FE; -- Almost empty falling edge fifo_almost_empty_fe <= not fifo_almost_empty_reg and fifo_almost_empty_d1; -- Store and Forward threshold met THRESH_MET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then sf_threshold_met <= '0'; elsif(fsync_out = '1')then sf_threshold_met <= '0'; -- Reached threshold or all reads done for the frame elsif(fifo_almost_empty_fe = '1' or (dm_xfred_all_lines_reg = '1'))then sf_threshold_met <= '1'; end if; end if; end process THRESH_MET; end generate GEN_THRESH_MET_FOR_SNF; -- Store and forward off therefore do not need to meet threshold GEN_NO_THRESH_MET_FOR_SNF : if C_INCLUDE_MM2S_SF = 0 or C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin sf_threshold_met <= '1'; end generate GEN_NO_THRESH_MET_FOR_SNF; --*********************************************************-- --** MM2S MASTER SKID BUFFER **-- --*********************************************************-- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_DATA_WIDTH , C_TUSER_WIDTH => C_M_AXIS_MM2S_TUSER_BITS ) port map( -- System Ports ACLK => m_axis_aclk , ARST => m_axis_fifo_ainit_nosync , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => m_axis_tvalid_i , S_READY => m_axis_tready_i , S_Data => m_axis_tdata_i , S_STRB => m_axis_tkeep_i , S_Last => m_axis_tlast_i , S_User => m_axis_tuser_i , -- Master Side (Stream Data Output) M_VALID => m_axis_tvalid_out , M_READY => m_axis_tready , M_Data => m_axis_tdata , M_STRB => m_axis_tkeep_signal , M_Last => m_axis_tlast_out , M_User => m_axis_tuser ); -- Pass out of core m_axis_tvalid <= m_axis_tvalid_out; m_axis_tlast <= m_axis_tlast_out; -- Register to break long timing paths for use in -- transfer complete generation REG_STRM_SIGS : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_fifo_ainit = '1')then m_axis_tlast_d1 <= '0'; m_axis_tvalid_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tlast_d1 <= m_axis_tlast_out; m_axis_tvalid_d1 <= m_axis_tvalid_out; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; end generate GEN_LINEBUFFER; --*****************************************************************************-- --** NO LINE BUFFER MODE (Sync Only) **-- --*****************************************************************************-- -- LineBuffer forced on if asynchronous mode is enabled GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate -- No Line Buffer begin -- Map Datamover to AXIS Master Out m_axis_tdata <= s_axis_tdata; m_axis_tkeep_signal <= s_axis_tkeep_signal; m_axis_tvalid <= s_axis_tvalid; m_axis_tlast <= s_axis_tlast; s_axis_tready <= m_axis_tready; -- Tie FIFO Flags off mm2s_fifo_empty <= '0'; mm2s_fifo_almost_empty <= '0'; -- Generate sof on tuser(0) ---- GEN_SOF : if C_MM2S_SOF_ENABLE = 1 generate --- begin -- On frame sync set flag and then clear flag when -- sof written to fifo. SOF_FLAG_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' or (s_axis_tvalid = '1' and m_axis_tready = '1'))then sof_flag <= '0'; elsif(frame_sync = '1')then sof_flag <= '1'; end if; end if; end process SOF_FLAG_PROCESS; m_axis_tuser(0) <= sof_flag; --- end generate GEN_SOF; -- Do not generate sof on tuser(0) ----- GEN_NO_SOF : if C_MM2S_SOF_ENABLE = 0 generate ----- begin ----- sof_flag <= '0'; ----- m_axis_tuser <= (others => '0'); ----- end generate GEN_NO_SOF; -- CR#578903 -- Register tvalid to break timing paths for use in -- psuedo fifo empty for channel idle generation and -- for xfer complete generation. REG_STRM_SIGS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then m_axis_tvalid_d1 <= '0'; m_axis_tlast_d1 <= '0'; m_axis_tready_d1 <= '0'; else m_axis_tvalid_d1 <= s_axis_tvalid; m_axis_tlast_d1 <= s_axis_tlast; m_axis_tready_d1 <= m_axis_tready; end if; end if; end process REG_STRM_SIGS; -- CR#578903 -- Psuedo FIFO, FIFO Pipe, and Skid Buffer are all empty. This is used to safely -- assert reset on shutdown and also used to safely generate fsync in free-run mode -- This flag is looked at at the end of frames. -- Order of else-if is critical -- CR579191 modified method to prevent double fsync assertions REG_PIPE_EMPTY : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then fifo_pipe_empty <= '1'; -- Command/Status not idle indicates pending datamover commands -- set psuedo fifo empty to NOT empty. elsif(cmdsts_idle_fe = '1')then fifo_pipe_empty <= '0'; -- On accepted tlast then clear psuedo empty flag back to being empty elsif(pot_empty = '1' and cmdsts_idle = '1')then fifo_pipe_empty <= '1'; end if; end if; end process REG_PIPE_EMPTY; REG_IDLE_FE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then cmdsts_idle_d1 <= '1'; else cmdsts_idle_d1 <= cmdsts_idle; end if; end if; end process REG_IDLE_FE; -- CR579586 Use falling edge to set pfifo empty cmdsts_idle_fe <= not cmdsts_idle and cmdsts_idle_d1; -- CR579191 POTENTIAL_EMPTY_PROCESS : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_resetn = '0' or dm_halt = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '1' and m_axis_tready_d1 = '1')then pot_empty <= '1'; elsif(m_axis_tvalid_d1 = '1' and m_axis_tlast_d1 = '0')then pot_empty <= '0'; end if; end if; end process POTENTIAL_EMPTY_PROCESS; end generate GEN_NO_LINEBUFFER; --*****************************************************************************-- --** MM2S ASYNCH CLOCK SUPPORT **-- --*****************************************************************************-- -- Cross fifo pipe empty flag to secondary clock domain GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Pipe Empty and Shutdown reset CDC ---- SHUTDOWN_RST_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fifo_pipe_empty , ---- scndry_out => mm2s_fifo_pipe_empty_i , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- SHUTDOWN_RST_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fifo_pipe_empty, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_fifo_pipe_empty_i, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- CR619293 ---- prmry_out => open , -- CR619293 ---- prmry_in => all_lines_xfred , ---- scndry_out => mm2s_all_lines_xfred , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => all_lines_xfred, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => mm2s_all_lines_xfred, scndry_vect_out => open ); -- Vertical Count and All Lines Transferred CDC (CR616211) ---- ALL_LINES_XFRED_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_xfred_all_lines , -- CR619293 ---- prmry_out => dm_xfred_all_lines_reg , -- CR619293 ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- ALL_LINES_XFRED_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_xfred_all_lines, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_xfred_all_lines_reg, scndry_vect_out => open ); VSIZE_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then crnt_vsize_cdc_tig <= crnt_vsize; crnt_vsize_d1 <= crnt_vsize_cdc_tig; end if; end process VSIZE_CNT_CROSSING; crnt_vsize_d2 <= crnt_vsize_d1; -- Cross stop signal (CR623291) ---- STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => stop , ---- prmry_out => stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => stop_reg, scndry_vect_out => open ); ---- MM2S_RUN_STOP_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => run_stop , ---- prmry_out => run_stop_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); MM2S_RUN_STOP_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => run_stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => run_stop_reg, scndry_vect_out => open ); ---- MM2S_FSIZE_ERR_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => fsize_mismatch_err_int_s , ---- scndry_out => fsize_mismatch_err_int_m , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- MM2S_FSIZE_ERR_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fsize_mismatch_err_int_s, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => fsize_mismatch_err_int_m, scndry_vect_out => open ); ---- MM2S_FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => fsync_out , ---- scndry_out => fsync_out_m , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- MM2S_FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axis_aclk, prmry_resetn => m_axis_resetn, prmry_in => fsync_out, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_aclk, scndry_resetn => s_axis_resetn, scndry_out => fsync_out_m, scndry_vect_out => open ); GEN_FSYNC_SEL_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then fsync_src_select_cdc_tig <= fsync_src_select; fsync_src_select_d1 <= fsync_src_select_cdc_tig; end if; end process GEN_FSYNC_SEL_CROSSING; fsync_src_select_s_int <= fsync_src_select_d1; -- Cross datamover halt and threshold signals ---- HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axis_aclk , ---- prmry_resetn => m_axis_resetn , ---- scndry_aclk => s_axis_aclk , ---- scndry_resetn => s_axis_resetn , ---- scndry_in => dm_halt , ---- prmry_out => dm_halt_reg , ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- scndry_vect_out => open ---- ); ---- HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_aclk, prmry_resetn => s_axis_resetn, prmry_in => dm_halt, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => dm_halt_reg, scndry_vect_out => open ); THRESH_CNT_CROSSING : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then data_count_ae_threshold_cdc_tig <= data_count_ae_threshold; data_count_ae_threshold_d1 <= data_count_ae_threshold_cdc_tig; end if; end process THRESH_CNT_CROSSING; m_data_count_ae_thresh <= data_count_ae_threshold_d1; GEN_ASYNC_CROSS_FSYNC : if C_INCLUDE_S2MM = 1 generate begin ---- CROSS_FSYNC_CDC_I_FLUSH_MM2S_SOF : entity axi_vdma_v6_2.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axis_s2mm_aclk , ---- prmry_resetn => s2mm_axis_resetn , ---- scndry_aclk => m_axis_aclk , ---- scndry_resetn => m_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => s2mm_fsync , ---- scndry_out => s2mm_fsync_mm2s_s , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- CROSS_FSYNC_CDC_I_FLUSH_MM2S_SOF : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_s2mm_aclk, prmry_resetn => s2mm_axis_resetn, prmry_in => s2mm_fsync, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axis_aclk, scndry_resetn => m_axis_resetn, scndry_out => s2mm_fsync_mm2s_s, scndry_vect_out => open ); end generate GEN_ASYNC_CROSS_FSYNC; GEN_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_S2MM = 0 generate begin s2mm_fsync_mm2s_s <= '0'; end generate GEN_ASYNC_NO_CROSS_FSYNC; end generate GEN_FOR_ASYNC; --*****************************************************************************-- --** MM2S SYNCH CLOCK SUPPORT **-- --*****************************************************************************-- GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin mm2s_fifo_pipe_empty_i <= fifo_pipe_empty; crnt_vsize_d2 <= crnt_vsize; -- CR616211 mm2s_all_lines_xfred <= all_lines_xfred; -- CR616211 dm_xfred_all_lines_reg <= dm_xfred_all_lines; -- CR619293 stop_reg <= stop; -- CR623291 run_stop_reg <= run_stop; -- CR623291 fsync_out_m <= fsync_out; -- CR623291 dm_halt_reg <= dm_halt; m_data_count_ae_thresh <= data_count_ae_threshold; fsync_src_select_s_int <= fsync_src_select; fsize_mismatch_err_int_m <= fsize_mismatch_err_int_s; GEN_SYNC_CROSS_FSYNC : if C_INCLUDE_S2MM = 1 generate begin s2mm_fsync_mm2s_s <= s2mm_fsync; end generate GEN_SYNC_CROSS_FSYNC; GEN_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_S2MM = 0 generate begin s2mm_fsync_mm2s_s <= '0'; end generate GEN_SYNC_NO_CROSS_FSYNC; end generate GEN_FOR_SYNC; NO_DWIDTH_VERT_COUNTER : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin --***************************************************************************** --** Vertical Line Tracking (CR616211) --***************************************************************************** -- Decrement vertical count with each accept tlast decr_vcount <= '1' when m_axis_tlast_d1 = '1' and m_axis_tvalid_d1 = '1' and m_axis_tready_d1 = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. VERT_COUNTER : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if((m_axis_fifo_ainit = '1' and fsync_out = '0') or fsize_mismatch_err_flag_vsize_cntr_clr = '1' )then vsize_counter <= (others => '0'); all_lines_xfred_no_dwidth <= '1'; elsif(fsync_out = '1')then vsize_counter <= crnt_vsize_d2; all_lines_xfred_no_dwidth <= '0'; elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then vsize_counter <= (others => '0'); all_lines_xfred_no_dwidth <= '1'; elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1); all_lines_xfred_no_dwidth <= '0'; end if; end if; end process VERT_COUNTER; end generate NO_DWIDTH_VERT_COUNTER; -- Store and forward or no line buffer (CR619293) GEN_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH /= 0 and C_INCLUDE_MM2S_SF = 1 generate begin dm_decr_vcount <= '1' when s_axis_tlast = '1' and s_axis_tvalid = '1' and s_axis_tready_i = '1' else '0'; -- Delay 1 pipe to align with cnrt_vsize REG_FSYNC_TO_ALIGN : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1' and frame_sync = '0')then frame_sync_d1 <= '0'; else frame_sync_d1 <= frame_sync; end if; end if; end process REG_FSYNC_TO_ALIGN; -- Count lines to determine when datamover done. Used for snf mode -- for threshold met (CR619293) DM_DONE : process(s_axis_aclk) begin if(s_axis_aclk'EVENT and s_axis_aclk = '1')then if(s_axis_fifo_ainit = '1')then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; --elsif(fsync_out = '1')then -- CR623088 elsif(frame_sync_d1 = '1')then -- CR623088 dm_vsize_counter <= crnt_vsize; dm_xfred_all_lines <= '0'; elsif(dm_decr_vcount = '1' and dm_vsize_counter = VSIZE_ONE_VALUE)then dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '1'; elsif(dm_decr_vcount = '1' and dm_vsize_counter /= VSIZE_ZERO_VALUE)then dm_vsize_counter <= std_logic_vector(unsigned(dm_vsize_counter) - 1); dm_xfred_all_lines <= '0'; end if; end if; end process DM_DONE; end generate GEN_VCOUNT_FOR_SNF; -- Not store and forward or no line buffer (CR619293) GEN_NO_VCOUNT_FOR_SNF : if C_LINEBUFFER_DEPTH = 0 or C_INCLUDE_MM2S_SF = 0 generate begin dm_vsize_counter <= (others => '0'); dm_xfred_all_lines <= '0'; dm_decr_vcount <= '0'; end generate GEN_NO_VCOUNT_FOR_SNF; --*****************************************************************************-- --** SPECIAL RESET GENERATION **-- --*****************************************************************************-- -- Assert reset to skid buffer on hard reset or on shutdown when fifo pipe empty -- Waiting for fifo_pipe_empty is required to prevent a AXIS protocol violation -- when channel shut down early REG_SKID_RESET : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then m_skid_reset <= '1'; elsif(fifo_pipe_empty = '1')then if(fsync_out = '1' or dm_halt_reg = '1')then m_skid_reset <= '1'; else m_skid_reset <= '0'; end if; else m_skid_reset <= '0'; end if; end if; end process REG_SKID_RESET; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit <= '1' when s_axis_resetn = '0' or frame_sync = '1' -- Frame sync or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit <= '1' when m_axis_resetn = '0' or fsync_out = '1' -- Frame sync or dm_halt_reg = '1' -- Datamover being halted else '0'; -- Fifo/logic reset for slave side clock domain (m_axi_mm2s_aclk) -- If error (dm_halt=1) then halt immediatly without protocol violation s_axis_fifo_ainit_nosync <= '1' when s_axis_resetn = '0' or dm_halt = '1' -- Datamover being halted (halt due to error) else '0'; -- Fifo/logic reset for master side clock domain (m_axis_mm2s_aclk) m_axis_fifo_ainit_nosync <= '1' when m_axis_resetn = '0' or dm_halt_reg = '1' -- Datamover being halted else '0'; --reset for axis_dwidth mm2s_axis_linebuf_reset_out_inv <= m_axis_fifo_ainit_nosync; mm2s_axis_linebuf_reset_out <= not (mm2s_axis_linebuf_reset_out_inv); all_lines_xfred <= mm2s_all_lines_xfred_s_sig; mm2s_all_lines_xfred_s <= mm2s_all_lines_xfred_s_sig; --C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED MM2S_DWIDTH_CONV_IS : if (C_DATA_WIDTH /= C_M_AXIS_MM2S_TDATA_WIDTH) generate begin mm2s_all_lines_xfred_s_sig <= mm2s_all_lines_xfred_s_dwidth; fifo_pipe_empty <= dwidth_fifo_pipe_empty; dwidth_fifo_pipe_empty_m <= mm2s_fifo_pipe_empty_i; end generate MM2S_DWIDTH_CONV_IS; MM2S_DWIDTH_CONV_IS_NOT : if (C_DATA_WIDTH = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin mm2s_all_lines_xfred_s_sig <= all_lines_xfred_no_dwidth; fifo_pipe_empty <= '1' when (all_lines_xfred = '1' and m_axis_tvalid_out = '0') -- All data for frame transmitted or (sf_threshold_met = '0' -- Or Threshold not met and stop_reg = '1' -- Commanded to stop and m_axis_tvalid_out = '0') -- And NOT driving tvalid else '0'; dwidth_fifo_pipe_empty_m <= '1'; end generate MM2S_DWIDTH_CONV_IS_NOT; mm2s_fsync_int <= mm2s_fsync and run_stop_reg; -- Frame sync cross bar ---- FSYNC_CROSSBAR_MM2S_S : process(fsync_src_select_s_int, ---- run_stop_reg, ---- mm2s_fsync, ---- s2mm_fsync_mm2s_s) ---- begin ---- case fsync_src_select_s_int is ---- ---- when "00" => -- primary fsync (default) ---- mm2s_fsync_int <= mm2s_fsync and run_stop_reg; ---- when "01" => -- other channel fsync ---- mm2s_fsync_int <= s2mm_fsync_mm2s_s and run_stop_reg; ---- when others => ---- mm2s_fsync_int <= '0'; ---- end case; ---- end process FSYNC_CROSSBAR_MM2S_S; FSIZE_MISMATCH_MM2S_FLUSH_SOF_s : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0')then fsize_mismatch_err_int_s <= '0'; -- fsync occurred when not all lines transferred elsif(mm2s_fsync_int = '1' and mm2s_all_lines_xfred_s_sig = '0')then fsize_mismatch_err_int_s <= '1'; else fsize_mismatch_err_int_s <= '0'; end if; end if; end process FSIZE_MISMATCH_MM2S_FLUSH_SOF_s; FSIZE_MISMATCH_FLAG_MM2S_FLUSH_SOF_s : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0' or mm2s_fsync_int = '1')then fsize_mismatch_err_flag_s <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_mismatch_err_flag_s <= '1'; end if; end if; end process FSIZE_MISMATCH_FLAG_MM2S_FLUSH_SOF_s; fsize_mismatch_err_flag_cmb_s <= fsize_mismatch_err_int_s or fsize_mismatch_err_flag_s; MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S <= fsize_mismatch_err_flag_cmb_s; mm2s_fsize_mismatch_err_s <= fsize_mismatch_err_int_s; mm2s_fsize_mismatch_err_m <= fsize_mismatch_err_int_m; mm2s_vsize_cntr_clr_flag <= fsize_mismatch_err_flag_vsize_cntr_clr or fsize_mismatch_err_int_s; D1_FSYNC_OUT : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0')then fsync_out_d1 <= '0'; else fsync_out_d1 <= fsync_out; end if; end if; end process D1_FSYNC_OUT; FLAG_VSIZE_CNTR_CLR : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk='1')then if(m_axis_resetn = '0' or fsync_out_d1 = '1')then fsize_mismatch_err_flag_vsize_cntr_clr <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_mismatch_err_flag_vsize_cntr_clr <= '1'; end if; end if; end process FLAG_VSIZE_CNTR_CLR; MM2S_FSIZE_ERR_TO_DM_HALT_FLAG : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0' or dm_halt_reg = '1')then fsize_err_to_dm_halt_flag <= '0'; elsif(fsize_mismatch_err_int_s = '1')then fsize_err_to_dm_halt_flag <= '1'; end if; end if; end process MM2S_FSIZE_ERR_TO_DM_HALT_FLAG; fsize_err_to_dm_halt_flag_ored <= fsize_mismatch_err_int_s or fsize_err_to_dm_halt_flag or dm_halt_reg; delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and mm2s_fsync_int = '1' else '0'; MM2S_FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0'; elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1'; end if; end if; end process MM2S_FSIZE_LESS_DM_HALT_CMPLT_FLAG; MM2S_REG_D_FSYNC : process(m_axis_aclk) begin if(m_axis_aclk'EVENT and m_axis_aclk = '1')then if(m_axis_resetn = '0')then delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0'; else delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s; end if; end if; end process MM2S_REG_D_FSYNC; d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s; mm2s_fsync_core <= (mm2s_fsync_int and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or d_fsync_halt_cmplt_s; --mm2s_fsync_core <= mm2s_fsync_int; end generate GEN_LINEBUF_FLUSH_SOF; end implementation;
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use work.zyehw_pkg.all; entity axi_data is port ( s_axi_aclk: in std_logic; s_axi_aresetn: in std_logic; s_axi_arvalid: in std_logic; s_axi_awvalid: in std_logic; s_axi_bready: in std_logic; s_axi_rready: in std_logic; s_axi_wvalid: in std_logic; s_axi_arprot: in std_logic_vector(2 downto 0); s_axi_awprot: in std_logic_vector(2 downto 0); s_axi_araddr: in std_logic_vector(31 downto 0); s_axi_awaddr: in std_logic_vector(31 downto 0); s_axi_wdata: in std_logic_vector(31 downto 0); s_axi_wstrb: in std_logic_vector(3 downto 0); s_axi_arready: out std_logic; s_axi_awready: out std_logic; s_axi_bvalid: out std_logic; s_axi_rvalid: out std_logic; s_axi_wready: out std_logic; s_axi_bresp: out std_logic_vector(1 downto 0); s_axi_rresp: out std_logic_vector(1 downto 0); s_axi_rdata: out std_logic_vector(31 downto 0); near_full: in std_logic; dataout_wr: out std_logic; dataout: out fifo_t ); end axi_data; architecture beh_axi_data of axi_data is -- Speed at 180 MHz: 144 ns / sample (without sample generation) -- Speed at 100 MHz: 200 ns / sample (without sample generation) constant addr_width: integer:= 32; constant data_width: integer:= 32; constant w32bytes: integer:= data_width/8; signal awready: std_logic; signal wready: std_logic; signal bvalid: std_logic; signal arready: std_logic; signal rdata: std_logic_vector(addr_width-1 downto 0); signal rvalid: std_logic; subtype reg_t is std_logic_vector(data_width-1 downto 0); signal databus: std_logic_vector(data_width-1 downto 0); signal reg: reg_t; signal stat_reg: reg_t; signal reg_rden: std_logic; signal reg_wren: std_logic; signal datawr: std_logic:= '0'; begin stat_reg(0) <= near_full; stat_reg(reg_t'high downto 1) <= (others => '0'); dataout_wr <= datawr; dataout.input <= reg(1*cgp_t'length-1 downto 0*cgp_t'length); dataout.output <= reg(2*cgp_t'length-1 downto 1*cgp_t'length); -- The register is updated when reg_wren = 1 and there is a rising edge on -- s_axi_clk. The register value is valid in the next cycle, therefore we -- need to delay this signal. process (s_axi_aclk) is begin if rising_edge(s_axi_aclk) then datawr <= reg_wren; end if; end process; s_axi_awready <= awready; s_axi_wready <= wready; s_axi_bvalid <= bvalid; s_axi_arready <= arready; s_axi_rdata <= rdata; s_axi_rvalid <= rvalid; reg_wren <= wready and s_axi_wvalid and awready and s_axi_awvalid; reg_rden <= arready and s_axi_arvalid and (not rvalid); process (s_axi_aclk) begin if rising_edge(s_axi_aclk) then if s_axi_aresetn = '0' then awready <= '0'; wready <= '0'; bvalid <= '0'; arready <= '0'; rvalid <= '0'; rdata <= (others => '0'); else if (awready = '0' and s_axi_awvalid = '1' and s_axi_wvalid = '1') then awready <= '1'; else awready <= '0'; end if; if (wready = '0' and s_axi_wvalid = '1' and s_axi_awvalid = '1') then wready <= '1'; else wready <= '0'; end if; if (awready = '1' and s_axi_awvalid = '1' and wready = '1' and s_axi_wvalid = '1' and bvalid = '0') then bvalid <= '1'; elsif (s_axi_bready = '1' and bvalid = '1') then bvalid <= '0'; end if; if (arready = '0' and s_axi_arvalid = '1') then arready <= '1'; else arready <= '0'; end if; if (arready = '1' and s_axi_arvalid = '1' and rvalid = '0') then rvalid <= '1'; elsif (rvalid = '1' and s_axi_rready = '1') then rvalid <= '0'; end if; if (reg_rden = '1') then rdata <= databus; end if; end if; end if; end process; write_process: process (s_axi_aclk) is begin if rising_edge(s_axi_aclk) then if s_axi_aresetn = '0' then reg <= (others => '0'); else if (reg_wren = '1') then for j in 0 to w32bytes-1 loop if s_axi_wstrb(j) = '1' then reg((j+1)*8-1 downto j*8) <= s_axi_wdata((j+1)*8-1 downto j*8); end if; end loop; end if; end if; end if; end process; process (stat_reg, s_axi_aresetn) begin if s_axi_aresetn = '0' then databus <= (others => '1'); else databus <= stat_reg; end if; end process; end beh_axi_data;
--------------------------------------------------------------- -- Title : fpga_pkg_2 example for one device -- Project : --------------------------------------------------------------- -- File : one_device.vhd -- Author : Florian Wombacher -- Email : [email protected] -- Organization : MEN Mikro Elektronik Nuremberg GmbH -- Created : 08/10/17 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- exampel for fpga_pkg_2 usage --------------------------------------------------------------- -- Hierarchy: -- --------------------------------------------------------------- -- Copyright (C) 2008, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.3 $ -- -- $Log: one_device.vhd,v $ -- Revision 1.3 2008/11/21 15:17:01 FWombacher -- changed name of the fpga_pkg to allow use together with local version -- -- Revision 1.2 2008/10/24 16:40:02 FWombacher -- more deatiled exampels -- -- Revision 1.1 2008/10/22 14:19:19 FWombacher -- Initial Revision -- -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY work; USE work.fpga_pkg_2.all; ENTITY one_device IS GENERIC ( FPGA_FAMILY : family_type := NONE -- use NONE to force definiton in top level file ); PORT ( dummy : OUT std_logic ); END one_device; ARCHITECTURE one_device_arch OF one_device IS COMPONENT cyclone_implementation PORT ( dummy : OUT std_logic ); END COMPONENT; BEGIN gen_cyc : IF (FPGA_FAMILY = CYCLONE) GENERATE the_cyclone_implementation : cyclone_implementation PORT MAP ( dummy => dummy ); END GENERATE; END one_device_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- -- Video controller, providing bitmapped display to the system. It uses the SRAM -- as a video memory, with each pixel occupying 8 bits. This is then taken as an -- offset into the colour look-up table, or CRAM, and outputted. -- -- SRAM is organised with each line taking up 1024 bytes, for simpler logic. The -- addresses are built as row(8..0) | col(9..1). Since the SRAM is 16 bits wide, -- we only request a read every other pixel. -- entity VideoController is PORT ( -- clocks and friends reset: IN std_logic; In_Clk_24: IN std_logic; -- video signals Out_R: OUT std_logic_vector(3 downto 0); Out_G: OUT std_logic_vector(3 downto 0); Out_B: OUT std_logic_vector(3 downto 0); Out_HSync: OUT std_logic; Out_VSync: OUT std_logic; -- SRAM (used to store video datas) SRAM_Addr: OUT std_logic_vector(17 downto 0); SRAM_Data: INOUT std_logic_vector(15 downto 0); SRAM_CE: OUT std_logic; SRAM_OE: OUT std_logic; SRAM_WE: OUT std_logic; SRAM_LB: OUT std_logic; SRAM_UB: OUT std_logic; -- Debug status info debug_state: OUT std_logic_vector(3 downto 0) := (others => '0'); debug_bits: IN std_logic_vector(3 downto 0) := (others => '0'); -- CPU bus bus_cs: IN std_logic; -- when high, outs is Z bus_clk: IN std_logic; bus_address: IN std_logic_vector(18 downto 0); bus_data: INOUT std_logic_vector(15 downto 0); bus_rw: IN std_logic; bus_as: IN std_logic; bus_dtack: OUT std_logic; bus_uds: IN std_logic; bus_lds: IN std_logic ); end VideoController; architecture behavioral of VideoController is signal VidClk: std_logic; -- 25.175 MHz signal MemClk: std_logic; -- 50.35 MHz signal ActiveDisplay: std_logic; -- when asserted, colours out signal col, row: std_logic_vector(9 downto 0); -- this will *always* hold the last word read from memory signal pxgen_data: std_logic_vector(15 downto 0) register; -- CRAM signals signal cram_read_data: std_logic_vector(23 downto 0); signal cram_write_data: std_logic_vector(23 downto 0); signal cram_read_addr: std_logic_vector(7 downto 0) := (others => '0'); signal cram_write_addr: std_logic_vector(7 downto 0) := (others => '0'); signal cram_write_strobe: std_logic := '0'; -- FIFO stuff signal fifo_read_pend: std_logic register := '0'; signal fifo_rdempty: std_logic := '1'; signal fifo_wrfull: std_logic := '0'; signal fifo_rdreq: std_logic := '0'; signal fifo_wrreq: std_logic := '0'; signal fifo_read_data: std_logic_vector(35 downto 0) := (others => '0'); signal fifo_write_data: std_logic_vector(35 downto 0) := (others => '0'); -- SRAM access state machine type ram_state_type is (SysReset, CPUSlot0, CPUSlot1, ReadAddrVideo, ReadDataVideo); signal ram_current_state, ram_next_state: ram_state_type; begin -- video clock generator u_VideoPLL: entity work.VideoPLL(SYN) port map( inclk0 => In_Clk_24, c0 => VidClk, c1 => MemClk, areset => reset ); -- video sync state machine u_videoSyncer: entity work.VideoSyncGenerator(behavioral) port map( reset => reset, PixelClock => VidClk, HSync => Out_HSync, VSync => Out_VSync, VideoOn => ActiveDisplay, CurCol => col, CurRow => row ); -- Colour RAM u_cram: entity work.VideoCRAM(SYN) port map ( data => cram_write_data, wraddress => cram_write_addr, wrclock => MemClk, wren => cram_write_strobe, q => cram_read_data, rdaddress => cram_read_addr, rdclock => MemClk ); -- CPU Write FIFO -- Each entry is 36 bits: UDS, LDS, 16 bits of data, and 18 bits of VRAM address. u_writefifo: entity work.VideoWriteFIFO(SYN) port map ( data => fifo_write_data, wrclk => bus_clk, wrreq => fifo_wrreq, wrfull => fifo_wrfull, q => fifo_read_data, rdclk => MemClk, rdreq => fifo_rdreq, rdempty => fifo_rdempty, aclr => reset ); -- 68k bus interface. Writes go straight into the FIFO, and will process with -- no wait states, unless the FIFO is filled. In that case, wait states are -- inserted until the FIFO is no longer full. process(bus_clk, reset, bus_cs, bus_rw, fifo_wrfull, bus_uds, bus_lds) begin -- in reset state, don't drive the bus if reset='0' then bus_data <= (others => 'Z'); bus_dtack <= 'Z'; elsif rising_edge(bus_clk) then -- is our chip select asserted? if bus_cs='0' then -- write cycle if bus_rw='0' then if fifo_wrfull='0' then fifo_write_data <= bus_uds & bus_lds & bus_data & bus_address(18 downto 1); fifo_wrreq <= '1'; bus_dtack <= '0'; else -- fifo is full: delay the bus cycle some more bus_dtack <= '1'; end if; -- read cycle else -- unhandled: lock up machine bus_dtack <= '1'; end if; -- not selected: don't drive bus else bus_data <= (others => 'Z'); bus_dtack <= 'Z'; -- de-assert FIFO write request fifo_wrreq <= '0'; end if; end if; end process; -- blank output if active display process(ActiveDisplay, col, row, cram_read_data, pxgen_data) begin if ActiveDisplay='0' then -- inactivedisplay; render colour 0 cram_read_addr <= (others => '0'); else -- active display; process read pixel data if col(0)='0' then cram_read_addr <= pxgen_data(7 downto 0); -- display odd pixel next else cram_read_addr <= pxgen_data(15 downto 8); -- display even pixel next end if; end if; -- get colour from CRAM Out_R <= cram_read_data(23 downto 20); Out_G <= cram_read_data(15 downto 12); Out_B <= cram_read_data(7 downto 4); end process; -- Read/write state machine state advancement process (MemClk, reset) begin if reset='1' then ram_current_state <= SysReset; elsif rising_edge(MemClk) then ram_current_state <= ram_next_state; end if; end process; -- Read/write state machine process(reset, ram_current_state, SRAM_Data, row, col, fifo_rdempty) begin -- default CRAM and FIFO values --cram_read_addr <= (others => '0'); fifo_rdreq <= '0'; -- default sram state SRAM_CE <= '0'; SRAM_OE <= '0'; SRAM_WE <= '1'; SRAM_UB <= '1'; SRAM_LB <= '1'; SRAM_Addr <= (others => '0'); SRAM_Data <= (others => 'Z'); -- state machine case ram_current_state is -- Reset state: the mem system is cleared out when SysReset => SRAM_CE <= '1'; SRAM_OE <= '1'; ram_next_state <= CPUSlot0; -- CPU access slot 0 when CPUSlot0 => -- pixel 1 -- configure SRAM for write, /WE controlled SRAM_OE <= '1'; SRAM_WE <= '0'; SRAM_UB <= '0'; SRAM_LB <= '0'; -- put address for write slot on bus SRAM_Addr <= row(8 downto 0) & col(9 downto 1); SRAM_Data <= col(8 downto 1) & col(8 downto 1); -- Was a word read out of the FIFO? if fifo_read_pend='1' then SRAM_Addr <= fifo_read_data(17 downto 0); SRAM_Data <= fifo_read_data(33 downto 18); SRAM_UB <= fifo_read_data(35); SRAM_LB <= fifo_read_data(34); fifo_read_pend <= '0'; --else end if; ram_next_state <= CPUSlot1; when CPUSlot1 => ram_next_state <= ReadAddrVideo; -- process of reading data for video when ReadAddrVideo => -- pixel 2 SRAM_UB <= '0'; SRAM_LB <= '0'; --if debug_bits(1 downto 0) = "00" then SRAM_Addr <= (row(8 downto 0) & col(9 downto 1)) + 0; --elsif debug_bits(1 downto 0) = "01" then SRAM_Addr <= (row(8 downto 0) & col(9 downto 1)) + 1; --elsif debug_bits(1 downto 0) = "10" then SRAM_Addr <= (row(8 downto 0) & col(9 downto 1)) - 1; --end if; SRAM_Addr <= (row(8 downto 0) & col(9 downto 1)); -- Request a readout of the FIFO, if it is not empty. There is a 2-cycle -- delay between asserting rdreq and getting valid output data. if fifo_rdempty='0' then fifo_rdreq <= '1'; fifo_read_pend <= '1'; end if; ram_next_state <= ReadDataVideo; -- Data is ready on SRAM, read it out and restore to idle state. when ReadDataVideo => pxgen_data <= SRAM_Data; ram_next_state <= CPUSlot0; end case; end process; debug_state(1) <= ActiveDisplay; end behavioral;
-- This file is part of the ethernet_mac project. -- -- For the full copyright and license information, please read the -- LICENSE.md file that was distributed with this source code. -- MAC sublayer functionality (en-/decapsulation, FCS, IPG) library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.ethernet_types.all; use work.framing_common.all; use work.crc32.all; use work.utility.all; entity framing is port( tx_reset_i : in std_ulogic; tx_clock_i : in std_ulogic; rx_reset_i : in std_ulogic; rx_clock_i : in std_ulogic; -- MAC address of this station -- Must not change after either reset is deasserted -- Used for -- * dropping received packets when the destination address differs from both this one and the broadcast address -- * inserting as source address in transmitted packets when the first byte of the source address in the data stream is all-ones mac_address_i : in t_mac_address; -- For details on the signals, see the port list of mii_gmii -- TX from client logic -- The length/type field is considered part of the data! -- It is not interpreted by the framing layer at all. tx_enable_i : in std_ulogic; tx_data_i : in t_ethernet_data; tx_byte_sent_o : out std_ulogic; -- Do not start new frames while asserted -- (continuing the previous one is alright) tx_busy_o : out std_ulogic; -- RX to client logic rx_frame_o : out std_ulogic; rx_data_o : out t_ethernet_data; rx_byte_received_o : out std_ulogic; rx_error_o : out std_ulogic; -- TX to MII mii_tx_enable_o : out std_ulogic; mii_tx_data_o : out t_ethernet_data; mii_tx_byte_sent_i : in std_ulogic; mii_tx_gap_o : out std_ulogic; -- RX from MII mii_rx_frame_i : in std_ulogic; mii_rx_data_i : in t_ethernet_data; mii_rx_byte_received_i : in std_ulogic; mii_rx_error_i : in std_ulogic ); end entity; architecture rtl of framing is -- Transmission type t_tx_state is ( TX_IDLE, -- TX_PREMABLE1 is not needed: first preamble byte is transmitted directly in TX_IDLE when start of transmission -- is detected. TX_PREAMBLE2, TX_PREAMBLE3, TX_PREAMBLE4, TX_PREAMBLE5, TX_PREAMBLE6, TX_PREAMBLE7, TX_START_FRAME_DELIMITER, TX_CLIENT_DATA_WAIT_SOURCE_ADDRESS, TX_SOURCE_ADDRESS, TX_CLIENT_DATA, TX_PAD, TX_FRAME_CHECK_SEQUENCE2, TX_FRAME_CHECK_SEQUENCE3, TX_FRAME_CHECK_SEQUENCE4, TX_INTERPACKET_GAP ); signal tx_state : t_tx_state := TX_IDLE; signal tx_frame_check_sequence : t_crc32; signal tx_padding_required : natural range 0 to MIN_FRAME_DATA_BYTES + 4 + 1 := 0; signal tx_interpacket_gap_counter : integer range 0 to INTERPACKET_GAP_BYTES; signal tx_mac_address_byte : integer range 0 to MAC_ADDRESS_BYTES; -- Reception type t_rx_state is ( RX_WAIT_START_FRAME_DELIMITER, RX_DATA, RX_ERROR, RX_SKIP_FRAME ); signal rx_state : t_rx_state := RX_WAIT_START_FRAME_DELIMITER; signal rx_frame_check_sequence : t_crc32; subtype t_rx_frame_size is natural range 0 to MAX_FRAME_DATA_BYTES + CRC32_BYTES + 1; signal rx_frame_size : t_rx_frame_size; signal rx_is_group_address : std_ulogic; signal rx_mac_address_byte : integer range 0 to MAC_ADDRESS_BYTES; begin -- Pass mii_tx_byte_sent_i through directly as long as data is being transmitted -- to avoid having to prefetch data in the synchronous process tx_byte_sent_o <= '1' when ((tx_state = TX_CLIENT_DATA or tx_state = TX_CLIENT_DATA_WAIT_SOURCE_ADDRESS or tx_state = TX_SOURCE_ADDRESS) and mii_tx_byte_sent_i = '1') else '0'; -- Transmission state machine tx_fsm_sync : process(tx_reset_i, tx_clock_i) variable update_fcs : boolean; variable data_out : t_ethernet_data; begin if tx_reset_i = '1' then tx_state <= TX_IDLE; mii_tx_enable_o <= '0'; tx_busy_o <= '1'; elsif rising_edge(tx_clock_i) then mii_tx_enable_o <= '0'; tx_busy_o <= '0'; if tx_state = TX_IDLE then if tx_enable_i = '1' then -- Jump straight into preamble to save a clock cycle of latency tx_state <= TX_PREAMBLE2; mii_tx_data_o <= PREAMBLE_DATA; mii_tx_enable_o <= '1'; mii_tx_gap_o <= '0'; tx_busy_o <= '1'; end if; else -- Keep TX enable and busy asserted at all times mii_tx_enable_o <= '1'; tx_busy_o <= '1'; -- Use mii_tx_byte_sent_i as clock enable if mii_tx_byte_sent_i = '1' then mii_tx_gap_o <= '0'; data_out := (others => '0'); update_fcs := FALSE; case tx_state is when TX_IDLE => -- Handled above, cannot happen here null; when TX_PREAMBLE2 | TX_PREAMBLE3 | TX_PREAMBLE4 | TX_PREAMBLE5 | TX_PREAMBLE6 => tx_state <= t_tx_state'succ(tx_state); data_out := PREAMBLE_DATA; when TX_PREAMBLE7 => tx_state <= TX_START_FRAME_DELIMITER; data_out := PREAMBLE_DATA; when TX_START_FRAME_DELIMITER => tx_state <= TX_CLIENT_DATA_WAIT_SOURCE_ADDRESS; data_out := START_FRAME_DELIMITER_DATA; -- Load padding register tx_padding_required <= MIN_FRAME_DATA_BYTES; -- Load FCS -- Initial value is 0xFFFFFFFF which is equivalent to inverting the first 32 bits of the frame -- as required in clause 3.2.9 a tx_frame_check_sequence <= (others => '1'); -- Load MAC address counter tx_mac_address_byte <= 0; when TX_CLIENT_DATA_WAIT_SOURCE_ADDRESS => data_out := tx_data_i; update_fcs := TRUE; -- Skip destination address if tx_mac_address_byte < MAC_ADDRESS_BYTES then tx_mac_address_byte <= tx_mac_address_byte + 1; else -- All-ones means that we should insert the source address here if tx_data_i = x"FF" then tx_state <= TX_SOURCE_ADDRESS; -- Override client data with first source address byte data_out := extract_byte(mac_address_i, 0); -- Second byte is to be sent in next cycle tx_mac_address_byte <= 1; else -- Transmit as usual, skip TX_SOURCE_ADDRESS tx_state <= TX_CLIENT_DATA; end if; end if; -- Bail out from here if transmission was aborted -- Note that this should not happen under normal circumstances as the -- Ethernet frame would be far too short. if tx_enable_i = '0' then tx_state <= TX_PAD; data_out := PADDING_DATA; end if; when TX_SOURCE_ADDRESS => data_out := extract_byte(mac_address_i, tx_mac_address_byte); update_fcs := TRUE; if tx_mac_address_byte < MAC_ADDRESS_BYTES - 1 then tx_mac_address_byte <= tx_mac_address_byte + 1; else -- Address completely sent when tx_mac_address_byte reaches 5 -- Pass on client data again in next cycle tx_state <= TX_CLIENT_DATA; end if; when TX_CLIENT_DATA => data_out := tx_data_i; update_fcs := TRUE; if tx_enable_i = '0' then -- No more user data was available, next value has to be sent -- in this clock cycle already if tx_padding_required = 0 then -- Send FCS byte 1 now, byte 2 in next cycle tx_state <= TX_FRAME_CHECK_SEQUENCE2; data_out := fcs_output_byte(tx_frame_check_sequence, 0); update_fcs := FALSE; else tx_state <= TX_PAD; data_out := PADDING_DATA; end if; end if; when TX_PAD => data_out := PADDING_DATA; update_fcs := TRUE; if tx_padding_required = 0 then -- When required=0, previous one was the last one -> send FCS tx_state <= TX_FRAME_CHECK_SEQUENCE2; data_out := fcs_output_byte(tx_frame_check_sequence, 0); update_fcs := FALSE; end if; when TX_FRAME_CHECK_SEQUENCE2 => tx_state <= t_tx_state'succ(tx_state); data_out := fcs_output_byte(tx_frame_check_sequence, 1); when TX_FRAME_CHECK_SEQUENCE3 => tx_state <= t_tx_state'succ(tx_state); data_out := fcs_output_byte(tx_frame_check_sequence, 2); when TX_FRAME_CHECK_SEQUENCE4 => tx_state <= TX_INTERPACKET_GAP; data_out := fcs_output_byte(tx_frame_check_sequence, 3); -- Load IPG counter with initial value tx_interpacket_gap_counter <= 0; when TX_INTERPACKET_GAP => -- Only state where the MAC is still busy but no data is actually sent mii_tx_gap_o <= '1'; if tx_interpacket_gap_counter = INTERPACKET_GAP_BYTES - 1 then -- Last IPG byte is transmitted in this cycle tx_state <= TX_IDLE; else tx_interpacket_gap_counter <= tx_interpacket_gap_counter + 1; end if; end case; mii_tx_data_o <= data_out; if update_fcs then tx_frame_check_sequence <= update_crc32(tx_frame_check_sequence, data_out); end if; if tx_state = TX_CLIENT_DATA_WAIT_SOURCE_ADDRESS or tx_state = TX_SOURCE_ADDRESS or tx_state = TX_CLIENT_DATA or tx_state = TX_PAD then -- Decrement required padding if tx_padding_required > 0 then tx_padding_required <= tx_padding_required - 1; end if; end if; end if; end if; end if; end process; -- Reception state machine rx_fsm_sync : process(rx_reset_i, rx_clock_i) begin if rx_reset_i = '1' then rx_state <= RX_WAIT_START_FRAME_DELIMITER; elsif rising_edge(rx_clock_i) then rx_error_o <= '0'; rx_data_o <= mii_rx_data_i; rx_byte_received_o <= '0'; rx_frame_o <= '0'; case rx_state is when RX_WAIT_START_FRAME_DELIMITER => -- Reset MAC address detection rx_mac_address_byte <= 0; rx_is_group_address <= '1'; -- Reset frame size and FCS rx_frame_size <= 0; -- Initial value is 0xFFFFFFFF which is equivalent to inverting the first 32 bits of the frame -- as required in clause 3.2.9 a rx_frame_check_sequence <= (others => '1'); if mii_rx_frame_i = '1' then if mii_rx_byte_received_i = '1' then case mii_rx_data_i is when START_FRAME_DELIMITER_DATA => rx_state <= RX_DATA; when PREAMBLE_DATA => -- Do nothing, wait for end of preamble null; when others => -- The frame needs to be thrown away, but there is no need to -- inform the higher layer since nothing of value was actually "received" anyway. rx_state <= RX_SKIP_FRAME; end case; end if; if mii_rx_error_i = '1' then -- Same here rx_state <= RX_SKIP_FRAME; end if; end if; when RX_DATA => rx_frame_o <= '1'; rx_byte_received_o <= mii_rx_byte_received_i; if mii_rx_frame_i = '0' then rx_state <= RX_WAIT_START_FRAME_DELIMITER; -- Remaining FCS after parsing whole packet + FCS needs to be a specific value if mii_rx_error_i = '1' or rx_frame_check_sequence /= CRC32_POSTINVERT_MAGIC or rx_frame_size < MIN_FRAME_DATA_BYTES + CRC32_BYTES or rx_frame_size > MAX_FRAME_DATA_BYTES + CRC32_BYTES then rx_error_o <= '1'; end if; else if mii_rx_byte_received_i = '1' then -- Update FCS check rx_frame_check_sequence <= update_crc32(rx_frame_check_sequence, mii_rx_data_i); -- Increase frame size if rx_frame_size < t_rx_frame_size'high then rx_frame_size <= rx_frame_size + 1; end if; -- Check destination MAC address (first 6 bytes of packet) if rx_mac_address_byte < MAC_ADDRESS_BYTES then -- First byte determines whether the address is an individual or group address if rx_mac_address_byte = 0 then if mii_rx_data_i(0) = '0' then -- LSB of the address is zero: packet is destined for an individual entity rx_is_group_address <= '0'; -- Check first address byte if mii_rx_data_i /= extract_byte(mac_address_i, rx_mac_address_byte) then -- Packet is not destined for us -> drop it rx_state <= RX_ERROR; end if; end if; -- If not: It is a group address packet -> do not drop it and do not check the address further elsif rx_is_group_address = '0' then -- Check other MAC address bytes only if we know it doesn't have a group destination address if mii_rx_data_i /= extract_byte(mac_address_i, rx_mac_address_byte) then -- Packet is not destined for us -> drop it rx_state <= RX_ERROR; end if; end if; rx_mac_address_byte <= rx_mac_address_byte + 1; end if; end if; if mii_rx_error_i = '1' then -- Skip the rest of the frame and tell the higher layer rx_state <= RX_ERROR; end if; end if; when RX_SKIP_FRAME => -- Skip the currently receiving frame without signaling the higher layer if mii_rx_frame_i = '0' then rx_state <= RX_WAIT_START_FRAME_DELIMITER; end if; when RX_ERROR => -- Skip the currently receiving frame and signal the higher layer rx_frame_o <= '1'; rx_error_o <= '1'; if mii_rx_frame_i = '0' then rx_state <= RX_WAIT_START_FRAME_DELIMITER; end if; end case; end if; end process; end architecture;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use IEEE.NUMERIC_STD.all; use ieee.math_real.all; use std.textio.all; use ieee.std_logic_misc.all; package TB_Package is function Header_gen(Packet_length, source, destination, packet_id: integer ) return std_logic_vector ; function Body_gen(Packet_length, Data: integer ) return std_logic_vector ; function Tail_gen(Packet_length, Data: integer ) return std_logic_vector ; procedure credit_counter_control(signal clk: in std_logic; signal credit_in: in std_logic; signal valid_out: in std_logic; signal credit_counter_out: out std_logic_vector(1 downto 0)); procedure gen_random_packet(SHMU_ID, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic; signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic; signal port_in: out std_logic_vector); procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic; signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector); end TB_Package; package body TB_Package is constant Header_type : std_logic_vector := "001"; constant Body_type : std_logic_vector := "010"; constant Tail_type : std_logic_vector := "100"; function Header_gen(Packet_length, source, destination, packet_id: integer) return std_logic_vector is variable Header_flit: std_logic_vector (10 downto 0); variable faulty_healhty: integer; variable seed1 :positive ; variable seed2 :positive ; variable rand : real ; begin uniform(seed1, seed2, rand); faulty_healhty := integer(rand*100.0); if faulty_healhty > 50 then Header_flit := std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(destination, 4)) & Header_type; else Header_flit := std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(destination, 4)) & Header_type; end if; return Header_flit; end Header_gen; function Body_gen(Packet_length, Data: integer) return std_logic_vector is variable Body_flit: std_logic_vector (10 downto 0); begin Body_flit := std_logic_vector(to_unsigned(Data, 8)) & Body_type; return Body_flit; end Body_gen; function Tail_gen(Packet_length, Data: integer) return std_logic_vector is variable Tail_flit: std_logic_vector (10 downto 0); begin Tail_flit := std_logic_vector(to_unsigned(Data, 8)) & Tail_type; return Tail_flit; end Tail_gen; procedure credit_counter_control(signal clk: in std_logic; signal credit_in: in std_logic; signal valid_out: in std_logic; signal credit_counter_out: out std_logic_vector(1 downto 0)) is variable credit_counter: std_logic_vector (1 downto 0); begin credit_counter := "11"; while true loop credit_counter_out<= credit_counter; wait until clk'event and clk ='1'; if credit_in = '1' then credit_counter := credit_counter + 1; end if; if valid_out = '1' and credit_counter > 0 then credit_counter := credit_counter - 1; end if; end loop; end credit_counter_control; procedure gen_random_packet(SHMU_ID, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic; signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic; signal port_in: out std_logic_vector) is variable seed1 :positive ; variable seed2 :positive ; variable LINEVARIABLE : line; file VEC_FILE : text is out "sent.txt"; variable rand : real ; variable destination_id: integer; variable id_counter, frame_starting_delay, Packet_length, frame_ending_delay : integer:= 0; variable credit_counter: std_logic_vector (1 downto 0); begin Packet_length := integer((integer(rand*100.0)*frame_length)/300); valid_out <= '0'; port_in <= "XXXXXXXXXXX" ; wait until clk'event and clk ='1'; for i in 0 to initial_delay loop wait until clk'event and clk ='1'; end loop; port_in <= "UUUUUUUUUUU" ; while true loop --generating the frame initial delay uniform(seed1, seed2, rand); frame_starting_delay := integer(((integer(rand*100.0)*((2*frame_length/3) - Packet_length)))/100); --generating the frame ending delay frame_ending_delay := frame_length - (Packet_length+frame_starting_delay); for k in 0 to frame_starting_delay-1 loop wait until clk'event and clk ='0'; end loop; valid_out <= '0'; while credit_counter_in = 0 loop wait until clk'event and clk ='0'; end loop; -- generating the packet id_counter := id_counter + 1; -------------------------------------- uniform(seed1, seed2, rand); Packet_length := integer((integer(rand*100.0)*frame_length)/300); if (Packet_length < min_packet_size) then Packet_length:=min_packet_size; end if; if (Packet_length > max_packet_size) then Packet_length:=max_packet_size; end if; -------------------------------------- --uniform(seed1, seed2, rand); --destination_id := integer(rand*3.0); --while (destination_id = source) loop -- uniform(seed1, seed2, rand); -- destination_id := integer(rand*3.0); --end loop; destination_id := SHMU_ID; -------------------------------------- write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id) & " with length: "& integer'image(Packet_length)); writeline(VEC_FILE, LINEVARIABLE); wait until clk'event and clk ='0'; port_in <= Header_gen(Packet_length, source, destination_id, id_counter); valid_out <= '1'; wait until clk'event and clk ='0'; --valid_out <= '0'; --while credit_counter_in = 0 loop -- wait until clk'event and clk ='1'; --end loop; for I in 0 to Packet_length-3 loop if credit_counter_in = "00" then valid_out <= '0'; wait until credit_counter_in'event and credit_counter_in >0; wait until clk'event and clk ='0'; end if; uniform(seed1, seed2, rand); port_in <= Body_gen(Packet_length, integer(rand*1000.0)); valid_out <= '1'; wait until clk'event and clk ='0'; --valid_out <= '0'; --while credit_counter_in = 0 loop -- wait until clk'event and clk ='0'; --end loop; --wait until clk'event and clk ='1'; end loop; if credit_counter_in = "00" then valid_out <= '0'; wait until credit_counter_in'event and credit_counter_in >0; wait until clk'event and clk ='0'; end if; uniform(seed1, seed2, rand); port_in <= Tail_gen(Packet_length, integer(rand*1000.0)); valid_out <= '1'; wait until clk'event and clk ='0'; valid_out <= '0'; port_in <= "ZZZZZZZZZZZ" ; for l in 0 to frame_ending_delay-1 loop wait until clk'event and clk ='0'; end loop; port_in <= "UUUUUUUUUUU" ; if now > finish_time then wait; end if; end loop; end gen_random_packet; procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic; signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector) is -- initial_delay: waits for this number of clock cycles before sending the packet! variable source_node, destination_node, P_length, packet_id, counter: integer; variable LINEVARIABLE : line; file VEC_FILE : text is out "received.txt"; begin credit_out <= '1'; while true loop wait until clk'event and clk ='1'; if valid_in = '1' then if (port_in(2 downto 0) = "001") then destination_node := to_integer(unsigned(port_in(6 downto 3))); source_node := to_integer(unsigned(port_in(10 downto 7))); end if; if (port_in(2 downto 0) = "100") then report "Packet received at " & time'image(now) & " From " & integer'image(source_node) & " to " & integer'image(destination_node) ; write(LINEVARIABLE, "Packet received at " & time'image(now) & " From: " & integer'image(source_node) & " to: " & integer'image(destination_node) ); writeline(VEC_FILE, LINEVARIABLE); end if; end if; end loop; end get_packet; end TB_Package;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; entity n_register is generic ( width:integer := 8 ); port ( input : in std_logic_vector(width-1 downto 0); output : out std_logic_vector(width-1 downto 0); clk : in std_logic; rst : in std_logic ); end n_register; architecture arch of n_register is signal data : std_logic_vector(width-1 downto 0); begin output <= data; latch: process (clk,input,rst) begin if (rst = '1') then data <= (others=>'0'); else if (clk'event and clk = '1') then data <= input; end if; end if; end process ; end arch;
architecture rtl of fifo is begin GEN_LABEL : CASE expression generate end generate; GEN_LABEL : CASE expression generate end generate; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YfebqEbtkOgGFa5Jir4YQJS+OxDLXa5WnQ+gH8xXmGzzr1E72JxgxP3g7D+NQN6DIGp7C9iaOTBX Jlh9rmPE4w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oEuWcJO3r6VqE3XrExcldkWt7dMUMQOLSQ+GjkMbbe+AQOyawD2mL9F6+/XOtKQu7WJlIoXW8Ca6 IsmgdU8tYrwz7Gr1MEnM/afguSJImWeMQ+pf+VSQvuyunWCoe9iL2zYaf/JHcqsA/hT3nqvyDJux 0Nvaoa95Sfb7imTAebc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block r1QkSNOWTxbojCMJ4bkIR1t4YRmBaNjsuI01InfOEsCd4d+yzbwplTkBQRhsluxYd4EezdsH3De5 mygpv2G7MCxc2O84tdou35/ETjjW0/I/m2/iu9na2InnIqlTKjrDgWpK0D2AwyqB/9WhelaPovHr Ptjxs8OZMvvh165zlB/HuSOXc+i68B5VSG/1fabzTIohYrfZ5uOJ72PC0DOkYWP6fEtnDm1HWEBp b8Hf2iAPKu5xs2BJiu1G1xy7gTXhwlDUiyOvG65VM2+Grw2PghGc7si7AwrlmDcZdsZfhUrauioH 5581SUcOEH8yjaDRgyVNE4layD0Xf2OzI6GagA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ed5stYeGEK8Ogjlo8Ib3P6x3/bwU3esEIk2y3fwCFrkt6kWD7StXg7ZF6YknnZ//ynP7Xs6TPrHh GzIOtIJGSqySL2THEg84PSWbpK/kHEbHHAaEuKJEvAieIexZ/45+fgGdLwpHuPET1gz1gPe36pSS pmf67+gJwsarRrYVon8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qtyrVhtRdwFeSXzv0AVDmNJsFsG9AC1gQ1gFDi2O+72pYuffQxhwxEIt9NlaT53So599ZIZwou9/ jd+y+9oYpjRNw7A7ukeqKeayW1n4E1NmwtiESXwctnc/yiBOR6zX6LUnyityphTIa/jIDRTsTX9P z1p+TdIBZ24UCX4FRosoaRSuK7uJ53Eu6yaYIjgSizPos3LFRDaPwZ2N1yT6OEUr1AwDwQf43U2y FUpGawW+m18ZBE25KAcd52IGN2I3HL1kiZa2sQn+NE1OH8SYMxtxBrFjZcnQy1Ts4PWvHbrTMQuA 125xXfUndwv38UKel9D5QR4lTQfCYT1JucFePQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38992) `protect data_block ahSpk6h7ENXkXuQVzXWMblTBDp3LsOvQA59T9qALyUu0F7I5JF1yu/q6yRvhlUqwW260HnQuglxM sAtD69u6099Xoirje8YgxL9TjycgGpz8Bwis8ljr+j+tLvxleuozSz7I3gOXb0VTI6/EcHF/6PQs eUJeTJsZXwHt7bLeOFFfes1A/5B3rCx7PQlla4wul+PAikXom6AX0TTNxaEJfIsto6qJ9V7cOkv6 3uxwJdHlPcaH447IqPa9rimMfnNzgO0vfoPjSnHDVs1KZKJmtFJ2S2nA4j1YHhUDGx403hWH47DL hAxzvHDdMbEYLI3n/0eeeNu8bHiiJVpMnUcAP7MLcH9cSVC0r3eWrpCopVeHuZsBDjieapWhyBLn W2KqY8nC4ukNtFIXDVW+vkAwNFswrFz20MecI4tASGGJU6Uw102/pkkxR3sRWOfHbcztCm0pNISe AZt9rnLtg5J84M/ctgWbQoiUlUn56bHINwRUbHdluNDnpXJPGpYKqiUceGs66bO+VFJEikc4a2g9 wI4lhbmPptrZuxD8ffc/I9MGWEKBMHbbmr0vuAG5I9Jw7GhSjdu1cGrwv8BXXK+uz2Kaiw4apJZq sSX7jaLim1k25Yo1vOapA/GudNOENNuqqa5r9+XB/qC1UHue2tDD7ZZihY3MdPIzHkdP4HSMNN7G 9InH6xF00R1a30oghh/p/WUiGjlhpwn01n3jzH3jlhP9XDlwX/ZKdXKSctQPl8DZR/oFJenk0G+Z +Ow0IoFDByfwRasoBN+arraWqhoXBBZhr0CXvZ/t++8F5a6d0zKgnSE4zfc/dO2C+oA8FMR0FYAh zC6f7PnfBtiy5xiHNsFemFuiu45B36O+luUiH1BL6jVcqR9qE3T1MSoDXwPbHqY/ryFpJ9cnN4pp XDSg6YLfuTjlbU5uTe4/R0O2dMNerVKwfdDWkGa4VbKhGFlhy5T0+KNPk1BMwVxYRGqatPp8i0v1 rKmReOXXrI5HdrXnXeqRrMjHCfzQarLLbh8QGhwGkClIZUsxhhdNDjfRipjsUCFVTsFGvNf6bmiA 0LpUQ+7NZPQm4CkPcy7eiQnzVTZtUP2+xF7OzmqOeftYzUYzzccnfwmp0vrtsKmhzi192OJMeI/2 9YE09I9Qjh2bnXEnUgrlptsh1cUD2K/r467IvuNz5RAlX3N0DbsYXj8j3exENHI4qL+HTathr1N9 UCSiZqhJutizN2KA3mJMknIdQwl0FjhrlrLsys7Icauu2AGdnTR4S+aZZiKgNFMutjQX8EZyzUnZ FWwO7uyq3mtJpZDgV4WNLrEfnH+iPNZLGLmdPJr3qctZSTX3rpVABcOi88NOQZkD2EN46hJytUND YDKkhPoHEVdmT+t3hGcwYBGdwm8lDlYF1ZdiW5BmJvuBZz4n+/0y32CcFxfFeH10XdZWAosobspg dJ0VDzKdiLa+madsj5OwIMEsCMwt+9nrrFl7fetGKVSexuGqOWS15pMVTlNGAYK0BaMX7JZOpY+5 YgaPu8sdPqc1uhMuRGuFT0ZuKjHEojWC/8+AR+wQnrFwB3EFW01g0vqm1isYQS+ZXoLVF+rDesv/ TwoXf8kOGo9jVQAoQOiJOivmQCP3X/tofaHXeIyj0zIqMg434k84DIVXcnnvnDiBttMN4rdgqIw/ CrWaMlHeExjPpwIa4zDCtIuz5U8nTFhVIHFBEACUCoYtqsseIM3tjMfY4niIRcc74rXe0bOQ7ab6 lJ0qRWIt9bU1NwFGWGfEw7i4BQjq992CIy6gN1RFi5282ZMqoKrUw0XxI2Bte25NcTTj1eQjJyDt PxyZPGLqnLDrXqqXKrIFK8PDtL6OtSkNPwCvdUg3pPWX2USU9K4meU5XmbmkIsELMh+TW63Gp+DR T6wM6bvB6Rf9PJpiKiflzv/+IFL7YiHJaua/chiCWYSZRAkyje1SMFlpcKpuOb3Hjm5AogC+apAS bpGwesfQ4u9jSi5CfGXgb7/+8cr7pXmryLa8XaAJ0VpSxV37mVaqTMQVtlt9YekyqRz8ELi43Zjz akO0G7nq/SNBJeXeQPbPGVcxKtFG1dDRoKntKFY6SctLa//tH1e6V8n5RBDKZSBhkq1pKXE/qMNu zToTm5ELWa++daOKFub7UU/DCp5CKbH/6Pt33Mtr+ik8H99VigCv16l4sWu1AG6jWhiZPNcG+N9t Vd4IoufK7FIypy7hxptWxxkb59bJX3wL+p/Lfdy7crfZb3fLRAvuX+ud+UHodDFQ+BWcUSby9zmf +wvMw6r1rr6SVDzDVOTb0S4/FKitCThsQM5244GGu15ueetd01p/tVWyZ38HajoVdmZVuypjeNsA ZyV8oB6PuhiSc4gWejoseFYQtVxLm47XWvi3I9MiKnBTEtiNMh1lGAUfmNcNhUsfhALB4SIgCoYn w3v+n5sdwzPeRvmkPzWNYBQb1AyHf3Es2vWx192318XwRs+u186m7/82yZ++5WUS2fJf0Z8sLoSw 2q6xD9dTSST7pSR+Zfra7qOSrMeDbxWhv8nviiYUGJFm7/tvy9ILhIZrKhyV/nxE7YKEmNxp/5Gi v/ASwbR6r99gfgPFsxwWQT/lCxU52+Sbir8D2M3OZ8e6ft87vDtTT9H9OX6k22sBuBQ7RiuQhmLP jGxdqI4acLGN+nmpjWuIBs3ot08CWjgRwpvWOotEpt/QVkIkdqClfq8b2eVnBrXPWMkilv5zcahR +iQfllr+trgh7/bqe8bzJD6Q+bZxea4XWZYtsYLVjRPju0lGiCMoD2VNw1mikhv/QwZGM+cf3cMB syq8no8OPFwGDvbOhZvcXT2qHyeM3rpn79dqeG3l3p6qTFL6967FIMh1Z49f40Z6YCGy2JOJO8dF Xac2VujVAGcqkDJDrIN9HgxfaXbI7j/usLTBqWMRojzbHFhsyTCddjdAN4ZbpeDlheW96Ke4pqvw KWGQt1+65J/kfShqYNx61UuqtpOHe6TQl/TTZHAujobz9Mc7sKBC02HT7lKVa/KLcwwRu2CvIPbd 5QOZaIUzZFPi8S1kYliTYiPbXlVCuUA9EwrTcoOqqC9Ww+LEOva5/iSO6aZc3IcAtykyVEy6EOrp otIf1S6BgKRh+6cs3PdBg8hh8UD6JUHyWLramMpE6yhCcykpCAecRBPxXJFSwy4tXWNrd2h6tl92 12A0vq5SW4nkrbb47UOsEFJ8CpLOs+5FUPO/GMuKdTkJb9A67Ux3FMOAip+lXV+wn3UH/savs+gB I9i1agmgN/hR/AKHZSwo+G6PfL7v3ftkVo3bTCoS1TQXbZKQvN+wOjlQLrS2hSUxJ8INVYkMrJk2 uOovPegMYtsTnq2eU86V60xrfnIGWb5rxB7N0XjRtwZ1dNHsgpZpEsthu4/s9EY8TkkdatjvnKgO ETuBwO7Q6hC5JHr9rWgEqSGQErx5YE1d3T1C97t2Z+Z8+xX9jripyX3TtWo0bcsGAgaasXa1/fXW RuQJZLD6bIt/5xsWZxxEqb99zecmP1PkG3TxoiOlrnLt6SZfVCoXxLTS6ivV4I4Wqjlea4Eo+cTR 7LE1jnSJN70X1/bPHyYlRBfeGp5ix9F/3+uTsYbajE3PkJIg990j07otgPvykaDwPGNljhH0sRU/ zJDEdyMYbLmm59MBZAbYiP8op6f1nqzfLk3iBFpA5M+/2FVlzE8zWKLA+z6UQwy31Fz2vVlSBvJg iF5QHCvTe75vHUbYDV+/U9Zzi6dPoxxNOU0RJoRuwqwaWq1XM6u0Vxac/qjxCZuHt+yDMb3fRJaL DERdulNwmrNiJmvhce05ueS4niwpVRSNR9FaHmSgg0XuJKp0i8XZ7AWiNFLifsh7SrJUaFGqTfgr 2/tJ6GjcLT5lFbT+WqWC/NpKM/qO/vNumlWjfjP2EjdLnopLwBqtBETHtuDOd8jgoh42ZRPPWuWy axvdptHIt5p1d6ME9SjWxKYeIsBgHHpnIMq2hGwefP3xhe36Kj2vxjJiepBx2+6iF2+e8lZKbQdu 3mQ1m9vb92jp4qGhe2zP6ePp6KYZjnUEFx6SjoQO/8IRG7hckRY2EO5Vcx6jowy1xM1wDNCZ7DoL /0vt0mdjJ8O5PkenGYYDEhUu8//ujf1m0odjKT06fff5nbj6MfMmyVKWNg7nJcbMnJqNktBs3Lt2 5so0u5uYU10mTgs75C+vk5ghDydEzMPXK9sVeSs7T/7WWQyPBfHNci6h3nCVzcxCDv7wgyiQjnsq yTU4bPbLKDCfZY8Cto5BRvkIlZmLrF1fyDeCSZxINyA3suDp7a46mJKQ2yaxxd8yaAaScPIdnP8s WIeGkkt/pTih+3U9KWb51byh+d1EXVRLpI4xJsDoOdfTfyvrxyR44KZUZUT16f6C+y7JPKt3PcxV RzlY6YGIcQYPoFxfzm1h/8o5q3aGH20w+ajffpc0Phy+TyOLkus8bpcX5oBRuTfatYMFEyokFpg/ HTdAektRqfBqbjecUc4MhZ1s6I4E5HC2O9JOEKYqmgJ7BqKCnKty7FjBjy2boMVLLT4mASGtl/C7 3hoX3Ka8AIIKYQZDV9t8rrYcYzK4JIpUDSIwxZbwnmwqqU/OCy5v5ojIli7YXPXUpqVVNL4QZEcv IeRS3znuuJls9O9vMKeCT/04o2C9yq98L2dg8z4Ki0pT2xsyriwNcIFpN+gsWq0ymVy2Wv7IK8iH cOcqPeVhWBCAyLT/ySa2g6A4mtUGDXjELfbiONEncgcAHKLIOJd27WzS1A0d+g0ISIH6CUVgJ9DP R58FYr4WXVWnSmRvMMirXeV0b5d9XP+MyZwS6pMZJcP5r4Krv84SR5mDmbQ17QNYWS+ri/ge/DZw +P2+fjeEEGfiQSyqAls+tvX7FsLU2NJX9DqDzuQ+2pHtOtDrIbeXOLV2m9rWMUhuPnFQJ/pmtL3J L+Ked9Yx1uDbQLl1uCqHwD6vMtOkfD+NdBfagpPgxy4aTyDuvLQdYQ/iCHracwgzS0+dNUPdiCEl ErKugoNsMC6iIPxrtwQclAv/8LSEuPSj7rrwA6I+uE9nq2618x82y0XMTuI0IBWsAqbvmBAa3eoG U+pv/XhQ0qGlWObaT5biOOYmCwfVg98Ax3Hzc5YWsBc7taXy9d4xCbuvb76HchcaQVJBuIHoPprP b7mOikNO3tfvsHtFn/CDTKP5FM6+Sz2pEMkTrUcg8R82Zdi50E97Fmjlr9m4SBtah3H+dIzdny1K g75b0trTuqblowKAf7xivFjtP8Pk/Wf1Axt35G184rVzyKTmK6Dk9oXctaNlcoE2lppOuBa0xMjR O5CcwD4PEc2K7Dx9Dpp2/wzSu2jQx8TPMyWfikg6kub0Gc1FQ6sxycxhpLXo7zhDJuU3YmMDi6+O 4FRe7fHQhlnJvgtR1aHAS4tReI7F6oAUlUxp5GwU83jRG/+fu2OHf7MaMpfVPWBswJLmwmI4aRD8 4cGxUsg3QMp8Fq5iTVa6lT99FanyQxgXEyaGj3RMrquXITCg8ttRuH1zpIfABN6eyLndQ7ZhewFG p/Z7Ol3luWQ6ITPiXi71xgohKsiEa21AV0L4skPr2N+dwknsJHNQV0HvFbaveASYaeX4f0mSM1Lp h6iELzVknYB3Ruih0LrVaGxAisaB+Wz6X0yepqVj4pgm+OQeMfcjH+1m6qUmtNrsEcthD3mYCtG6 EiEjnuca6uMkr846nD7Dj0uVYygPm2T6k7rkuYii3rfIrMg/Da7I4ZqfloIAmYcWCKLXtNcsI6zU nAJRSLNlcZv5B1dhWvDnZ8gWNUu/jsRycN5W+dQCwVrz09ojJUMmj8UMTsjO2nKPtqK/gmf3M76A OMu6aTqeMQvlbvXcJ/oGPq0/LUPz4a/RqupJNwA/4iQeoucauLMU9DJrOpvGIhqZeNLbUwwqz+Zr 1KnmfD8chd6KjWFpWHG1IaZ4K9XUH7k1KDexFBmAOsTzCJI4KrUd5CWzUe+iYZX7oCTAe7rtpbkP VB5m9ZkhrDb57GSTzaVxpQiPTb9BdQLQCFBs2Hrr71Sl39gYResCHgUax+eaitA/nCViZoVJNGbc ubip0XiNE++p0Y/kFtQHzLtST43qt5cl2gPZF+OBNH365CXbnjf04hrqlL3pJ/e4j6q79Fkw7eu2 23569MNLEFTkxlbUMm7XEtZIOE53/bJnVudZ8S0Dsmz56Wctc3pMIl6VFEZuNRiQu8OwsP/N+yAV 72LWMCYjn8caiLnZzRIKT160h4qPlftSlWGoerLQnld87YI6NgWARmHaqKnp4h5nNkmVkzS5w6Wl veaMyH4qlj/KVLLVqgEsfDQWyxAcnXFAbo9YahZXuaC6vXhfyq6J8EqHrkmJPACLMteUJN0RRaSA VbAi+uCrh18vw3zA3EjlCg7SbHB60EtAcEDTDUYOTFENrS+zK7KrrgXNoeUhlzKt4HJG9NozBrc9 vAGjrDc2LrMJ54CSkpGTBDP0bfD8p/+HHhFR+6DPKXLdayRjVi6MctZu6eVJaa6ATUzkwJMywqJy yLZcKAWhvs2WXWQdeDS0riOcwbRoXXXmilocyGQy81WZryUqKyMewkiDvNnkQrYfl1l8LMdpqtqE RCyFjxtPsQjB5zlEhmvEPieTr31Dhg+/JOVvfBXQvIL4LTp/gkDXqFqdfPWMoTgxwioiQKkvhw8t L/cCzw+sRL9wTAjk23q+6AxzVwcYc0W7uLu8GzpSF9GdNsQAzroe+J6DXXaeW96kSoFTUMBWBiMm 2F7bnjC6DlOrYSXGBaDbCBvfri4E49v/viwiLXcm9qL9PF6qt5Lgce4XgL3HTylQjZdxYp5UQ7U3 gSGv9tKtblNZ+ZcRWC5FMR2kVCcep5w2VcN62VtQILkC3bNRF/IlLkZN8I0W2eSDyK6L58Wb3Y1i YU1RQQ5egUlotAbAKoOtY0fOPTO3B4+CaF88eIEElSFxeTUjN7nt6tg2bQU3aH7GnvvCp6xM23I8 4P5uCNRdn7twTlbkRd77qEIXRcv5f8EwlxCaxRGc+OWyWYFsSodDtIXa7FJ4u4gti1Z1V2K1DVsT iAK9GMDvKZ9I4b29ebKej5jdHuoscbDCCHJIhJ+h1X8Szy8ChsDgMIQtI1YfoTedabALrNdUK8at r07glK5s6rbEoa3ebASFoeuAGA+C7Wp/eKnKCErnXH0bJ4f9R2Qrvgep0PunOKfaDNgo1IClEdMR OR4DyVWQIVEAxqG5Bp9UVoHDowgbfCxVJcOozEBNwShjBa+FOF0Q2tNTMDUAB/luOhQfE7qccfQL V19VAOqeBAS//ajUckaSVG2M61roJQMZZH9IzxrMLQ8lCD+cXNMVA5zPoqm6YJ5giD7znpmHz+Wr VUhHvcDhZpufQ8PSb+JqELlOiXdGlFqfpklWWDxlr4YWnl2p3n0F+zXjaiqrB3SIzbN3mS1BhWbz IfgQImNAxvBD3I0y4VdO9ydCTEmnCaDOTq/CoSGDL7Iz+ILu3uf86Q7cc3xlWF0gRpuO2anGpTsw upvOi+srr7rFSdr8Navqz/WbtqmfCYbDABPJGGQy1e/GUXxHwWKJTuCXuQIFeZII0Mmbs+1K/OcL K9I+I3LFK+cx4fTsRR4rdOHP86/o3/A8h9cWC7TN8J317YH0Ki6FlRK+K3sQLf549pQBe5mB01NV zRH1rvtbKBehBFOwadOp5EpzC2uRn6mUpVEtkOWZFyyOmLk66rs0AfmL3xTb/rccX0XcYq9PY/wv Af/6tnFfWj1DgFP7CBTE8R0xlc9XYHjvohk/mQsIiLosRBuW6GFz/qShC16dEHJn/YVJXy6CA9vm 1daxc7cmzj51smdjvWtBRFns1A/abIIkaa+MkMr557ubfJhuXW7JEfGpHDuX2HMAmLtMuzYUOzGN XqkIVxLpsLPX93fUpgdcoYQwt4O7fW3Wx9C0onTl1P19rFtlydTuRjGnH2GIwQrxuLmnxWiYU3dl NyVky7GJmPM9wD0Bjiwapk6BwDIP44/7nE2ABlFfhMyM1NaJ8OIb4HsLJXeM0nnfWAUTyaX3EaYA fK4ikQBjY0FQBijhI//4R5bTNmcTI22uoq65G9sOHKCQwLaZPTGMcargTF/jPrtikDipJVbPsNSH crokqLeknS+U4JdKYa2kyeKegdVx1bPDb8sfyLJaAb0vV+4kr4QnkTRCnY42xkZFdMRBjJ81bLee tEblrLr8WocT4NdbSbEkvu5fSYmZ3kyOtFNvFEiunil3oUMLG2mX+ziArS9w/5kd0we/KAeSQy5e umzUgw8I74xt1BpoaZTAm9p83UYWsQazzeXwSH6fe9FWV9J+q3dKqNNkNn49E+CB2yrdj2toCaf9 dEMJLSxvZolWLp4li0R/RD5o7H99/lGe3h3YCR2uEIQULrmIue0XkCeKoSvGVIIpIWXB5AQuRAce fIDGz0U11W8D5utBZp2IG98ctqExifKSerJFfdVguuGqbE28GJwL+emF/HlDrvSgsVNVF1AeE+Zu r3nbHEWMgeZy2A1t2cBapwn+hFs442LFRs43BjAESCbKwKdMd4k2h19N+NRJL7Am0F+G+FpzMzd7 XCfdSOKVNHuvolxmFjy6hBZKcqYNzrr06Z3VIG1npASzdwK6UaKt/HnuMkYexPoz4OmF6roFDexo aX+gi5pIIeA9cGDYofJZNQSWZcOyDVCoHS+5AHmp6EaPens/AgUB0BxXr/QnsLkJHDeA3A4OwUWV 19eZQO+352Vyg7Gpey3s2yQZeurYb89ltvfS7xyo0JGhB0IZ/L/kLqrGt/3yU/oIeW8x49EDpxTJ yqWWO3+QDGwUF03Zu95aLoEt8TDVRKTm8H1XTz5f3S4BBg6uJhp+vE3PqG1YymbTLwtAdibN05Hm XkFNphZtiVNZxDpJRLZWf0MsabyzVsSGIGVFafIpk5+7vOWlDtsi4zsvGhSYnm4LSNHnN2FmDSXt XWvTkQva68hmgmOxtj27ANhQrVCRWNpazddX00H7j06J+kNcre8357Z4BycEu79to1bXPuvfCQ07 1X/lznPnzjalvnKEiV1V9ZRuyYE1kE89Wxk5UWJ3ZJ6DXoTvADMPFStvZ/rCq0AoQXyuK9UIl5Gc Zzwep4cqeOm8UWf85Z5hg0KtThm0KXruhztWGlITmxDdoSiwK9vOLaTKP8SH2m0C7vquJ0rtnL4X EFhpZ838WacgTXcB9/+IzRM+lGXLlwC4MwXEtBq1HDY15ej3/t9iqp1YIwmzohHeI1cAHhACPRzO nYSsS+ekKNuacerlGC3CzoBHU8PMKBYyhQCtnuZ6FGUzukUmX8xfq2W0HZ5157fekGPbwJr9obqX 1ihQQONPofB7UTR0WGnaSXRDT2GmsCMxa1I0yhxntLLvb7fb252wLFbRF1gPppfnMD1fPLVf5U2f P+qjbedxE6FiofXELDIB1hKv1EhIHQaTDJg/nCv1kW/+Htjw+LVYg9T0n8NzHb0ICFzyHms2hUeb sV+/Z09zuqNGmSBal9MGQ3khwFDVW4NLyyRdiH9E42r1fJrBwXxrNcmpV6xBFcyeTm1cvqX+px+m nihJR0YxxymZ5B9SQXqIz9uu+kMsXi5Dt3jgtYQy7dfQPvHbG94UNXiFNTqPUyQnKeCcffqjyloo gpC8boDX9UsusYAgf25o5NXlcCYgNoIA/cOnbFyKRlZRFwcmqNPeZBwwONQzmUQhKaT4dGvb8ZxW P4Sz7mJ/+mavTBUTUOkQSuKCeWC7uGHUD/1tQPxHZN1OS1oB22nkd++PNJb2o+EWmD+VgWDJHzk0 Goyl8IveYNRO98w5jSCKifPLbqSA/YJ/Foa27sNwvFGTA5FiRECvS1shtibukxBpXDHOSHn1lKHb jRNZitBDLUhAyQd/++0UMTfDkW++bIjRl0wMdvShby2KxGImwYKciqTxzWOUMBgLBQYUbU9j9pK+ nr8UiiDqIV2ZAGpMUMTO7h8vFiBU/RJBSKkgpEsuuu8L2SiMf/Rt8hAVeSU2yDb5Y4k558rAMpXV 4SjMJ+UwX8ma1a5ecOQS5XZe7jtEvEs87jH6yihfq+1SdBTHgzMLpuEpK3EZ3r/sLI+lsIVxpIti mj0zwNPXj+TVVftOyuS2Xmm/NYlNYjUJI0ykGaThSmYmU6bvhtpmGDv6Pj60gqaSgsGuz6xMv8KQ WkyhdpDhCutGDX9MrSDIRudb1+GenyN4ClBfo7FvDnT2c1RcyM4rajCnJaJxIfJsjOUPNrdMOUBv wnAzZ15iF4QuFdTmOTHCU7t+vx+9cD5IpzBtdpMBOYa3bvYMNIYkZT+eaaVBQJuYqSukNZLkQwl3 am3YJ+Zu4Rtm8N1I4OdQacselMDzsw8yNigAlkw5DgkeP965AuyQON6APKVmjLhwgkS/o6byvrm0 3dt8BQhw06zfxUkow9HT1dffiaj474z8NiqSDbQlfXvx34c0kL3OFslBRV4BRehZo3/S3A38HrxK J2KriYjGEga4MKR0hv+Rwi3P48uVM0XCn+Q7XaHDe9SeXujRHF/NHhJH3PDCJ0x+9POQ8CBRzW6e dsnDgjnBNmy6s6Qqd7wKFunUJ+lqQSaTmfd7K2tsuhVzdJUt6dS/kg37dm6aYd9FxcC3qdydT4ME +30wE3MCWxr81nVXjpKNES+AShJ13Wj7Vmc5ulaAB39QyhFjh4ZrHTkW0YBKm6siYztcZm+pV2Kf TcIhlmQTt7U4MBZaiRUbgyRs015eUa7K/eXa3pgxBsLNxs1XF73sV+OMSou2JpFtubXCuBXYr0Is sRdm1pB5PX2shezsrVDde7gFhhYNuOocZgLfMOrLacnFySem/3uYeDiR1cZCCgokNmaaMSl0g5E5 xCHkw0JB8VqsbYUPt3IiXHL2P+yrxgURAMPYcyv3myje8I21mmfZgN06CWBzYQ/QrIzpTs9XwqKG 9f0A6OmIaNSekW0L2BLqqHSMzEOMnOeu1YqWuH3rGeXISdo8HkQUL6W3Ib6OyK9ZteX9w7QhLv13 eczEXpDpGU2SCetnc8OSrhWXKuslanctgXIvlhXXCbM69niNEPTbSPMOml2KTsBMWchyXWnIHBuo plzw8HGXufTcB1zv0hpb6Pb6Nz8lKH+x9+BQ2tMxjLWoxlpszzIteASNK3BFaw95cTJ1G3cgdcX0 re/9ZI4JRX8UjIBITrTFYdNHsbzM0P7EP1tEJ4H36aA+Rx7NVRdzOAXZ5CSeIy4XSBQ8tnwbgZ7d LbAxtadf+LfSw4sZkqB6P890YWW3eG3WGFGtHT3KsrekGe46ApDXr7xwlKulzXSGsWUhBtwsCtsH 1CLhiYCMRjlmeFjNS5uzYwdgteLCT1PupladOKSiUG/qvl6jD2mDP5sPy+m3UlwCzJxtUESdtpVU nmWS5ZChgMLkGs+GNtfVDyyn7GfRjcv7+S4UbG/C7j0pOh5hzyYbVeZrTDPMdf08jsqYhvqkxfWq 3ELlLn3Smi7k9FvLEnVmhvxh9jf/aLoL+B0d+ftYEPxJw+tMOV2MZEM0VYg00gPuPGQTtQMXy5Tx VUb+AnMp/m6bGIIP9Z8Tv6mW8mVKkXqwA7qCrK5KDw7OV4aunIVNRIoTKkQr5Gj8fYe7Y5gSeR8E HLhi0jDDw8A9uZU2kAC7loXqN+Ns+GdDo4Ni57rov85aWtFKhAP4qaqA0JM67YQzZEUsXIszqPuV tLaS9oPBKmBk7ti6svvBRQhXN+yTyakIVgpBxUp4JF++ztDH6EoLorpwz7UKQ3lBdqSXEnUEEnvm tstynErXdL2Yscnk7Rt4/XIvSK6BMhL70UBrNnuFyLcyR/cNAq2Z4lGiKjpk8/y++g8RHkNmngns 70Ao4HVSoH4asIP2p+Y4+5huoIl0/KgNmT2UkWwG/cCP+DyXRSKYAGrQUmgUQNmng3H1ppv+vEgu 61XNz3ilfFpCP+Cpyt6HbM0nqcgLsepObIR6dyefHue+QPSqR0t6I+ytGvsow2+72dYAnXg9wioB P2euj3pOIBKqD8VYdyKvLxWl/tCiyeFoYQYbz2zVVmnfrBwJlHNfckK4TYBdQsg3lE3WmsbHClAO PSp1q6IJoUKuoZ+gvyRQB2zG6w+Xu2Ks2iQoWKF78leSKvoQomkAsUli2OAFe3cL3xU185l09rxW E2bmtjEXvZsdfc5S6tCc/4mDNBun0WmSxBoG/3SiNys2ARJIwZLM+yXpoafgUSHNekD22hDzzNeF Q/2Py16eUw2nQmq0ymghKZmJY0+tuWknVXRLiYRa7llON6EWonsVHL6HALjou1SHK9VFjj4OlqG3 k57UvZJUl8hY3/Rth07J/xO1HxEaoLJhglsH5lsopML2mR6H/fDZJ+zaYbmR3QoaxRNxDOf9w/9v v+bI6KlvWFKWfFw0SlTjPt5mwpDi97g4FpGJOyEiiPVgFx+M7q7R9VWrJpzR+umH9Dk4Rl+0lZQM K5gM4O5aVDxN52rahQ5nVl1uynwl5uRoeLzR0+/BKH+VOxoaZkpei9KWn/WLSTPMaWmGENV3Rwpa HM1kaTqAnCZaxw17FZgoLWWloePaqwblE3wZhsiBI1ArAg1WilVwjqhlbRemm4cs9TeYBXCkTiC8 eXcTHLTkxdwbN94jn3AQgYfZFUEe1ksHufobAvmeuDhEf39p8sFlgFsz3hCOfrA8/2zYNd5sE/WF 1lX9he7kcanx9Ionn6obScUYuNiO7Dk/CHVI5CuC3rQuLfGkMkXM86YFMp8hcQo2lqFN3qOTT7oq gotqTEwKq/jRvgMN1sq2CCiBh79oZK6ppnVVJF9luxo/O9jlb9/Tvx4t1LnZmtPtQ8r7fsSZxMpN E1vhmc6dN6XQYDgslLxtZvA/RbHjhtR9cy6ikm9rgkHbMAFSE99/WJYvv3qRbgVO+7qXdoV/2C+G Gp2l1kM2A2KN/S3ys8i3fM23uEiv5et24R2x+JwpbcFU2hJYDiy6EQxEae5DsvPPIBiPPf0ETpCA JOQJpxQnKhgYhWnOavbIWtR5xGOk7BrHnfP0p7l/Ke4HfXtKEoqMDBY+moqy4lD/RP2AXlGQQe4A f8n3s2Fi4gt/MaW9kO6Wvzdje3iINDuU3/9+5nIuOoZWXyczLape/3qpfh13PA+DZIbX5yNXJZAX Fo7c4GbqYhkNk4K5CPUXBy0CdGbSWn+sS60vD55rLfVNxfYc3QLF5QLf5+b0Fq4GSOZ4n/el2CZv k4Xo0B9pHC+GfYfSqhBwKnLn2r3HeD67wsS9i5Vfm9TTPGGztwKTft8tvIVnF7HRGSjQG0mE3++Z VkuM/aRaaAT0woLweMtfdf0YcQsOAusjWmPTNsO2IKviZ88TokjokenXVLcUQrrHc1rej5UhklNA sDNS9LZ33MZcxRuaLbBY8t6LGa0ElhjG+NqvGWTp3Fg+JIHPTxufywtrVRDn1rbKODonT0OhK67R X2vDJGTfNA7lvn8N5FfP/tTeHMgKkbkkFUQ4w0GGJlPfdyy9U7YrewuFHnH9dKMykwlR4L4/I48c TyHVKiY15czQgO9DTSeFSabFWzlov0ShFQxgj6NL95dbtWldtsP0LTN3RJXkvLeY5Qn7TeRp/Yul 1LZN/NSrz3CGwhYoJVnVnf7LvHkkk4NQiGMm8uoFW46MOeyzqB1Mha7t0513g/S5eYUA50u/E+qL 1nHc24oWATPg1WTioIzk/qwCVuCewwQRe3cI1mI/T2+da8WNEVEI6JlvlTTF5HlfXssEgX7+Cxur lvfK7Wn4am+HTHpLvh8OMPJ1/i/Y7M8MA4ac5U1OaVUGS5f7wyIXXOBQSa/1Bf7rlxAkCwZ88tbn 5+vJUReP4HcFwwH+oo5Mo6oHQogjscek6+BGbRiqsi90Z1J1RfYhY28mAbawNvKVUneGXGx9Z/rV i7dWUQqtkGzv7U7f/h9IC2y+EMfQroVdUScJ/bxT6nngdvbG51yDn0YXy5DTEDoB9Un7E+9gEuDt 4YRlIvEQ5o72rOgzy4CBw2/pisYir+KlrganeIpkvQVtpvJzNtbll42imLSyrTSwDLaPTamyKmR4 mFLx4cj2Z188JW/DQ+ce79iFAPZClJpQX1EmNXN+Zmwc0Lkd6WvxbrtGllFW/2YzresLmPLzcgyG aSviACOqeOzqlGqaIdqdvIpwhpPvy9gjPd2htvrUgxEJcZ/WC1yGMvFYH7gloRTgz1DWshg4oEM+ cLdtcEiwkLSfc+L/RtT0bPznIkJiPKXsQQVmZBmWApRkoaXdbPyQfKnFlN2yh32LYIzZs8LPc1Zk XMZWOVuwra9dBhp7hthJnA4gEsz8lp30IpnLBNhg3EHR3S1YnCmj6LVfLw2JfpuKCd/qTWzIi0KK odOBuozAveNiPbKdhrFSfHR74hh87YoKJfuNGbsNOd6tBS4FPYxH8DNnrlHc1yKqh3AlI1VnVHgS hl1fnTlcZxmjBOGmWRzNw89gQcuLjo4fyq5tX0hCjo6i+P4Vaf8FHWDuCTyVF7CxZ9ByqyTdQo54 suiWaCnflZJBqkiJ0KLDXfmWR8nonIAFo6LaMIAJgtZU0itkupZN4BEh/yVmgvAqh59SRCDjUhk1 Un2BHMiQCiJIzhOGfjcG7tLpN8SBzWfgdUQONI/pxMdL33GGDf3BtY//fQM+MEsgvmOBymAhmpYw b0q45XMTUA0Q/RXVgR6n4PaOLcPH3enbuUMY/Q48r8w+S8fSx7LxiDvOHvh/jzNxRgraNQ3fY0th tnzGyBJ8HVQ4efKMOVUVQAMYmGUoLuxanhI4dbs1nd0Wiv0INgtHH6ba1yqIupSYEaL8YyI+KVqK PuclB9bUZB2y66fmHgOJC7JX9mRZmX+uAM33KseA3W5IgdAUAXkAYfv6go/J7tDbPhci6Wdb2XmD LoJWk045fYUbN+B70seR0UsaHZ9ztH/v52+lPlZfQs47OztKgPFiGwA3s2JI/JeXTe2C0/2/I+XR 7WZVY+BU9ayf/fFhz9upq9mzZFgLAXthMvhhb81SsUpIl7rtcNd7f4ntgGpQ80m7XKj6LMtlEBMr O9MQdrf1sMH7Pzn3ciygOFqRxSuNunlmcgHu8F5Oxt3L2u6RDNM4u03QhZstFcUkIvfEmf1gwaEM ozHLaV2bpAm9YJ7KW4vLoSk+7nnQ1bTZR5IuTK+I653ltVk7LK6++UbhvPE3RzZhyK1KwZ5AIjBI LEVkKVwAfq+euuTk9H+CeupnmKPZvUFBxCtUJZaEd3/dw6LHhNuqA7PasZFNnH2Ed57OTdnUsdgU w2Ub3czWXDOcbIm+4F+5j1WQLhoxjprvx62La2p002AsLO0mTZhApJv5VJV/iSTKNKieWcPj7x10 2axJH7ZR0nLE7MJINBB06YSMThEpVfdiC8i00tMiWNxXuHt18im6FR1nuumAvSDKukw6qj7vGKLb Lq8NB1Sa0LmRE7yyElh6XitxlyZkAlfOhFfB8zLV5b7xA75JY3IG0cu5BVsA79sme7qLK+JE5GRa Vx70RorLD4ZzBHqxX/iTi18a6ppgRV8Cpcak+zS1zjuIotRifAUO5n3DcphEPIci8ogEU9HJUEkz 1/6zxq+aYyQeOrK/sRQ+ZvcoaHw3mKQFaFZXr1w+ueFNMdyJffURSwXBoXGP249cimhVTr5UUo+q cvhSDrqqXll6gpcvOiv0eC/6mJM3+A8B5S9wNMg0ytmXX/AvU98v/dIVoj8fOiyDtEGfAas6io4c ip+5dF0FY1OKtexAQGoGGCrc4o16m4oF9fOeG4m0Gn+zBshJV0anvOkRYj8yIoeNfPlkmmsfa4Bh TDpsxEoGVGn/cFRXqdc9XWXqK3PNSIqVlFBS45i7gkITwU2a3eoirHIpXfwFNWpQfmX5BzEIxww9 q/R9XNs+c9dw+C7BnKMZfkVQQGN0XQvNj3LHpfieddyWjUPHK4E+1SefPY8GfqgW/GmzUDyiXsG6 NfqU+6illajk5DVMBHASfCc8VpAgEmXQVXjByv8u/00/a4scRIGxO6UXUnPf5dXER6ZuSMosTLYm cyUiAEHnEoXPbwg5bwaX46mE/WduTYZIn1S0qPW7zGZ4K3459RB7bLY127qhzrOrVyTAkk6Amc5h 32C/W1xLbTIjBgv8OyqxuUFVgpNTPnvFli5VXLuCisP7RIPWOQ5sdhP92vvddkx+9hvAmh89OXjK dU7Zs6FXSeuytWvl34xQvZyFQQxpaRNgfVNMHOGEMVwmCV5v+31rKB+tsGo/WymhNmStAHA4Qgxm XDmFVXzOmsAIQDmMjlau1LMbHGMZZY53CPyKhR08h2EYUw4SoTFifcdhebQwG4PEtHykhgjG5Pcv 8ilvJHhnTB24q5QJH6PYT209aa3OBCjgd7DpLZp1InojFhFzaEznN4LVlH2qwxy1fE/RACdtK8tl SRt+/fNZnUC68SGjw1e8XBTViNhv69xYLhorZKVFqCylT8IQZzW6yy+kvJo3w5vRx3kAyNPloMG6 A5zRPuf30QRxaD2CuzeG0NfI3aSi+Os1w+6bEBhDdFJAgK0zpAUp6kUKJqZr0BDt1BI9PadwRTsU R/z0z3QEZIyt06atWS3y3+LxMln3z3sBcZM/uphmUsr6GCyPh0EUvMkOnITcd1tfKCR7xVoRfv92 qkTSLWEqgrFhGh/cYtvmggng1D4ZEjXx/Vk3meF6se9wFomYTWBax2CWqpCr4Bw2hUp23QWhLJwP 1MvWHDRXu4zfoh5spLQazBa/WCVRuubzP9mh2gKzdD6vpZsXCqwrz+bj8zWKoaBRIq7QOggZATxE 57LRFGPB7DYDHXgKsRqGHLn86aTcsIwNLoqMK7r64Gxb1b73FHYv4F8CNVpm+D4kvue9BVyvO4CE s22PCrTn75gMavb6Q/v8n7sBiKX+eWXjzV+e/n8RExxbTx228CP+R8FZ09aEGoA74atY2W65mr/m wX+QBSNsdT5otJ57+yAXoeVcmO2ZFII7Pm3swwp14SpfYmpqupAqHihjfYS8cuLJ/8gpN9B89QMS +6M/PZPkET7QTov8MSNTJnqhagFoHIBWQIaSAbq1T/jghdx6peqblSN0JJL7wfE3GV/C3zXmE2cQ InuEfP1BnKYKYwT9obtb4pczHw/lYzzWp73mvizLc5Nmqf2NoCIE+ORl48/tAOizO/tI+YAP7wo6 zn55hHL8kaggUnm16OXV59c6kx6U3lKLKhFBR4rJMT15AVovFc9rkeyUEAWtZEEQHeW5sGrzktbF GQILm6nDGDzCp7ZUkFGym9Dhy3eMQsBWoxBpmiwYsYowmWOf8keMrjpMVCoV2s6rbGgso138Amqi XWiegQ3vOnVn9taYqNwH44JqpPqu3iuop8ebO6cG+L+5GdHGEHgK2eP0uykKgYnPibw8sr9Sw58r oufG5uCLfufC6pjyK+5emj7QxdZzZOBDAhP81pbqoltxVP4d/5Gkc/q+IMEJD93qC1y7wMCqHXLu ZyAt/MvCXORDpUBtZDcjCnVgM55mWFkPgbD709h6CPszwHcPQwekMMnhQsOQn8lzj9NnNTFSb5Cj kwNYI6EsGwMOj9oymiIUXnfaYgkciQNhEB9Ekh9AqUzvk5junCZkloqJokTREuQHzx8uqxf635P9 hyuC2+RCX4anuQ+hVa3Bx3gFKvq8wxyCwBZGd9RK03mUcs/OTLyVwp2xWc0nXuO1ERHrHaZdtPu1 Ajsml1KGc20AdYS9rRjrzg4xePyznt15qEiHUiw7zwMw5tD2Z8C0MtaP+IfLjovdh6220jGw8SHK kEd/6q/MdbNUHDA1Id0vEvoctiNMVNdZcZRlLi0FR6cKJHdx7VmeKd4aYqGCUgMu+D7taZFk97dC odMLOfUsg04XOoJqjyWu/kuHkE7/E5ppFucAO82of+iBUZaNGoD2KEKiyY2vvJjIS0iR/w7MmMX+ wtrWdlRbgeapAY9iH7Y7Aeu/SevMmrygMc6ZSGo8SAELPHLcAd1l01t21/pQY4ZZS1h+s/WeAOuP E87fqOOJ4pgKO/jawu94kU/9ngRuqj/R3NLAuOz+llv77LzrV61yFKOwgdEVpZTWfMvdWbn9gYzA bSVV6bH5/V3SD552Yqhxb0I+psrDn3w/accuNUDc4iMCAI07AS0/uSwhueQYJ95neOICT9uIqs0i tPHXMepTkZTw1L/t5/Y2622/3VyPjhj13q5qj9GD7dy+eFYUmpQUjAXgAux1Jmo0n5hhYNZB7oqi SdTvHs/lCcD2gm+kfzIeMetwRSXZg+gnVCD76HXf9EP4/dOfqMDltqcvPBIwEnFnS708i0ltxZ17 5mbMWE2PJ8kUqtigSL0c+14YupHHakGzkY7ZxlHZsq4FbHVzXHT37jWdiCTzlED/pgM+e+ilRgpd 3seSTUxBvCcgPPAUqmBYYVa5SlhnzCWwPgfMTtKK7FGTkE1RsbchvttxJDGNEY7JAV4CV+hhb3+W 8r2S9R9lOgsU/wNUeYd1xheMrWgZVwoHKcR9xgwxMoUmiz67l1w6hoI40E2YKpQsAX8ATevsxYvO oVaP+Ka+nFyYtQnXlyB3Kyxmm9J/uj3WYvdZ+zxEWYv44cIVA96QuFOIaGa39BKQ8k0p1gXq1/Lu Il88RovPMCg1MbFgyIwbg1UBNOrA+MemkCMgZniD+hrQMSpAzXR6hgCN4IT+T0P41wVeylmxBVyq dOSm3LysRfZbi7JyOXysfvpQ4EHS0RtQys/u6NGv8XFgQQYwbE0fAwpj0igvvx9p6yI2Mh35MKlz 0rb0AkKogf+7Wo6/P8VXhdmmPSfdcrJaXhMcGz+sOjz8PfsTFuhJHm3n/BQGme+iDwxo1yJ9EJwK hOMPoEJiRIn0+OlGVNVXN7cy24eF3HFEZFre6M05j8gHwlUbL4yC3WOnK9+yMhh/dK+6mTNGssJp hUu0gQmpsW1vjAwTWpp8uhn2DUvd1x+KJeY9GEkbB2fOrmN1+Ur0bqfhr7iDvdu2Cw13ARjO3Psn odai0rFKCVl/k6FHyGC9U4gUgWO4lQj82DTHICOJX9XIAGtUgkNrXddHNtk5o8cz07/v6mV4uVLW /USGgfvl4zbAjRqe5+BHNg8jcIE+9fJ+AVQEIrcVQjnNH5H9R93vBzMfJ2HYXSdi0/n46Xfa6eLu mdCv2WSmAmDFXlDNYYT/4/QMRGWwm4kvVC0gVs3SCxX56D37xrfUw6YzV/ewodA0L/Jrh0hP8ZCV v69gAAKSHPbmjtRAo50gHKCUYLDp77qQ1vuby3oURKosUC+cjo06xbH9Vwlrs+KA1Vkv9tHqkHl3 gVAIVfGqyoPxxfLy5a6nLEJ6fg58LMHexF17ntQiK4hnlNCicj14vTP210am5ROV/o4AdeCXHf38 2hysqTvVPGqESXeGXJKeO9OGyHcSf7V37tBrYkrFu7EdtznaKw4/RsNhsCnR/IKbOZgBhabPwgtY RIivCq5CYtQFt3JPChpVyuw4WW/FsRAsh4RaudyT70IOXjNXzm7Hr1fvNa08JCvS/AJkt07Id9yw sSQ3BxUa9v0mzttWyz25uG880vGYB76BCvjqKB47k9KKo7Ok59n10BAqwIIMdTlrqakcCOJm7Rm+ KGr/AoRlzqbOegpODrv54LdJYCRKMh9FafcJJpDQmsmTKzxAo/FI2qIZkSmA1lzrf1e6oGGI6e/Q X9pKr4ycr0IdaGssoxXBpcHvz8dn2895FStH1+khWkvxkPXXRrnokMMudP7D161hCIBcyZ4OwPSi kCByNMvCsHbWDb1FONe5a8/WqSbSD4CRaluGMP3R5CFMtHaYmd5OJ74XGQa71PPiJjWveQdNsa/x lzyFfQJpau6eZak0Bt9FGZ8DW5nFBHr4FAxUwjskAkLhdZc6S/uTM7essZyKnZeCv9zhAlW32bKq OYX2wNTp5iqRYmSk4V1v3Lpe1i20fwXc/t/Dl8QsuDoJWN59NNWUnPEA0dBT4luXmbqutjeRXZyW gsTqYQl/YfMTLKI4Rht/KcFKoHoxXYs/AxwA31IbXod+X1WC7qsqH6NjgET+ApwCJmE13y+A4IBb 3VJcYd6W5bchEzUHInfzA8wXAKphv12vEz24CBhBxZ0HZVrTysJ2HyjYAop2slwhetWFSQRyWGMg ZWKw4qUK0yhRGXJ3imTeYlf1J8M4YGKTtIgs+0nYMjfwKGPZ466CRJ6pNgo6tyAv93KFnZiL1kdH rOrdMiWbGkpNKlnQsZgcX3gAqz8q7fzPHxR6iGIlItUTHIUgaNFF+WFGhtjILgO8zyaw21k7hwdB AI7Nk0sPw3S5SBgXteYHbk6JEt0TowuXCC5pJ5pLmEUwI2YMjF6102TAddpIOea90Ea3Y8KX/P6O rTHwEhwzjU4TAQhXnyHdMz5LuTB95sUdvyyUAn1C/SIiw8mT71vVzq6lU7EXn9NmlSLRMqzzL1a4 KfdzdCfcupq6Dn58hQPuLllO2xLUnN4d1afTZcMbrJx6K6CGKw/IApRDVpW2xcguNH/pk8KefhxG SmstblIdG49Xn4Ff1Dtzvp7SnLszIf9p0FeIJGcgDpxG9sVZsLx95zGWrOnqcUs+7Hy72xRKRhJT Cz/QwE7ow+c4wXmcTdpHCdvMMYG2abx6H/lmj1xs8Iam96dRWPbF48t8tXkURx0MKCr5/sb9yMKG 1Xw6FCxpAwzwXh9q6TkHHvH4R6bA9zkuUknrFWR+BYOoib4y0qo8ckYgtKX8nLKhAOLubpG2/B0r jXm3FGZUk8KDiTEqTib229xdIuAbwO46ROV4/jLeG08bmR8cTfZ7qvwrpWKWFumP4wp1xsh7Owin 8Hq/TcpvzUkgfyARhGKYKHFwEC64g3/LzDJgqEaLDZELcfUXTJp95yDVACqCSE6yKfzxlfszVPg0 OQZEXEYmklAgNT+WjsaKel8FvsYh/nQQMpJro1SmwbfKBNl+MAHpz4O4dR31mqpgc3qndp+Kv3rO SRRlOcUdXDQlZG+sOoUyjOvFDwkvU55ohDs/eITy2Q6Lv4wRYM5yMOCJhRp0vomIgVx+rACdoxSO EepWdb55pvB43oCF3bINp3Xkwmxb0gTBA686uTBjjH6X3gUmvnyPVUkrA7XGCVpHjO3Mfq9CTX9+ Sgq2AwlAjviwPdyNizdiLq5P0CUPGYE7D3TVtFoBnhJLuPeeoGCdc7Dc3FzGgKqP3+I8vyvFuCrN CMzlp9z8vMN4nzsW1CAa3gAnFe+UEOtO8YjaPz9JHQMr2ggRaSQk1X/1l0LKAC8kFVbsV8TRaL8y G7pHPQpdnjKx9oWixcvGmtABD2mI4WoSGlDzGf81+ZVaDopOgEkrrOdwl+XLCPUf9uSOQxyBOQyg xkTQ5QCh8K7kf5pACmeF3Fii6PxIuPkof/ff0O7wlRQ0tbwq98vreYEkxdo5T1AFSs2o2N/roaFq ktqIw+bJ0GATyF6jDZk7PczomQvnHsARTkJq4W6lAlnhXfLei7Js713OLxn0bzHpT2DGg65BId5d i5ays4rn5M6DupGL9RlnP47gSazcj/z/gWJ5WBpeMi1mRchmLtW3a9XgtFZK9yD2jD1J6xvHv1CB Urpaa+dynlkV8kR+JI6yxCQOpZ2OUvOKEOUtIo/Te0vWUUDZBzNBOOjy+TpxKCsPr5TEvZ9sDfBX V8ZzOs4CMmLxFIz0+PMMtJ5mynWvaAXwkKUbelu6V1lAVKkDYJUNROUKrshUW1yQ7I9bCK4ad4dY fEB6A7QT62QfM5Z6timhj8tp4l6aczlVw0q5eJ0t+aNGs9dmwvx2GYzL5G4oA4vqX1ib4O6vTgBI 4wwEXbsZGeFrir2kzQwoHHq9qfPwxZZaV31Jp7YeiICKexSdQNDlihkvNwHRMBI7H0Y45e6Ohrqi Ry7CdrBazM79Qy1hg8YVaDoHSCJvLOS9lWzCvF1t11iutpnVzTIxOz3wVTDHUlYeINMuwjVos+bk t/o6Ls8UODdMoAopEPycCXaPKLHnRMu2oiPR38jEpAxNPQvko2kyZ3l1Meh3URFGr6DkCYHSWPdG sT/njMRvNUHaiopf7YbfYOgWOgL0b9EqhxyYjCm2TgET5XKNeP5Js1tAZEXO06dUUgrTkxn4JzaP E9qKzj0cl+agREqScxai1P8P62JaXiCcL+TCX1K7LhynagzILq6XSL8k+JHOWkUJZTJhEptG8vW1 LyKgoRi3+cbWTZkLpvjX8JxR8BDJYgjskQmfSnRjvxn0YxRdFKMATeHGzoVbRO8TaJqdQ6S6oQrn hpqX+fPhMQSvLdZxzfg4X8BxEmO84WmFUUhvgBzIyfeuEuIsyIxBrLSa1vylXJY1Ot8bEeVAunRh 1f/yfW4rgCoM5d2g5j5mEwGLUfyG2kU2tTFhVY4di0QWdj5lBQlsTvTsTrLj3cIkLfGSyBsDPalS 5yt44ORPOKTVoPzPiYGGuCbdGqR3DgSRDC0O4N5b+KQqIyAIE1eTODpqxkjtnp8oVf2TlmKeCs5e I7mNSKm/Yg1Xa2YbV/p8WnJoskrqYIugWfLsDxV0CJVWiHa5VRzIOlr7V1Vn+PYJASqDHOKMfMzm U2nNAw6PVSBnB2oVyk2FD6uHDK2miFPdk6AMHV2izvISwyLUggtPdvvpc2EGDDcjI9EkALtDSgb2 QHDk2lRw+cNnkA9TQXAX93u4DjyLswFwdPdmEJOyuSd1sHGrYMDLhEiwI+3evv3gxlPA38sSremc EJcM3x6HAcBF5VX+vFqfnUSCpQf8ABHKhgnNxAUBK5DP6kuWuwCLen7NV3d12GQ553YsZ103JbdO dKS9SRt66Y6QVrI/r5XdFMIlfqy1KWrA/xuEUjX5Io97PC9rns7DJjLqrfN7cu3YjE/3ctjGeXqA 3mja9uQzFoUFdNbyqtu5yLN3iurRMEP5QOiSqWzJe6Y4P1eJKUrhZ1YlPkCPPo/VJoS6QPHEMWhl 9AIONOtNaVk3Fq5TaP9KHWGItmzAa7OTRd3m2XA0oMyvKHCaGraD/S/PziFmR7u/o6XcJybEdNkY Ll7ono44lFuj8609iEG9fX4HFInZstP3afPvfpyN4iCYEFbM7o6PPu2xZcRvfyzxIilhng/Bx+pF swvkt1xWmBeBQi7a7cKr470xCNm/v84CHpgAcIAKJ7HZLkBbrsC1RKdhGUV4F0DJKlca4RjW1a2D O6gc4UfdMLsNCFpllzepzLi80MyeqLnFG3wH835jMP6yPxjc1limKHLLD7w54wBj11whk0C0Io6Q Ol/hhiF/VUz3GYT39YKIrUX4D9jGJk1S/gM+1GvDwSA5Ie2ASBx2SKiVu1TVoRjX9ST4XqjUUMB9 wEkoAdnCyQyWvFIlZPXKYEpFlxzY4CfOlNhrW4rJv4F8vpQiexlfanzh5fS8wSXO3PfakSaQ8FlS arKBjN0j+YZ81XdrHswH04WuTlylckrFQhAT4FDyq/qLxDm1E9iBFigYwoGUrC6fXHKQoPReD3xO u8VhKhtCy/3dMlyDIS05Nwy6hLcopd5zdN0detOPXcNtvryyhQKhNNt+MNb8BuUe2SzDPbWubX31 +Xi95/waZiXaHDmxKuGm6lMyyJdgdePbx5HdCMd3cvR7a1dITHhjvbsu4adjVUuf03jK5xkRsfT9 W7L0lVrawELtix2y51p9dKhy3EDKxTl0LYrYvaL+FpWv3F+jlNJdflKzamo4RD4PGPf7les+Jq4m l5BBfRisaSK6PVbx9biiVkuVGBDysxEP1WtdwmP4vJkpM+DGZk4UovWoNpIE0t8uwovObaVKhm3z qfyzek30YoKwPmLE6CZB7AJQebvEx7R2Fr1i1EUkvdwbwBj8SPrZTXzy9cttw6Rm5zHS7whimU2m IAyhzdPUusOcCGGkiw+niPYXLW5330yVp2ewsKAfdqOnFJWTOmN5wnEcOtyFalSwj2VQFkL761eb osBv9fWN2uaXEKxMARHDxgXJIhpCjGVaeLQXdzU1WQmTbZo20qnr2nlCEqMhki7KwlwpU2RGutKq sHH66EceqJ949Wxz0r0dymnzhCXRSoHqylZ/masByUXHpDAaXFZ/R7LnVlRCKqBC6lyw2WNp+AvE A32BJCdCZdrNHOA1CCEogKgL7omnQ2RvpRWXjuDgTzxmE/WB8Z1pgqHfRRdhEegyLAoqX6kC+tDj n+7yj/lW6UT9X+x5iRN8CEtjne8LAucwvgXXj2IITfp7bshLYxIxI1IatOB2x5/HtjBgxX863zrJ jbyl23S2vAvYs19MPdfriAkD9oYkJqxyJYixZO/JTsix2gqwfR3JSZ3w4U4hK8+Oxu6Z07Tu6TWA soUcD2Hkpqu9SjQy/K+1UGJRgnsPJI3fuhd1AxWgTpsbJcGwoqn9xwHB9XRDLy9U44rEpPyi8+Sw jyx0G5sXV2HZZOVxwqpmwHqKbCPImvZLy2PK9BSWG9Czxm9bbaKuRij5FdGk+a4VDiwkz3kpM5w0 qbTMCLauhYj2TBVzxhHMJ9J2XZrXXRH9FD/Eu7YNfKs5uSZRDVKGobA/wbSu+ZVZIWof/jdfssu9 ctYPLfe2zWEruWB/Si12uhvqxLzICJYytxK3XFLb0ovn/Ih1g2Hq+988D10qUsIbyBwT0aXAymWP IUN2AcQSxLzSuuFAsFSxiSn082su4fNjOr5yGQ3S/esTGun7BvrK+YBUOOtCSejMZ1bTU4pRiBiZ JIv7u4Zbx1FcMTQcCA6q7UDTwQ26MuGJusI7JYQ7f9VUlsYmdQopnTrYypeiCVng94zfjuDWH5NO MHNgl2P2gHxl2WQEbEFQ4Bi+Z5K8huatqsa77K5Zh+RDLwuqMttcy344eOniSax5lCeyZtOhHr1M W+fEt6AJ6iJ3ay5uh1dLD8M28QYdBHrONfrcLEcvbswoM9Geowm7nZHitZAcMYdc5m/5Ymq1mHty HbpmEu/Zvj0m34vijXVxuu+aAc26yLdvEb82WAowHKHOUB7QL5U4CfZkTdTjIOBBSmG55WoaPYOX hoQVwy4dfMAnsaDbh8X0OVGkb00rZIS9tDs1i5HIUQRsrlPZtPxdxULFs/X7pctVEQDL9MQtHNt4 mzvmgxudecHKayWoJVeGz3+mUjzDaeRkzx9/b+E4qZmGEh951BQkcXPD/xUKUYeCO2Fz+zzEXP4J +reuMGlRBhRmiv6YAJo/P3sssx3V0o9Zh5tsiTC+6KOAA9O7x1ddkFHNlNG2lZ0zAuuWT6kSp1mX KV+tj6LSvmZLG2UfYcLduuW7DygbOwHKvyQb0pVDJl99vDQWgyuGRyZqDK6tbFPp4E+JVDV4FPbU Uk5JR7EQk9wXEBdWOZCMvvhsSLLc6+JztbTUf6n5k6PpgNxpHFPnLNCHkTzR6tkKHjtUsmcKhPfK mmrQz55uhFtAZmOQMrc2bsqGEjwRfctsk05d7erLLX11EhZf+hJlGvKCC26TxsSfSDok3teiEO0t Ihp58XBtSXP8FDtE0CBl4u2q5rUkf2b5ih4Y/lc0qqoU4x03Ep4bdR0T0oj8riwzIPo0K92+I8gF 2F87RoRGgEbO2cdY1STYMCcXc78uldCvlWU7gTOeEJe5NvzThpHVCaeTrvv7/ixWQHhWKgIz4oR2 W59pajNyyYTTArWoS+8L9x5B2vRi+Pl1Z1mMvQ2x/0xmgR40KtlXAylaUXhude8EFZs2lCHbmZsT ClN+KSjOzH0U/NQc7U0w8JJFwMCJAtXg4yb98HXWk2kpf87apw4SoLHfkjqUgdWV8jvWfmz4PKxQ uaqUpXHdbri2Dz8OkbkymO46nXMyi6IG/a/6dqk62iNHj9XHSasxKvqimW0+25QOVKZ3Uj5jC5KM k28Kis4XMgJ6f0ohQfYo+1v8QTuAj4mSyecGJAIRWM56dHbmmydsvlXmT8CyIUl/WOgzUSikoP01 0boJ5YVLpAN3bHEqjm8SfMwaBEos3GmoZsHoPEbswx9vMEvHRS2CqeVcM24lH6DCZTskpk1kQQW3 MVXjKqyF7E74NnFgW1gq1VZfoXVcK/kzBm0uo+HN/oWfmI2Fu0NGvc7zJiLMRwByZ0J0vcVZwCLd EILNQhWNUML2GNsg9+ShTUPvcMbB4Gw0TBRjGiGX3ZfGpuRbn4bQZc898J+jhNYxYwXcaOBvHDLb nWSsgJLtQnX7E/Bv7w1jNRZcaag+75mGVchjIJvh+H1Lpd6xih58zPwfl8rgyqSUFoyGo70Uqgdk LBwPkRsQrqbxihOgjIaly7/FHINYyIFNUfICCNRumbR86G493ARmy14NYcDqFLYI1IWa9Ae5m12M VMSpUnn+SZVe+sSSL/wBRPGsuIN/6QlDnw4zw5XCug9gM6JiQx2SKCkcQq4iFCnOzKfqhOVpNedI 475VbyEl6KXRiO5X+TEvxRs2jJ+jE+yApGIzbIfdeD/sUtg7egqd57rcgVh+B/XLY0MvI7svlRA2 7sNE4MseYcrLz5RxdtsWuvN1A1w+pIqdw21OWLxLoN2Ivq1RakIunW6WZQwhBeZq/dMBEYpHhjEw wxXwwck+gjChDjbFLdRTgws7AopzWRKt3w3toMwf+z66o42ZW3qVPOpwldGh0gR67iC6iGJsqb4r iLbB5Tb75V58aojCNFPBBwK+HPz//t7dC1FkkOwSoGlOSyJKVL9KAmHzzvAyUW0/ymcAa7QUCOpF R+40JXeho7ZAqfcm1p41UmmVZq3I4+7pT5isnN88mbX4wUwem++fF5CLK56bpNcKFU8PeH5YfW60 htASfU1n0oPxT7r6pBXzSoNgK/Y5eX3W3VjPrBIg2vKmDdyLGALarVGiWppfuoBDYjilzI9UOSZb li95EzcbmmGmWmttEGyXSmYbTX9acEXQEnHQBj+LgZ/DXZ5H8sUrr75wC//mL/5paUb5o9Rtz1bf HEHeITGdxLZUi04+BP/FXqkGUkB8W1LmNxGS4K5kzRgEKMgUIWpMy8lP9JuYwH+GP5TuEQiGDwfB LeTT5e9OBaULjYq0qnwLpcAVP0IZAhz1o9UZjHbUCz/YnxKSRcHJOJDUqci1XHlXlwFxzqNY67x3 skDI86rOr3xhz8X5Va4qZHyV4/vI0AoziHXyJkrjMwfqncHUI8C+Aqz5A1LkvRlmSb8TfZj4cccS lGJ9G1l9EAODDbsRSja4wIAW9UJJ/uFehnegzSQRbfqwN7e6/fuW0lP9N+C9al3Icj7vSAqAL5pq LON3ZQrbSSoysrOGIJz99rCTiXoEJEgKa37SrDzhbIEH9l+uHB5e/903o/xSVFES8L07YzBn3Tai T6wFzt3cldXiGAddp0fTC7Wm+EnLEcnfJCQIePyUQqmVgIuneznTQ3CYgy256luhvjcQfpQaCAuN pPGmaMMevDoq+N0urPNqyc4N4xOXpbKJFzIO784Fl3IUaCH0zuVsTK8rbKqDr/O9xfHBSukX1dEk NML9LUMtlatF+YxXsNOUp4E+TgakffLEaglo+ClavgJsSiCP77RfwMEUsw/OqIBxRw4DhuId8RQQ Y6hTDj3F/sCG4HP+Vgdzo/uSM5g8UqIS2LqMkMbmrx6ZuMjgPbMpzxnAXHaZaTWYtwdvzok9Vx6t vONhMqGwuwd5bi7rZGuV1goLSRV8r84A8Zlbim9dwXfZ2kBt2zT+hx3QvfvXKYYbyhI4XqGVMSLW NwFnYNVee29F73TKvqNSPaOH5rS9FrJBBEUz/dPUIHXxtrOQAC9O3fc5fw4sq4oYJ25JiXq8MpXW DvW87el5OSaWQdNcyfW9Hp7F54L6hkGv0MrclocnTY6SEahBcT3N+p6UrawLW9TnHaUib3+sb66d MGLwpqKKxgzucABx2vrqItHilbYbCfTm9wghwLSKpw6yUKDOjhBBbicbBIASAz00zBIaVfapunxl SP9GucD/cTLPfrspYq5dPPL97v9EoRTfX2PDA3u+Id/RLI463EoZRCc2kIBazpZ7xr13pDDR72pG 5u8Z0Wai+/4p7KuGq360Fec/0eGpVyrpZLTMj0aq6jFGAH5W8vaV8tn9YUryef886Eci78w+1V5t vPnlJTIK6Zbv0DtmiJTjkPukH6DczCY5yg3eWU7VZHPA8guNVeb0eelT8G46kLuW6s+1pkttilHx S8UTHFszi+C/bqLVKCXjcq6nUuqoHnqDD6D/+fF89eldJXYazLMbScIvN1A5HDLv5wMBf2HoaW55 MknJcSSHcnAcaHm+VZQqftWI9RewhMBvAR6V/0tsVwUTYCYh7MNsoeyk8X5TdWAiKWVxIL7h0pBh d7dqs+Qw9r0tUpNHcULb8Vohr7e00q3pbWmVfeWKI3herJcmUxXv+qCkKdT1Mb4zDxSbIydWzXki 54p3gtSp0JKqNpf31GsTQl6BMCtUBJdmqb0TPLkMoQAH69s8yMOrnKvlDHBXVdE7SDYAzAWO0jVQ 9ZOKHqFB5+1mwr7Iog84ZrtbBW51ZMDt8zDbuY5t/A4s/pv4o7FyVp9CEySSLGoKqPevM0ZYj/Dt N0dyR68ijwlrVc7Dp08CFYrgEBz6P6fxVf7CjjLvWGEE3AQGqDzMXKFyg/qVDYmaaEsNTytUwQUq 3YrsOHGmyAMA9aX8FoF6mAwjdU5Ck8Whp43zyizzNiMkS3qP6ofKEtzpdI3bf2Q/ftVLqCEgcYRt zXSopom4KtteBJ22bGCjVnG88UoaE3fCXVr+oGimfgauvXmtnv2JZRR6WKm073+Kld3AGl/rWHjY 3oNd5ABQxkFKeFFph+1MFIxZVn7TCIhxEsBwV3nt60qbxaX2SW6v27OugBAyeKeqbKzLtjYszMoq 71FPErWs5pqkO9k5NDdPX36Fnov54C+P1Adqy2PfMRmH3fQKW6RwT4hgldQwmFdfaVsTwYxANobr 7jwbWveGvNB9BR9SLEUqu0u+QGPBtnelmFRulGgewJR4tpfYduprL0oLbJTJEw6+aOmErtkkOTcR OeqoKdpI3bMdaFcz7jsanrv4TgSjGwpDqmVJN1z78A+BYHeAa0JrDWny7VrehHjHQ8pnlZ4PIYqk DLGtG8LVyNOLjgL+W9SnN7E05VYcZATvOTp/H31nuLNQ9paEM0KB0PjdlLb/ZFYIp+4uX0bFFSc2 WYJ9zQUmFq9pZ6TlSICES6URu75G3Hn1aS33BUtrXR7BXFUJlFZqhEYx0KAwH/bOKcLeWXzA6AB+ EtsEvOfdikMRGSJsejErzQHtv7Y5CkY9b8QfsvfQfl1auC89Dv2CCIucGm8BGHXMjWqtaPhZSA1z n7j3GtW55b7m6jC63QvxV25UdaWSvTrXqxI5fpYdQmmEeEMNFj0eerqlpVLpltKaT67pG+kLky4m mzbOZ5Ma0HWYK824esP3MvXt8Z8BwZb09BsgnPJAHI150n3Eq8eCxDTuLP4CjvhDlOtarwanznOt AmggJWMdGjihHvuYAz/foycKaHvVMJ7XJGXZuQhJM5BsOvqY3fOEki68rqKoZI2dasIsAnRQhlrM Ow2OjNT6IDTyYkwPQ817EaavLsUkaivjmhBgt7lwztalMNgYSakV2UVOrUgAuYUKb8ZMgBpJMQUO dIu4LeR8lJFTj1GS9bC9fIAd7UXZPWZoTrA69jOHIohU7gu/dBrvxFrobSSvjHf2axr6HfiIuOMV 00+OwDlDlUZI7FD6XZkVaGVdQXN8YtGO3muWSB16Wga2mxV4sLaaZNaJCZzMjhBQQhpv0U3bxua0 P8ax3HFKIRhToMaMadAWTwsPoLI9a2/VuYLvi9D40/+N1Ln69LaadmKqi8PicZ8TM2eKwN2zbTkY teUhXTNxW0m06Uwi2MhRej/pB1BnfVxe5KuHpPdYhhzNynl1nGscr1VtgBy/9ZItskUansamAkfo Kc8OLQW1h8vneWQENsT0xBZHbMxX5fRdw3cqnPYBNkkfDZTOiG3fhRbFYCZh7ZlT4Be5yVOkdlrw +VNXP+SoxOqom2PBWK8LfCNV8CWcDiHk3V97C4ltLlGqaYcM3GlDdsIMChAoLxC7mrLrVEc4D2Bo hJADMvcJPD0LJLKs36AGaYNsxrpSW2LD9xDwx86rbDxSTIlpTf4JU8WSf4nlD91QvQNHnGMu0fgi xqiiA+K9soprmRY9t5j5ygSwxRPC2n1BvhaAooTHA7YP8/3zp+C7BZgq013VT3wAM2y5AAi+sdE9 c0dJ87NjQyFBtvxPDyGL4brIH0fSC5KU8ND6sdXIkYOAwDVGIvACAkin3TF7fHfmjPozcwSkb9Gs jy/GWFf32rUbXkDbK5c5/QKGF28GuOTpGIyfEZ9Wf8/SGwvwZupr3WGBr48ohkWVovRjhIzVHdMH 8RwF2UAfO8N+fnTlfqVwieRHwdck1HyZcVDWDr77ksPdRDTfXiHFd/rYmqDPSuqSr2XDTNoc2gM3 dJyLSx0+lmvaKJh1Q4WJEaupAQdKqcGM7B03RJrWJ+GwsOHlSlhniHN7gEYwWTQm00y0qHSqJYmh UD7qnCGSICnle6/25+6RpXqhk6PbQxr67EtK1nFJRbhFvm0+jKxBEWJshxyIVDkABn/iotntsPIP QFqVSNyRISnKoApMA1E2AB8IlzbIqlxEG5qHb+A2YWGgzKxPGOeUCgU0n38VceOd5usU+yFjklU2 iTHjNGlosR7FkQGhpzXFGTLUGcnFZifIuV7+pnpZVdwSHtje9/21lWfr3gIArF8SUySVC5qNLHVI Zr5tIbvBSba48ysAp2YuPjxm8OxwvXd6twisVy7PuJtZwkG4u6tAIMTfxNJESoLQiktsxMqjLClW Ckkk5EQI7QMIo62kQ+BJnYdoY3vQBBCefiMM3yz59K81ct3ueOwwrUpRsALW7mlXiZN9RovSkO/i CZpoUrI+QSFpBIyyHGpdghy/mPm6ucFWN55j/wK+6p0iUFaJVjmFHoqDQbRlKuGAdhX6GbZ7IKNV oHCDEh6LyniN+jUSKFS416405V/bmtzho48CE34CCxJjhq4pGuOAjhD01ZX6qrI8kNuIxX/MLORv 10HL7ZP66gHRp+LYvZbAs+uBHc4WZxV8DUFuu/5vyIwLjddiNglkSxBNo80SR6yE4e32fmRhhwNA 02f+BuXqANqmcctrIsU9S9HajGqU2XmLLQ6z1QolmZ2GoECkCtJfEf2XS9kwh+OokpGkXIHsgvmQ nJCFuhPWgKN4mEEZX6qkalv4+sffaoL8dg77eX26VOhG76VfHCHmpRiIDkzsoVr4gwrrnWzAK4of Paud9QWu6UdHcLdcdI5KF6vvWW9PB4MTHsoRwR47h2uC10mFBgVsPab3tN8oowne5NSHC5RCE47c ftlZobN70yPhsSHBxwTMZoG2Y1vE+q6akTu7g2Zbgnztm+ElGPjN5mQpT+RC3RHOJVUcCTuC9lCV ZXzoYKdsUeaZszy1N31WbPKGDI7qtwQv2n8FXeJ00ziwrauhYMmyr09NyDHF4nGRAKiPQKO4Ku6b KesuQvQY/dXArQIUZAX1ihf/1rBxRMw2v04dzpHIhgS3kwDoWs344cNSs4ENgk7FKB+2eMC7OLd5 dzHKKF/QKz8s/3TK2AYDXIU2+CStBxX9xdQJ4vneDVpb3UoT6hmW6veCKROFFAG8JDS7hj/WYqFL axkvUlCqxStqkQ/Oazq/FXsiPmR4+MAm5IYrG8WUGUp68Cgp4C6bnh3jUwq6xdrN0e/9gnSOxRmZ rH35KT92YaIXgxzPx5DXkQRjvAPHgd/iuoBYECJd8DWrXzR9vB86mxORbu59PhCqqa8uTvQBME6y yP2bNH7iNZsj2oQJ+a9lJ/6Gsx621/hiXqkdDnrXUQ6+wVaPLRsBIQJMdytk9B/tf3imG2resgGM d+hb1Fg/45oT8Y9aZQGupbal9EBelgcQr4Qg+gYE+tqe/y3XdZuZ/92zbRqO4OpMwHuuBtXczLbr T9II100+tpbFJar3Bkx26p7du7lF1HDxu3W2ULwoi/w33Rc4lK+Utou0+nJCbd6ybpgSSfaRX9AA +G+kigLq2wzbeXAEG+mdSwT/umRJ9cT2NqL3c9toB5KPm3RNEbh/si7OGXmYnlR1Lu8+qaMYy0eV mzw2frJBLWzU9f1lsU4QBkXPSeGgTLj09vL7zljyF76xasLP3Bucrn/p6iOPKQTmEk/9J2yCOmtS p41V5RwYXbStgWulvvDb8SNClxpiiYfk1bzK2HDXGFlg5YTk6wVnW7LZWu1iaN1D8rBbZAlP24OV s8TaZzaoU1fL7F3Qbo/6b/35VIN4/rTw0LiV2VpoCMBi1urVLBSLLkWII9Xve9wQ4TckmM8FNoox KkEoz/Oqa033cofkX6XPQAOJhGAQcDntHT+wNhFGiJ4Smypn+VX45cVi5QgsVYCSygBL8CbhXnC1 3CAw/Q3hoLhme6PiywmjuUSBTGEspdT7kBWJByUvsAxIpNrhQJ6ITYYKgaQaqlcJMqpWHOzNeai+ vBiBwlAAvy4X3ahvP3xCx6qf3yy2pkUiG6ZGLfzG89v3mgZESLb/svHcwfCns2p8dN/u8cMG79zD f6i45Np7TZ19wyAunbs6yFX7nhX1fx2LxkpHjtbrZsMGiwcUM17AKHcfcpAPXjsrJ1M2vfs/aGG1 PIA3nE3dKn8exVNOnhzgKeP+uu8yfp6foCwY33CtpWEIHuRQvdx9jXkM3EihA8p80Ne27S6kRfAj BeWng8yRz77AQhUyjqblGEpZMvo9L6PK1wZvETgF2L8/MwLsT6k4kbUIrhZCsAvJdrbf2zzRWgIh iKVxSG93FwHlQle9YPs/0REf4ENt8Zs9OB9pORXhAAXAtCsyhSV3NIIsC03kCD3aeTv2DMINOvAq BWMWAVKJ6v1xlSWOw4cFWJZ69TNnBI0ly44mk8aQHdzloPk2wvJlKb/mFwX56mNV3hDjIML3XSVI 6+Y8lmFvWIRZRT7Bu6zBfpn7ARaDrhqldQCJDYLNKSDboSEBkJqewSPP7+WMnv4q0vxcFPQUqY+T bPfyCfdT1Ksmu/CVUkR5RoRXevYGXqGpsJH2Yn9wbk4WJjz04Ztm6yAhFS0xbBOrnhpaIpjE/+mu m8hfyc39laP0rKqwXnjtagcIBqsLX0HQAG7re3WeAdRRa+8rnNi7xxscuSXNUH83St9fQu8R0o6K UIYsYCHQ++pORezo4cPbSnpuOktSwyxeejhM1EV8VfMVOBR0I4OjH34tzqYBHgoSaiTf2VqBvcl8 4wtzVL2eX6g/u4Es+/0ZNPZUqWseI6zlPnWKOJYc6Lqd2syq9Ozh7C2zqe0EMOEZYnAaZhnO3Hxq emsRTAm02Km1ZlnxlANXjwv9AkxDXP2PIPcRYwi72HLSE0tW8wHIvM+7mrSOUmm9Rwyk6sTYov/z mG8J5otqIj2psVxBPclYtbr36ntAcbhh4KGbI2V2Zq6cN+n7yeLCai/ErADe8U0Pg/XIXpWG+4n9 v8+ws89OJ0Giy9t+CbAmTVlznw8Yq5fmZOaU0kSt6si2UNQFUW7bVduBnOHRDdi4wtdlefWdcwoU l4Ssc4uDuuIwjjE8JBs8MFodiseEBde9nB1ni61BUd2WURX9/f/on0OOU510XBHLPC322ucYd7ES pqMaz47ZwbDWvKkrqArfp4yB/u3q0XT+sWhcr3H6GNnEveU8UgXbk5xHbxBlpYQiub5gqmCD8RqY yqKcdU99RXeSyGMuJw/xa9dO90el8wYJMynO/vsJShe//h81QkH9W7wm6Q3MUjbFccc+qwS59r+p yi091pBHpvo9qL2vJeau07ZZ6+Lo7Oqai8uvXd5RVRawUWW48BvaGvnBtHOki6SUU7DIFuzOfZsc hKwxNZKyVFVUKgrzjjX3676BlSPoX0oB8NPx9M/5gpEnVLQ9cMTM+mix5l2AY+O5SAbMicbjZbG0 O7wvBXML8l5Y/FgFAUlIoz4WEA5bznzPaGwLdealEqtsZVAknzKo5W8NYQF4sKI0UETlRl6bEu32 p8WbYbUH5OGMZuLhQ1v/VAe6/EzL2JwvduHwP0i3t9Q5NlrwASeR3EJtY5tQ1HXmp2DvyuMp5j85 Md78Wv4II7LkxQ8ACiCuEx/QHWcqI2m2yC/B6ot7+CGpsgBPqbnAgiKpN/8jOp2iBU5g9mUUc9YJ PgIdXgeHj4ekGSy83CUgaTgrKbRtce+e+JsQMpI62uKafNQkWSffG1KSzd/ospH37RMmoy1LM+WU +Qro2/hoBckkMMRfNWeDKiC4TdBlkmRxjqlBE6IaB7RmA0bdXMf/W4EEmD9W4k/My+q0h4TgR08S lJ/Xs0IVZszpVm666Pron3M0AcR9iOY3zdOa3wiZPUBC3NVs8mxgX2eBSiQzevPq0HF+UBur6Q8t yaTiVszQemTINeMcUCYMnazLUdvoiYhoqZzNfjByCEblsOr611rOVFrRdBwzh2iIfdZkMjZ9MFYd VUy8p000AGtgQItSI9EgAdDwn0gUUpYxCFxfJyzvgmWf6bjvREZFMLbHQpqVzl91Ig8aRPfjECqd Rgsz+elFcQwUUXmZGQh2moEWfABjy12Jb99eq2oJtIbudxm2Ej59Hizdng5pbYrlwoaUzsy8NUsg kJKfNRQvipxK1z8f1UujOVusGBHM6SUZmq7gKg7Y64DHHWqUIMQYVyqXDsPJbg8GaHo3Zyghu4SN hwWWBnOlxTTZpG1OJQjoByUrHpuOUXUxS7iQqpzbNWEiWablCg4iGlSF5EQpmIA7uztInULLlXIR GYBGAP3UPhF/SVg7/euEwAVojuGYaG41nZfe7s+2hNxEGzsNNz2DNU05esq82gS+FR1XV6Gpuvb0 PdkmeeH0FKo7Sf213JqzSfX66XPQYzA7eD7d4S/IkNsxHOk4BP30S3E443P5G0QfLZDxgbuRUGAl SKA2LAYxqi5rZW7AW/eMfHOYDOfOPGGuEmY9zRjekfMJ/uUNa+6vp1rQUO9tDoPryXVLMl5zG9EJ d5UTPOHXwnnDBQ2VHUMXxb+fy5Ai8lXkLtfaXOzmIQDWYLLvRJeXUjmnLAnpBDkr/p5Iwz+7g+G4 FrvoIBmh5USPyQfwb53gGk1NUVK69RCOYBl87q3nO9UP5CciXi3cuyIU51Os+/seLCyf1JYM5SZl MHWG8p7Y5/TvJHRkiOucGSgbUGVMw0/glpNiv2/6lrUunJnHWceraCafxnyZn3Jz9xpv7L+6FKgh g+fKOMIbpmH/YMCOryhaCZHuYbKZF+ivZw5Nvdac3kl9lE26Jdxt1QA945ihKG+6xB+f4/PY1/ae iFlVObVxL+wU37Fw5kiWkUy13aA4U7Nn95//RuuCpRZQ8/sKqMvx7J/++3eLVA4YCwX0fazpjFMP f2S3RQOrXc3Z1dJZtp5GW5nzUEI6J4xE6LM2FZmqJTjsqxMb4RhhWBVcZv8ACWJQ6X+6wmylMbOC wPl2ZWsSpfapLlevN/rzBQoEC2Isd8jxqecOO8WM2ghtZuVz385XIvk90p2zT57tmt8OY5Agqhjv V71etldkSjmfpVRr1Jm/Ny2+Hae007NbavRGVWys9+HBcsFzljpqU3zSw+T7uRDY3mS6E2sFYD1W yEkcQuEnQoa776qHjkEnkjLZmmbn07vI8T8PZPmVjVybZhTXQArA99FnqU9S04kFvf0pQ+gZ7JZv vLjD/xg+kkYOAPHAo5NhJyrVjSPgc9y9+GqkN1gAJIPuyA9qBCnmI2mYq5uaiZ21x5XV03Ft2AQG W2otzzMo1EJ+0JAvKbuPkxrrkZ9tbnjBD8Ky+I4N+lLxusmcueZkYd3IizuMr/tm4KjFpFjmfE6c xKhFJ4icJeQl+sxQCxTqlKEVbw0TNpxYopYW0qqQZCT7INPq69snJbGC9NRlSvcL9U5xe+Qg0tl3 /fkCnrhWXh7owgSVIBbUNu1y78qa8YARm3zUmymAo4vj1B+PeiPxdv+MZ+M8HTTkK4EjDurRjYYR 4bitbyUStgXo+yuMRU/DDJjT2xEXS65HtQA0Iz4/2rf9YJ5Ve5Jf1c8lk0gVciP7spp3eaIMKa+o 4irrcUr4J82JGlRo6qU+i0ISc7EP14ebgKUZNDWzd568yP9AkcbSl3b2GpgulIx3xJSUBaC3xYZQ o0gOwXcefo63gxwUBAVobQuZFMC+syW1Pe1hNlFdO7g8ovcuI6k9CcI00l5AXT1P1xK7Zg4IxTxt iT6S5fY3IqfVDYggy5hVbeL1ARUAQ672OxbqcXg5ojwZzggu0NK6TLMfNhNyYTMpNd7/CDxnadlM S1U0pMEsxiQw0/WgRGKEvePMEZLd4kLT5xmPTKsxDoKKdJfM8Bd0kVeAwwXUJ/pkp6N7ue/VJ4MP Dbbqu2ZXkGqMM5slulezOpHSeh70/INyZFZDaM3v/1EF50vc4daT2bJI6EmqVCuSG9R5n6hf0nnz R4bwLdaf+XbjdRGkzZKFej30XKXtMbJphcJeYCzXPVOGMh/7N6IzHSDlhVemXotZ5ZaLULxEY67B kfERX+MEoZ+c+WfzGgTNw11i9LmTlYgPO+vdSmqsmagv7ZEVnOZ08z14DdjKGoNS1hxNPGpPKwUz W46ch8VaVKCKBx59/uI/IyyHFC7dd5a7s4GbIHyO0WxpIZpjbgVYc/jGt1iIKH7E7KechezEuWUl 9KG2yCiOoSW5RnBUZdHyMS207AUwavepL8sGgNOVCNZLqlQAaL2F7M1i459tWhsFt4lDzlv4Izjr K44dYS0M4NmPvbqaEbuE6GjMLHfpB2e3PScJHphw20VBydkFjSMnpqZs3uCJnWJtPe3lTdgRjVT0 21NfnILQJ+N1fkULehWljeMPMyLseuCvk8oJJI5+9VuObRrgjRfcjmVCBCajnIlUvXgAZJvyIp+u v+1cLwii0Ya54cJ/am/piXrjKkUe1CH70N5WzzVivgN4oB04bTcklpUQYgMJWSekgU2qcawqQLxU aKj5PVF24GBtaHVQ9GXur5G8ztePwi1pVDaavsIlB3FVF0IHt1wqNvizTBR5A3o9SezI+JeD4ZBb ZIObvRhyI+Qp2vAtbgVdP4EHZt+D3S4HWiZcuGvNTyvGByFtKK7vQzYpDamYfKT/QuqiBQ+s+XJ2 wndxkBKpg3d8MTw9ulnyVK+QdckRWuWgCyB7lVc2JahEGRi31wb2e/5IgYvtb6f31BDBmus+UQVK re9wK4cQDFNFIYCvmCQZuFFoCXZa4PiBodpLzmJ3pgb+R63z60dn7HLfyLU5OSL5intOJs+BelUm XOV7MHOBiaRYxcVlgankerQeusJ4yuTvsKJ2jbrm77weInCz3j4vTqTvmnVKN8Tken/1CAyQ9aPZ +rRE8ceYgYpmKE6MQok6v1WXQPF99Hc87FuEel9cBqlfxq5ieapk64WOO0FG2DWGvReHen3JFrdH zglKmEHldmSr6ytI35luztIt6vnxYFysACQQNht79258XPoM9pTOdtG9fArpO55P6SlA/NAJoW9D 0nEJ36EJJhYDtydsqnc7Eg4fuBhCPsTF8oyHnprpQB9OTKyW9iXj2ewCiKZekFFZnfdK1STd5QAi 64UKnHj+kZvDlKCjji7SiQnOGtDb0qDhitqw+QMKSvdsYMgmJOyLyAa+jrxW6fyutk3T1IPkipQq 8WKO6CV2CXVdotJ7taHE9YnPUw1+ecl8nx+qXADKu4ICtKdSJnunUrwuj6fXyyIQgFwFLfsGm39H 2Lj7ELdwtLPKyyWSt+uL5hcTScFOKU4VQcsfjyTMjLjKaXa0NxC0WYri4gEK13avrnOmxBdHSbWc P4unH0zKJQH4Bk6Q4obEynKHT1MsfMaPPyujpYOA5KzK24mJP9skjN5KEQr7gk1Fl82jJIEuK8TM y6ayKcDbtEKMrj4eDhnAMWjsi31hC5AINILYt7r/RQ6G7GItKUYKCvltDs/7Qp/Dsu0TtOz6gTh3 gO7MBVfm6Fp7QKDcjnyDqMZpAHm7lckjvRi25uz3k11XkpgKGjYbajs9sCXJMDiQ7Kp62wSTIBpf RofNkHwNWlx9TtIocRmjF+/TpNXbkLNK7VeGO4Y8wJPJTVKUHnJa0coaPicliePoq9fu224nMcJi YMj4Ry6wvtiwVxEANkQbaJPadDpOjuZxEQJd7mnHK8nEiqZMtoeboDGu6dDf1rN36lNBnzaQaSEA oBKYKCX56gYNgD7+c47Z0OaHQKxlPN6D3lWllZkUJW0Cn47J2thzZVwM38KryxUfJKL8vAPdzgCP 29+AfAMEYKei4UnmssYM0ORlT0F3vPrr0oNHU/kEhaf50cAIDtjthzpzeaBqXtQnkmh2tq+7nMng 0SL4RSBXpEvpLmvb5LLtDBMT5lrUPTjAUecyV3I5xnWFn/cOLnBYalOIVgyWLqcWqrz1dzKrN3aP dpgtPITGbwsVl0tLDkCNmXPl47Na50YJhgkG19qqKJSp0eWPG32SPZr9Vs6faMWDwBl/YfK7HARv lmBnBUMTcY6ndmD6n7Tyi7cw0bCRjmry+sbYNGQfJ0IHOfoZZs1j2FNA9VbdOxYMZZvOJmyvWkED 1zYSRiJH/Odw0XeY5Hm59OaVwKADzVh85XZaaD10w5lqh2voZ8L4ptgStpP0mAWATVgKlinaKkJY Rdi9LhmuMRkfgiP5pIHXMmXpzFSY/gbw1M3ngccmOwHUK9lW/jgP6Z0V+bnrDXqSCfAYkcgf8ua7 vvObVqM4pdRMHi4TPF/Enxm2PPLE4Nutf5coU01vH8LpQeiY+mn4NCXXJjzTsaywqcRxZbvT+Xl4 DwEFS3CiRLs4VyTgW8S+cp6W0XZgLnl1Q4cDjqv2B1gFeByZ9Yc1E1SKyP18CJ0ZSgCAi6R1IzJY u4qSj3zMsmsPIvYZvwCAfNKFwepYR9ypRq2s1XvzcAn5pUiwZ55rrWF4dJTHpP6hhQHaynmvi6Lt hNo6vYFiqVumtN8cmE8+70MLn56FfdYFg3GQIMixUrQ16SsAo32PKUpCisJB7Ybxp0x3TjyycrqN Er33m+1XtlY4s6DcnlkO24xYH/NsSpJvTxIMvuoPLGdPlXQU0XpqWxTQbA6wR4eaBIaUy8zCTkK9 r2ileL7N4NKt5WTlf5eKGF5adh6/RCmgzgUxLL8B2/QtH0whG9tU7inNzrFnv8zD6HSiSsysGX26 kfvE1DXXhRdiUfkQLbBBnZZW1+MCH17PI0yrntKvI0KI0gK9TGwDHByE2TADVlKBgGX16e0NFJdT +IlZ0hEGuRIJCueb3WKhRA7fY9WHk7K8+j299wZFVZeEDW36QWbvpBjcwH795H41C6rLuW2ogDKk E7AXLss6WnqQ/+U/gOH5qytpQIuVsuMatjSwAYiowm+ncCzSitgpWdn7whE8I99AgI4Kb1IYR+bf x8V5aRvp3QeTE5CDgidNEwLXDcXw8j0zgOycBQMoSCXeYZ5CccVoRRwHuEbKTrsCtyeJdxxnSOpp xV+jepyhWqbJcW3g3F1Aaep5QB4KknrnKPwArq8MO6Cp0Z7cOlcoDSlo414Xi8WFiIr2MVHJWG4f VYy6ZEg81C1jeTDZ4juS/gaweV6BcWDpDRmCnH6bUMWTweXi1Ov9vul0i3p1ZpZHzVtGp6ANn669 1SCzXN/Z56ab5moqO0IAWgiiB9X97n+od4U40XNWo9+/WhOyg7yB3OoaiYQfHDwbr7Uk8MTeDgHs TgKXfJF+kHBp3E2h5V0+p/X/Zes2FsLxl0oZeozVUGX6h2Cg/jTNsRUXa/JQHkX7trbnWuftZhI2 VbNIXpokKsx4NM87H3bYySf9ieYuhcb76HREA65UNbAzzpR0+IeefjaMJY2KXhtXgq3tdN10gwK+ Gst4vrRVWU1DbILZj4R77tf8DITdvJAdeRp9tQ0Dvoy/xmHDJC2eZgWtXzYUBqS5e+QL68dlUNxf ZuKIuUOZ2YAwm9WIP5fTrPEGw7+yHVasukaE7FJVLJHTwwFjFiss42AioxKWYwDLzVsuwEGKxlnD tsdwgLolAF8Aix4jqdCjocnngzT0YYQr3Bbxer6bJDcU4Jhlw8eE5ylcHSil1NRyx6Yu5xEmNtsd PKGPY6ArP6BMx1Xhwli+v0xIO7qiYWtkzdvC4dtMmM2FgDWJVDReCLvZ4VDX3OMGcIueSfbJF1p8 JWqrmyMjsay45mhhQrxQL7c+Anwcf/ACtmL7qQvwRn0WMohVeYZIqZgYrt3cSmjIk12oiAWFHjLk wgDN21I4cdna+/Yb5zuPeYj6axPieex983gvAf/f+FMcE7UK3/VTPRkNej5JCMgcFQm3otlK9Hh6 g0wfFQcnOroF8KfTyi9hL5gla5NlbcC+xG7/26+cYsSizMGzli5lnYRBIjNo7d2Z5kYmiBlGwt+T zwXzJzZAQO/WadaqvvTjf/2u5O79ZkR334wvwlNcIZ/PlrJHeX5V4bqrx1r5YtME5hV0e+ATrSDc wHe8s/wfGCLOqRDzrjashZUoZpm2aODActPBsQ5xEJ6CIKeZF2WPpIiFO5yZRlU8Aze9wA3YOddF X1i+PTFauY5IhDkmbDTmAId3kNUqu0QYwyUy8aYA2I6k2XEKVtnAAwHjgAcVDyX+iKSbxbuLSJVu 9OEK/0SG1pDRtLauF5sKthG+dKWCBnBWZ1AUQ1T56M4qwaUYHp+ltaQW/mYmqMtjcSbLgf4ocQck INkY1fwQJr+Ex6Qc+qyB4ds0cjJpS7HOn9uITOE1DeJUnsI9tXSBVqXYxc8IdI8VFzIJVABmLb3x JnX6rLpSgvfFlJDe3GyY0v+p0161Bu9yOk+MonHlJ+kP8NpolEEFmTX+WCkASGek9/6bKd02rMpc aMiA5lkpXDPwnD1goWxvPAP/SEhNDrnncTKEDeMxkku6otZDQP9ZTQBoGWVoOk0jYvYefZLOccNf AvM4HcK7YdlirggvNC0nCCGei37SmV5lV7jpWWD1VNaskwbRMnEJwrTS6M993lYwf8c2BVSRbelp KbOyNawdDvZxh8cyKEDb65nZv4GOzMkJ/8MqBZcyIhVsGDsMrUsapP62amzCcveyViyVSpuuiZtQ VKlfP/1Dq30FMo9NBtnpB9vQaLGjdmPySbAt/HQd6GMUoGq+1Qtphf7PlZTnab7mWvj/IA/0boMR v+cC2gS1yL4QiUySA3kNFxL6tUa3K2GY393xAWiLwaKpK2Tfd3ZJQaE6wuqzVINQBzjm6ba+ALxo Nia+63lZxkL/0yliA0u4H/vsUsGWtF7aS3LfUqB5NdkfKGR+SsXPf9lE+Dr5w0+fNdJOaRvv4aN5 ZZUch/h+m9184714Fv9F0MHjyy7tBLGDBjC3dIHovhz9QF7r6V2PFNtxf++ePe/EGX3z+b83eDes fe5TcRGas2qi+W7KHd8pOaSW4wD7bp/osIK2yM9K7BSNzfIhjbX9Mk85Pm3Eaz9oqzrpmmyVjpMv AV31SZ49dKo+UnQWtSIqoQ7o79rCj9WE9yOEEbyXe7RI7SDDSvwBAhWZxR9HY0f4z+eAWHB2fOag oCtSCi2fwEjR/xYvrTJrk+W6MWfFh+k2f0WowqiXrc9Ob9EHC0LhhRLxYjc8V707hQfxfmqoMiOd CukTpQZl/CUTPp6bvqmQVVm40ZfSHp3GF9o/1+0Mdv9B7/M/xHaLzrxdcjm8/xlNdaLNUuPyXM5K wHcaiEDp6dkVhtq4T6X1bLCba3Yf/Wqp/vTrT34RS28m/yl4JX3I3nA4J7EYqmUgvGdeQFxsRKss 78NXssXFqwNHhY0Mq12+n8EF3EU4bSM9azyTeCPvR6+m+n0CPtfX9Z46ptgzHWd39Dl1KpK4SCUN 8CawUaAayKJV44j+fvGCEyPZiivo4gVqABEkpcxT7ifm1OrVrDqQVLHDZJOfCdTz6p5FyLvriY+K R8+HoqeBQcz1lOfcs5ZNh3OoZP0EUWNMz+HBUN7TD9PpE7mqLSiH1BT5jsGZLT/R4m1DDUbEyq0E xnBMcUziucu7vZ8V3JPNyHe1Lh2jgvu43nuuNsPXZziexFE2bc1icS/0FAIzcD7rT0NGZg6mVr5q ACZrVGSxDJCIHbVfKIEfwmTbnOec5jHnT4eLNDHsywk/6VfafePqJrQmGCgK+uN81Fw+D2tPc5tU 7ZFqXBI9rDSeb8MUIOTzN5PkBH5n3qbZC/923hv9tclpz6iRyupePiUw4SZoLGc/k4rDFyeYRea5 1pTd7EaCkzyl1bwZhQO+BZkZVh0DSgtLeofEGq/CkO567KM2/5uiAiRTa0syl4BW2YknWm6V3+g+ GuO57NZHYqkZhohuUDUhtaUCXKqch96mwWnAsHGKbQcTJ6+TFXIsaMnK4DczNWGH5M32oKuqodfa toNkZZv55Me6YHwurVUOjRkVbxGITQ1koJsB2QpmUBcaIEt8asd+9HXwdUQrDZMxYIPjgFsLfqYy jMzKd/N5GyFInOrJbzYygAuwd+uZc5501656by1BFJLjWaisiEkrmy82KVwjtdShFcqbKUML7q7F BnTvVCvPslAXqQCiciJCzYRPEuED0k7MKL8WfGRbdMcJ8CKQVhAVZ2NFcYck3Nr6nxtAEJTt9VRA 8eg9Z9jgNiGIm3sleYJXjeQ8vVM93cM1+ZVxH0w0uWYX6GigarO3l+97MbX/OgNWP2XEuvxgQ0Pe mEbgLm0auM9vZsfeZwTsPTrWA5Z9o2n3MxxagNQLZzAvOjIlmSo1riEXztl3OYOkhpYfSnpRZkBP xOAPdw0SM+afkq/iUjY63ZLgrDB3PvJHW212pwPDKcpZzaESUne4aGjnexg3dR7bcm5UIyrsRknm SK+uf2Vbet4OzgwJvnTRzreF4m+KSvHv1xMIsER57+Ebr21e42SpEdBkThAMmCAYeexFnpWqXFpe FKUXymgt+i9SmjnPGqS8w+nmfZrl7tuIri2vR7aBQ739hOOa6KsoaXxV2b58Q+Nl5BNZ1yl//JUw ezDSGk41xoh1rr8qLLlvXVWa4QO3yXm9ABcSjxaLkW/EVUCjJC5TtmVezyxTbhBPLn6Gk73NBJxe 3HrZeJkqTtGs80tUI7azgO+bhohGcomTtq/i1m2D2/66KrW/+CcCWgHxGxdsSDBqeDrUb8A9ambb 7qIM53dyFw1/cf3cJgJviCZlKf0LMPdL8PSDD4bDzqMQz47i01BHjLBrPQUdtmZPDzTemGUZf2Sn mY/nFul0q8T2dzGVOAeLdxs53iEa6cz9y6QwbhFyW3vbY48A+V4deGku9AZEj2Q9qh1tEPoUIzS2 Sl9PfAeidcuamUGMVCmyRXYEALVYzRbsucV97oA0pRtWKEWxWBq9ya+v8kMXRMMGr6KCioZrrDeL eKVwhUozos2L6LeJvErg6cLv18E+MPwJiSh1V5a/Bvghngjcrqp83Fkcxpm3hjSn3tBrPifVYjtE eDb6jvYkqWoHmTy3+eib8OE7+PCWUp+ZKAMPEMtrXpXmsxlFBRKU9Jy0RIRTDbe3PX6FlAdH21xg 8qgJQw7E2C7h0hVl5hM9ZjejB99rgwnjDJkXKO7limmtcbjTtTmIMBMOeKQV+gZN3us7HDdnIOF1 Mm6IVcUSnNB9EGvgtse4FMT1Dug5mSQr8YHErauzQXe2CNszdttSeytaB1DelhbX86XS4Li9rQ4T ltOQdgioqpntLPtLBGK3fo5jdyykNZ3tYrQXgkVi6MCZ+n2lQUwzM/Nx/vBO6a1qQ3iIYw7VEZ2m Jm5BvD33CgFmJeMa2r/FFdjdtVvzZuBwcMB+hE+G1M9hHIQn1WN0Eb1ImFS8PH+IRlxIBl8JoDKD TiYAb9RDMvAs6EfbdkrAN+q3t+useCtiHqb9XCVof5FyajKrRmLwSNiFExrE8bW2YsWOfPFDV7zl +aA4pMBK2A5XZ7EG2ljjo/ym8F1rqcB9Fjq6Re7abtb76Iyuoqt/KgdSnPYpj5h9VoCKfzUKPYws uwpWgOcwi5Cd6cw884QKVJmfyEBAfxcTNaxmHLtmfIreKBXnVr3zAagXW/2L/zoz9udovZs7n5eq zYmKctDJ5pnf0iJwEQzGX8ZsHPQ59MOF5/qsXHN4pZly0YiGQWHNhYfciih1gYhjgDzJakCAQE0C F8CW1RdQoliSGEhjukIgxZgQMOFpxn6X/MVWTKAsi7iX+4HwIYetsCamz4Jvakrm+fn+gXHY4Vqz Rx65Rpgoa8ljl38W5r+P+zIR+dKNnWHxcMQ3sns+NjGpi0h3LIF2J3yT9sZQMdvQhVylW1uK9HhB xfwiBqtfEFTlS36xjNbfNfXnVVE7jwm8Qy3O9s2m8F4hI3nQZckC/s6aWnTnZxVA8lNYfUmzVcUd +Wrcuo6wnW4rSsymMeKdXhfufcEpPgfbiIW7B55sb1i2Tquv6g5jiY866HcNF2Q3FiC2xFBL3eYr QOVD+7K4S3ITKNrPbd4/S7raBOvx5pA/PQRGIlo+y74QMcPXnOtdTfPbz5lvjmmUD9ViewbCFMBE +mN2sbtelawR1d8ZjCL9QLf5iTcAOzOw8yGTVyGGE64rx0jkQjAiEAXwA/u1bNz8KtLMX8eTUkmN sjvzdh0wWe0TnmCp6J8UJ/cfxP1Hy+WYiVD/kfcWDMYDfaHfmk49ufRkxA2spprJ2/SKdfh2KRGk eE0gRustMoAfxvbhpuXOQVwK9cGGBwKyoT56HPTlTJ9d4o6gCDZdf9+NguPsuAwhuklBgpO/X2O7 a4JwNuNDkAwSzGNh6twVs+l2XOcOn140PgPiQAU7UYMbJvTUvmj321Fz5PZVb1mhpD0rvnIWyQi2 dRW0AmK8U5Xib/JBw0WVX/w91hJPKpz3YnVuKobRMgLSN0PXvKt84ZiEyO9U+elNKWKYtxaDgIz0 rHpt4x1/XKO0RuCB59VfRhbPZwMqaWU3IfXNOeCEJ0BP3bh5UlK+kELwXJQyBjXZndN9yDL3de4A zsLWbezvUk9ivjMJ+fWNdcyZCAXcMuwYV/4PhqxDBKJt0V0zg9t+GE9eUZWxql6VmYhNub024G2z AC0mmE0HDWesB26Uaz6KPUV1zRElk3Qug0mzlA4a5Cr8K+hh6Pq6bxMnT6n0CeuG8JsdP0j3pRWx e/VHEnbdIbFgoYXX0dCgUaZzkwWQ2A6t3cIgZEG880rprhq6UtUicjfKTwyGNgxdI39vblBnuBSu K+ECpvPss9M1FBzY5atQgCiw4eJ6TykyEHsyC9REdOkM4d3ZNIEFwc89WCUshVmKSe8xZf2j8kHF 2Mz+jDg/j2xCSfVmY70ImM5j/wT5bU9tAOQ4HooQyCB2HlO0AMHYZV2p9RTjrq6KMPKxMvk2qz2G wDj2euFVoFrLZVwQ7DVj41z4cZz+PT4/4KjjJuooU/SzFv7h1ob72D+Gu1lxlNiI+YiJXGyS/pVT 1sypP85RzdAjoEvnfdBhA7yXsD7S9hSuH9WI/ssiguFvWviqO9X+3IhezHSA7dI+Zh8NN5AISP5U 6Np9wJdNLSo+JlmnSdS76sH7XJXqI4ktl3REGBWJJNjL7/At67KC+bPqtik3etIjk2yV5/rzAisa qphA8kW6vJYVRWHlEe+i/e6clb9eQV4/hq+YlsWuYWYl3P5y+X0bhCpvDqBI7KXgDJvRT72uN7dT YDHPem2w0+QiTQUn27OO6yKws5kfSoWtBs7FFx6DuIaj2n2iZ9dSmlMKc8ymsCK3a4uEJ1jiK7am 8W4yoCIxIM/hdl6iNBlOHAE+AJHmq/H/9ipLBWHdz5ir4OwrIE7yDK3o4E45zE9A0qA+sQT7jN6I D0F9FF+LMq2jrq/I8cU69NRfX7mSgqBjbOecMWtxv7odTPGA18+IfAfoqxYNuZkoiz1gdqE8jIKW jdYKoQ76acKotYjr3UQbI2r8/Tb8q+dfKqwbzscW51gBlfJof/iHuxsIe/bJvbBkeTB3lfi8xvQg tUhg8+k7OFnK2Z6/QB7neIOyNJPSNUB1dF85jqa4pR9ZYqWJX9J8J/vpk0GIAlgGQuD16qm0dx8Q 72HrS2wRkHB8AybRuYMYyS5PvO1fWtNlPI01NgyAl3JkQW8koLaeYgze7W8tg9YX+CgGR726YAKr Amx7kU6UXF35J+nGCpIF+7y4e3IteQHlfSHNaZ7RDNn3awwlyCjS9YVm8tVM5mFHjlZ198lPVXR+ DIgDAL7Op8FN0+mJU/sPpqXGzSC+a6YWVI5uK5CfXHzBpiBA5gYkDDrrhAXpnlUlHWgDZVn4iixS klMyL5zYiG6wge94fLh9wXKpdPTeGQ2zRcSlKjoQ30DC4c7oiACZCYRqgezBrR7jJ7ujDB6dLwIp mWSbvphINbpBKg0G/gfWu61palm8/Da8XM8HY14E58zfeSS9D2UOUEEK8tfNdNM98j5SHMOh+xvC bIkseVqbmBulJEsgthozEKsR8XrOnIKutjepkj2u0Yr7clklidC/l89PtP2yjF8h696D4u3TkaGu H+iIq2skGj55MX05MyKat1PTjkCq4ntkIhHU9iou3ydBTtcbJ/U3WsijlPn8AEArRPxXW4rNYNH8 b1tZWuFkKd//5r3JPQhwC7AzxDOE0yFDfua6z+hw08sBSEkL/88V5UUb7DhOxo5uQfpjMVT8sLDr sBkZUFE2xQgr1pm0YMT4MG+8bZmfKyuTUaRvxRp6ef4Kht9BJC/uhtptc7keVpdJT3YlHZLfT/PK kenvq3xLSo4s8x6utbF/9ELVlumItkMspshgyi/hfqoCPFpQf2EIDdSde88YBF5mmkLY3aQ6wqEA 1AIFpLwDuqE9prvruwBKsmKoGO51660K2riAYAEDrPe8f4c2j5ECa4Tj5Byb/EFltPcSF4fLGlgx y2vRPllqiI38hTbIEdgVyJIf4GFNixOQs2fE+d+6bsE+1rwYOBbdBY4uaU6VjyklqVL1xhejxaSp vM3UIS7SRfo9nX5BeRuCXn48gDu6FJZJJwiCEtSgsUsK2akvZfSGMZU1C4zYtWKDoiKySS0sWQVF f5FG0kziZnhKwJRP2Rb8cwY6TCIOFgzhc1D67SU/fXZ7h6zLocgEX9dX5g9XTonEsREEqieDtsqr P/H3c1+xsWA9BEAw637LLebClJZ7bezzIb6m7CBUfgkWlbKUcVV6veGdzeaxdwPDtmveDn29pcdt qpGBBddnPC19BiGN8a9bTaPevahvo9D95lCtuznRNqrKQX/911jSTkucgVJDZ3h2CzHt0FrACljd dh4CjuumbMMaZQsiACPM38UhQbE3roVAPkxSmKhPsN3VDNGDfTw0BuyNNpGLeLLpWj5cwRM+WpHW DoIkxRMRkZMcuzNeokWbb2haDChIbHt/Sj5dH9+UoUEfN39zaUwVno68MR5pzg7aKv9ZLmiJtb0p jlDvgNaV9wZ6aQdgsOm/DZUke7tgQSNnh1uGysv2hJ1VAF7Io4wcWKlZsJANIQPrC3Bk2HxwyntN 0kt4ybUD+FjkqZ9X3wgB7/Yt+ara0MxJ/XZh35vHKyGQql1Cy6fUlB2hqi06uFyeGxdkLnu4MVdr lX0iW4Evgsq98/vDsSmzJndb0ankJ77d0PR2EDRlIOmlS2Nwn21A9JYdUscLhCyi566z8NWVkPX1 2HIZvpMNEn1/DZZxRjr9Zt93abULg5yzemgFwGQYMCrPyJC8DEBtJjMcu3aH2Fq96uGEppPOnVYl qf60wlyRSg6MSO1gCOmTCfiTIIda2t/Z4S0CdSALzqW15vNIjrQwVcbVGFLT2LsMF/3e6P7dEqKL SZ3ZQImIteDwNWkWyntzXamwSYqL+lMtn52P93xmnnis3G7nParIk1LLTcXyRkROmZzmRIotb3kg WWAmSgYfkOruei5N8gDIRcFSpQks2z063ueB72U8Zv/5tH3RkEeDQI9oakq4j3xDupCvGsa+X7yu 29S2WnuH1iOycoX/bdvzxmyLGcVd6fcCOu/IUu1z2eq3juRHiCq25zZqYfSbZw8Itx9ipNKSfNsD uSjZyzd1RpihQfUWGDLhcR69e6MaCVxazerQMcUEDliXUkDtSEg8eP8RiboMHbGhb59DfjNDei37 bgVPy1yBF+thbvq2uGqmNB9OUvGEdUJ0e3UTPfRkTQXphfZMT38sI1ucw5uPiUs63FLpHEmtvJ5j 0663gXQd8lVYZxJeucdKlyo3IsqDzqWkZDiJnayS75Myar4xMExsevK0fnZUY62yaYBLJH4uOcYR 7FAHJf23RtoxTtpdbTZ8f1lc4qDzl3elzakJxLecHzNgHhReJQuE97syPv9WhK7FkyXs7E+mD91G PY6M0F2Cz6DspqRr01gd3Zh/b4BV8ijNPXD7Iz2GfJx12gRy8lt9B4VtWTgXFRPFF5mIGn9Elmx7 nDVbhFWxTGno1ST/C6026zsmtWHYQ8gpSjKS4KXo7VMtQmxeqf60ifSgsgKFl8ZoHhkXMbt1j7Wo ML+fnnE3isU6UvdMyaVEnoYsLWYszbxVx3z+qM9xTfBHCBycM/bc10+BjQn9CqkD4WxFOvGVmmQe 0Qp4wIry4Qyk06z4ZjAwtpe1Tq51IV16M0V7c74AKIPrmxdzVQ9nGu+FhRNdGjUB+1pRwFLCnPcf XppmdKCV3ynBB0bhVjfDrfGVZV6AldJMQs/Y6f/KyGYlnBcGdkAIwK6LBEdxjJ9hmUhIKbrUDsQ9 DT/WF4mOy6fRUee8c9HvFQ769pMbt/nhcPSbpwEFjT6hcgqgoe3E9amHY94OgBPB7bVaEI93SGTj SWa/V/2kcTpcePc8FrcnQJ0S5MwzRJh0s5TSwppcq8lTAs2TG4SjKJjvFd6lZO9pQ3e2yeUVLe0o n+ElEjmoFeDHH7CRoRJiefuzehLKfR0y3eu+QgO7utNr11A5M/PDaISIPjK3I7jY0cFaizX01oFC fzEKRyDi+1Xs+XcuL8cvDxsdwAJL8V9OqVcUfvfAI5MmMx5WQLTd2kyZvsLWvKthYoZ7SQUzk6tQ NMwdjfYn9fJ6sSpYyvfbJMmIgwMiknLRoeYLFKcz5CQG62vwwpW/jSUKYAXqSkkJVEsOSVpFfk+q mmWmKxb7F8O23IVRwfjzj5M9hsaoP4E5qIt8xjDy0geOn0cDqdXKRU/kacgoOHkvcuChz6/PEVXh xqi82Pu6Q91pCGI9DJDjF5Y9wor4Kv7JBVbOjrvgGhbhUd4xOU46he7Ko21zeg9auEqYAriZNIMt ms9uyW064hAB25Hrh3gMylMtAVzsAs2QGbJI2Qo+QImITk9+9Sr+8JLXHAbCE5za+ByArbg9LOkh 2m4LUmB37EbV8QaWrqBGSBWQJ/JYgXjd0hz3AB6cCa7rD8nB8huCJ4KOe7iAAJ7sBAQ4lFk+r4Xn mPZi1nOvexAgL7+pD9Pl8XwIPt+VBD6auMeJQPYjS/++3AZAKDaDGZNj5IMRgY2+0bbS/50NxqSt P4rBXtO+Z+8aP8fH0LzITZlXdWIhJsheB7CgaqvQMNdPvndWAVSgh4OvzXRCvfaLWqqyHPY1RyOq FHRFPdc5OVdUMQp9GHCb9ctxqlK0F59TnzAG6Ssn0KOnADvU4z3kJnGCjq7gnNIa2Fz3EN79Or/7 Xl2skPk/wz/RRE6OWJguJpOkRMBk70cyfyoYe3KQXzY3SvMwMT0yzECzG3EMy3aq0zsHQBVPfbUJ 7Qw5cW4yPtdd3RYhZ8WgstBUN2DaOENdkb9bmkGDnMcZOEPb+lG+w4cqm5SE9+BEoQjeiUCOLXN9 GwJg7V1FqW8rhooBQWBdf9CxUCL7fy4A1SA6JNKwgRbye2yNqSOAZ+hrKmfmGvlnctYgYj7MNdy5 eYBvsJ3WNBkD7Ghb6yNOw1yteN8+kBRZjr6RF++mb7dOPTfBOZATPxTQHTGNhoKKJ2VerwtmXYkR pOW0GXmysJXAwWkkcVyN2zAudEsPpB0F7aBJPycvVGbzJQ3RxLNPuqoF536sz4qzX+y55CQzbzSJ DSvO2sm+b8Vfp9k/WDI30qbZ4Kg5yotV+fv6X0mVgSJVlVZHdOy9/jb0ZshsZIpSdB35OOpFeEzb TteqIWEjaVBQHG3Tt5wdT5AC5qRuc0FcXHuZg0yYRI7wBwFL8GFu/qp9UlaWnMq9q6QNdqlnp1ef XBdQL52geNF8o9HII5+5jjqggZuEiNS9Imch+ZCrgMPU/cnBMlZ47qEFaQ+YhEiW8Z/X0k2OIqBj HySDg2HJ4iHry6p9txdw7zXlU8d0A+WPEiLjsQQ4N8HAZxIlgyXyLHVKfrTX4BTAOYykvYYKSDI3 TN+V587TJJ6HZhkFhN3w8XOXuAz+KAIVYNtcm/iC3nmw0KS0+85LZRDY96GuMfEusVf3HwA++aTc NnbjQABkS2NO3tULYyFKTtgOnrJmlKR/t6ralCiI7NTKWJAEYUzgcUL+J6TIZZdByXx/K81ZroXL pLcT07sZqF8unWlDCGts6poaxDHKqaOKWXCpu6KMs/RAmgJ2HJOmC3mzvR7tPLCDREq3LIn42Qjr imr/dmDMIrOg94vVxpOUJceiRwWiNJKbmhkVNwJymERQ0NlGLYDRU+FXfSLONmbkqEWb0qsyUK3O UNLI0cy5YcaJJkqZQxDShgBVoieuCtsqp0RdiM4Wv9Y5GMynes2lp4Pb8WZ+hG/oWV0QIhG1Pn89 VraJON7RhA5J9Gr83nlpmQr2a0LxgBGBjyCo0jqNqIimKEQYafgsMlMscb6Uoz4v07i8Gh89T3+u AGiZ5f7SZvgQPnpF6PeeCQXapahkiZEQHf24ErTGGinJxu6AhOvxcoRVzrNMne+UWC8Xtf/N/X0L QqHoOYReUmMsDpw5hvb07hHcxehrXE9mhlm9mI7fEOE+bCoXerGBmri5LYG0gKf4tpiV0GnVKeda S22x+xxtjcCyTzNkWTK8Sp2RcPQi0sPv5jtDHnLprvg4rJAAlJmqMm5dvvmDL9/9v0MIfuinuE0D SxehzwP5CaSG/Eqg8Bzolyqbkymjstfpf1XCpVOirC8IHgtRwdgsQONCi7SiUKVMTiaQ4Dy5FgiT W5gMtNkKJgPKO9cWFKWOUZFlbYbv+lsBQovdYKF6P7Kq5lsl2iUgGjxL2/EQFlCofTFF1F3gRq0O NHoPANyPNBqp4tlE1QnyKXBIyDoJ2Rn6YKZUn0eyHLMyaA4vLmQIKC1haJXz2en/cRg6y64aKl6m wC7jls1rvOTKPrA/1/ZHpR4XZFNEEYmF+nruu1na/Hyz0BCz9jutD6SxrKeEX6Gp3e0xMsObho7d R0pAB2tnez9gXjPgOqrDrmZ/fegUonPkCumX1sVK3llipSN/6kYfpg51EpNvCvoGq86Gdcn8/8Ff D6AVyu3F/jNMeuj7JLu17UcjXAK7ncWtHryjghe8Lq6bKcfvdhW/bCE6NIgKPiszk7QEf25dqj5M zrnbqMSOu0OQou/D3qmNWbcGT36nz4n7BfOauhpAh6N3PwNL+98jPWGa0MgBceA6CrgevvWyhuy/ 37zs2NmUeK7jzBpMBJ5A8dk966iJK6FvVVgPT5Bx2VHtVvs1PC6WF/fr1YcbTmBdyZLROdLJI78S bzRlFMlAu3mvThYdUw249gVsPx9+irx9TexJfqkaMU6G90zDyhUVVlSUFfvS88DTEA2Q5QVvcTIu 2fGxCZubBaiyC1cLNkBlTIyya8fvnG24Qu231l3LOG/CVJKGffzPqgOTjMHG6xKoqdTzehvT36Ez WzJdFXvx76kSmTs9lKrULfjmjYMk+ZKIopu/tROPZF+gDR69x4gAnUV10XmtlqrcoMjnBJAZw5EX E+hq5VO5ptyfe4YgPqWMm+gCgGUwBJiCpxkgKsc5JkVFBmdADax2NXWA+JDsDM4MDkBTJbaxvSOc uaNKAPosVgkaseMd7K0KUYyc70rpq7gB8VgMJcIii/7ykz8Nq9A5sbRB9OJ8xo6HEIgSwLFZIb4+ z751bsjuXGjnlE0X2YbcMsfvb2pvzNF6rHLY2+gavcOY/HIQepb3uP1WjbztRcb2U0j2tGmcPA4N AJgOsg== `protect end_protected
-- 4-input single-bit multiplexer -- this circuit takes four single-bit inputs and selects one to output based on a select signal -- all code (c) copyright 2016 Jay Valentine, released under the MIT license library IEEE; use IEEE.STD_LOGIC_1164.all; entity mux_4_single_bit is port ( -- inputs in_signal_0 : in std_logic; in_signal_1 : in std_logic; in_signal_2 : in std_logic; in_signal_3 : in std_logic; -- select signal input_select : in std_logic_vector(1 downto 0); -- output out_signal : out std_logic ); end entity mux_4_single_bit; architecture mux_4_single_bit_arch of mux_4_single_bit is -- this circuit requires no internal signals begin -- design implementation mux : process(in_signal_0, in_signal_1, in_signal_2, in_signal_3, input_select) begin -- select 00 is input 0 if input_select = "00" then out_signal <= in_signal_0; -- select 01 is input 1 elsif input_select = "01" then out_signal <= in_signal_1; -- select 10 is input 2 elsif input_select = "10" then out_signal <= in_signal_2; -- select 11 is input 3 elsif input_select = "11" then out_signal <= in_signal_3; -- otherwise invalid select signal, output 0 else out_signal <= '0'; end if; end process mux; end architecture mux_4_single_bit_arch;
---------------------------------------- -- ALU : IITB-RISC -- Author : Sainath -- Date : 18/3/2014 ---------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity alu16 is port ( operand1 : in std_logic_vector(15 downto 0); -- 16 std_logic input1 operand2 : in std_logic_vector(15 downto 0); -- 16 std_logic input2 op_code : in std_logic; -- 1 std_logic opcode result : out std_logic_vector(15 downto 0); -- 16 std_logic ALU result carry : out std_logic; -- carry flag zero : out std_logic; -- zero flag alu_equ : out std_logic -- comparator output ); end alu16; --------------------------------Architecture----------------------------------------------- architecture code of alu16 is signal result_dummy : std_logic_vector(16 downto 0) := X"0000" & '0'; begin -- behave result <= result_dummy(15 downto 0); carry <= result_dummy(16); ALU : process (operand1, operand2, op_code) begin ---------- OPCODE for ADDITION operation --------------------- if(op_code='0') then result_dummy <= std_logic_vector(unsigned('0' & operand1) + unsigned('0' & operand2)); ---------- OPCODE for NAND operation --------------------- elsif(op_code='1') then for i in 0 to 15 loop result_dummy(i) <= operand1(i) nand operand2(i); end loop; result_dummy(16) <= '0'; end if; -------------------------------------------------------------------- end process ALU; Equality_Check : process(operand1, operand2) begin if( operand1 = operand2 ) then -- to set comparator output alu_equ <= '1'; else alu_equ <= '0'; end if; end process Equality_Check; Zero_Check : process(result_dummy, op_code) begin if( result_dummy(15 downto 0) = X"0000" and op_code = '0' ) then -- to set comparator output zero <= '1'; else zero <= '0'; end if; end process Zero_Check; end code; ---------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------
-- ------------------------------------------------------------- -- -- Generated Configuration for ent_b -- -- Generated -- by: wig -- on: Mon Jul 18 16:08:19 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_MIXED -strip -nodelta ../../verilog.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ent_b-rtl-conf-c.vhd,v 1.3 2005/07/19 07:13:17 wig Exp $ -- $Date: 2005/07/19 07:13:17 $ -- $Log: ent_b-rtl-conf-c.vhd,v $ -- Revision 1.3 2005/07/19 07:13:17 wig -- Update testcases. Added highlow/nolowbus -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration ent_b_rtl_conf / ent_b -- configuration ent_b_rtl_conf of ent_b is for rtl -- Generated Configuration -- __I_NO_CONFIG_VERILOG --for inst_ba : ent_ba -- __I_NO_CONFIG_VERILOG -- use configuration work.ent_ba_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; for inst_bb : ent_bb use configuration work.ent_bb_rtl_conf; end for; end for; end ent_b_rtl_conf; -- -- End of Generated Configuration ent_b_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc581.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00581ent IS END c03s04b01x00p01n01i00581ent; ARCHITECTURE c03s04b01x00p01n01i00581arch OF c03s04b01x00p01n01i00581ent IS type string_file is file of string; BEGIN TESTING: PROCESS file filein : string_file open write_mode is "iofile.21"; BEGIN for i in 1 to 100 loop write(filein,"shishir"); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p01n01i00581 - The output file will be verified by test s010220.vhd." severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00581arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc581.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00581ent IS END c03s04b01x00p01n01i00581ent; ARCHITECTURE c03s04b01x00p01n01i00581arch OF c03s04b01x00p01n01i00581ent IS type string_file is file of string; BEGIN TESTING: PROCESS file filein : string_file open write_mode is "iofile.21"; BEGIN for i in 1 to 100 loop write(filein,"shishir"); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p01n01i00581 - The output file will be verified by test s010220.vhd." severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00581arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc581.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00581ent IS END c03s04b01x00p01n01i00581ent; ARCHITECTURE c03s04b01x00p01n01i00581arch OF c03s04b01x00p01n01i00581ent IS type string_file is file of string; BEGIN TESTING: PROCESS file filein : string_file open write_mode is "iofile.21"; BEGIN for i in 1 to 100 loop write(filein,"shishir"); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p01n01i00581 - The output file will be verified by test s010220.vhd." severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00581arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 12 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0_12; USE mult_gen_v12_0_12.mult_gen_v12_0_12; ENTITY mult_gen_0 IS PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(15 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END mult_gen_0; ARCHITECTURE mult_gen_0_arch OF mult_gen_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_gen_0_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0_12 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT mult_gen_v12_0_12; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0_12 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "kintexu", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 3, C_A_WIDTH => 8, C_A_TYPE => 1, C_B_WIDTH => 16, C_B_TYPE => 0, C_OUT_HIGH => 23, C_OUT_LOW => 8, C_MULT_TYPE => 0, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => CLK, A => A, B => B, CE => '1', SCLR => '0', P => P ); END mult_gen_0_arch;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_fcmp_32ns_32ns_1_1 is generic ( ID : integer := 5; NUM_STAGE : integer := 1; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 1 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); opcode : in std_logic_vector(4 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_fcmp_32ns_32ns_1_1 is --------------------- Component --------------------- component ANN_ap_fcmp_0_no_dsp_32 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); s_axis_operation_tvalid : in std_logic; s_axis_operation_tdata : in std_logic_vector(7 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(7 downto 0) ); end component; --------------------- Constant ---------------------- -- AutoESL opcode constant AP_OEQ : std_logic_vector(4 downto 0) := "00001"; constant AP_OGT : std_logic_vector(4 downto 0) := "00010"; constant AP_OGE : std_logic_vector(4 downto 0) := "00011"; constant AP_OLT : std_logic_vector(4 downto 0) := "00100"; constant AP_OLE : std_logic_vector(4 downto 0) := "00101"; constant AP_ONE : std_logic_vector(4 downto 0) := "00110"; constant AP_UNO : std_logic_vector(4 downto 0) := "01000"; -- FPV6 opcode constant OP_EQ : std_logic_vector(7 downto 0) := "00010100"; constant OP_GT : std_logic_vector(7 downto 0) := "00100100"; constant OP_GE : std_logic_vector(7 downto 0) := "00110100"; constant OP_LT : std_logic_vector(7 downto 0) := "00001100"; constant OP_LE : std_logic_vector(7 downto 0) := "00011100"; constant OP_NE : std_logic_vector(7 downto 0) := "00101100"; constant OP_UO : std_logic_vector(7 downto 0) := "00000100"; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal op_tvalid : std_logic; signal op_tdata : std_logic_vector(7 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(7 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_fcmp_0_no_dsp_32_u : component ANN_ap_fcmp_0_no_dsp_32 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, s_axis_operation_tvalid => op_tvalid, s_axis_operation_tdata => op_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1; op_tvalid <= '1'; dout <= r_tdata(0 downto 0); --------------------- Opcode ------------------------ process (opcode) begin case (opcode) is when AP_OEQ => op_tdata <= OP_EQ; when AP_OGT => op_tdata <= OP_GT; when AP_OGE => op_tdata <= OP_GE; when AP_OLT => op_tdata <= OP_LT; when AP_OLE => op_tdata <= OP_LE; when AP_ONE => op_tdata <= OP_NE; when AP_UNO => op_tdata <= OP_UO; when others => op_tdata <= OP_EQ; end case; end process; end architecture;
-- NetUP Universal Dual DVB-CI FPGA firmware -- http://www.netup.tv -- -- Copyright (c) 2014 NetUP Inc, AVB Labs -- License: GPLv3 --Legal Notice: (C)2014 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- --Burst adapter parameters: --adapter is mastered by: pcie_compiler_0/Rx_Interface --adapter masters: avalon64_to_avalon8_0/avalon_slave_0 --asp_debug: 0 --byteaddr_width: 21 --ceil_data_width: 64 --data_width: 64 --dbs_shift: 0 --dbs_upstream_burstcount_width: 10 --downstream_addr_shift: 3 --downstream_burstcount_width: 1 --downstream_max_burstcount: 1 --downstream_pipeline: 0 --dynamic_slave: 1 --master_always_burst_max_burst: 0 --master_burst_on_burst_boundaries_only: 0 --master_data_width: 64 --master_interleave: 0 --master_linewrap_bursts: 0 --nativeaddr_width: 18 --slave_always_burst_max_burst: 0 --slave_burst_on_burst_boundaries_only: 0 --slave_interleave: 0 --slave_linewrap_bursts: 0 --upstream_burstcount: upstream_burstcount --upstream_burstcount_width: 10 --upstream_max_burstcount: 512 --zero_address_width: 0 entity unici_core_burst_1 is port ( -- inputs: signal clk : IN STD_LOGIC; signal downstream_readdata : IN STD_LOGIC_VECTOR (63 DOWNTO 0); signal downstream_readdatavalid : IN STD_LOGIC; signal downstream_waitrequest : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal upstream_address : IN STD_LOGIC_VECTOR (20 DOWNTO 0); signal upstream_burstcount : IN STD_LOGIC_VECTOR (9 DOWNTO 0); signal upstream_byteenable : IN STD_LOGIC_VECTOR (7 DOWNTO 0); signal upstream_debugaccess : IN STD_LOGIC; signal upstream_nativeaddress : IN STD_LOGIC_VECTOR (17 DOWNTO 0); signal upstream_read : IN STD_LOGIC; signal upstream_write : IN STD_LOGIC; signal upstream_writedata : IN STD_LOGIC_VECTOR (63 DOWNTO 0); -- outputs: signal downstream_address : OUT STD_LOGIC_VECTOR (17 DOWNTO 0); signal downstream_arbitrationshare : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); signal downstream_burstcount : OUT STD_LOGIC; signal downstream_byteenable : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); signal downstream_debugaccess : OUT STD_LOGIC; signal downstream_nativeaddress : OUT STD_LOGIC_VECTOR (17 DOWNTO 0); signal downstream_read : OUT STD_LOGIC; signal downstream_write : OUT STD_LOGIC; signal downstream_writedata : OUT STD_LOGIC_VECTOR (63 DOWNTO 0); signal upstream_readdata : OUT STD_LOGIC_VECTOR (63 DOWNTO 0); signal upstream_readdatavalid : OUT STD_LOGIC; signal upstream_waitrequest : OUT STD_LOGIC ); end entity unici_core_burst_1; architecture europa of unici_core_burst_1 is signal address_offset : STD_LOGIC_VECTOR (8 DOWNTO 0); signal atomic_counter : STD_LOGIC; signal current_upstream_address : STD_LOGIC_VECTOR (20 DOWNTO 0); signal current_upstream_burstcount : STD_LOGIC_VECTOR (9 DOWNTO 0); signal current_upstream_read : STD_LOGIC; signal current_upstream_write : STD_LOGIC; signal data_counter : STD_LOGIC_VECTOR (9 DOWNTO 0); signal dbs_adjusted_upstream_burstcount : STD_LOGIC_VECTOR (9 DOWNTO 0); signal downstream_address_base : STD_LOGIC_VECTOR (20 DOWNTO 0); signal downstream_burstdone : STD_LOGIC; signal downstream_write_reg : STD_LOGIC; signal enable_state_change : STD_LOGIC; signal fifo_empty : STD_LOGIC; signal internal_downstream_burstcount : STD_LOGIC; signal internal_downstream_read : STD_LOGIC; signal internal_downstream_write : STD_LOGIC; signal internal_upstream_waitrequest : STD_LOGIC; signal max_burst_size : STD_LOGIC; signal p1_atomic_counter : STD_LOGIC; signal p1_fifo_empty : STD_LOGIC; signal p1_state_busy : STD_LOGIC; signal p1_state_idle : STD_LOGIC; signal pending_register_enable : STD_LOGIC; signal pending_upstream_read : STD_LOGIC; signal pending_upstream_read_reg : STD_LOGIC; signal pending_upstream_write : STD_LOGIC; signal pending_upstream_write_reg : STD_LOGIC; signal read_address_offset : STD_LOGIC_VECTOR (8 DOWNTO 0); signal read_update_count : STD_LOGIC; signal read_write_dbs_adjusted_upstream_burstcount : STD_LOGIC_VECTOR (9 DOWNTO 0); signal registered_read_write_dbs_adjusted_upstream_burstcount : STD_LOGIC_VECTOR (9 DOWNTO 0); signal registered_upstream_address : STD_LOGIC_VECTOR (20 DOWNTO 0); signal registered_upstream_burstcount : STD_LOGIC_VECTOR (9 DOWNTO 0); signal registered_upstream_byteenable : STD_LOGIC_VECTOR (7 DOWNTO 0); signal registered_upstream_nativeaddress : STD_LOGIC_VECTOR (17 DOWNTO 0); signal registered_upstream_read : STD_LOGIC; signal registered_upstream_write : STD_LOGIC; signal state_busy : STD_LOGIC; signal state_idle : STD_LOGIC; signal sync_nativeaddress : STD_LOGIC; signal transactions_remaining : STD_LOGIC_VECTOR (9 DOWNTO 0); signal transactions_remaining_reg : STD_LOGIC_VECTOR (9 DOWNTO 0); signal update_count : STD_LOGIC; signal upstream_burstdone : STD_LOGIC; signal upstream_read_run : STD_LOGIC; signal upstream_write_run : STD_LOGIC; signal write_address_offset : STD_LOGIC_VECTOR (8 DOWNTO 0); signal write_update_count : STD_LOGIC; begin sync_nativeaddress <= or_reduce(upstream_nativeaddress); --downstream, which is an e_avalon_master --upstream, which is an e_avalon_slave upstream_burstdone <= A_WE_StdLogic((std_logic'(current_upstream_read) = '1'), ((to_std_logic(((transactions_remaining = (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount)))))) AND internal_downstream_read) AND NOT downstream_waitrequest), ((to_std_logic((((std_logic_vector'("00000000000000000000000") & (transactions_remaining)) = (((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(atomic_counter))) + std_logic_vector'("000000000000000000000000000000001")))))) AND internal_downstream_write) AND NOT downstream_waitrequest)); p1_atomic_counter <= Vector_To_Std_Logic(((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(atomic_counter))) + (std_logic_vector'("0") & ((A_WE_StdLogicVector((std_logic'(internal_downstream_read) = '1'), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount))), std_logic_vector'("00000000000000000000000000000001"))))))); downstream_burstdone <= (((internal_downstream_read OR internal_downstream_write)) AND NOT downstream_waitrequest) AND to_std_logic(((std_logic'(p1_atomic_counter) = std_logic'(internal_downstream_burstcount)))); dbs_adjusted_upstream_burstcount <= A_WE_StdLogicVector((std_logic'(pending_register_enable) = '1'), read_write_dbs_adjusted_upstream_burstcount, registered_read_write_dbs_adjusted_upstream_burstcount); read_write_dbs_adjusted_upstream_burstcount <= upstream_burstcount; process (clk, reset_n) begin if reset_n = '0' then registered_read_write_dbs_adjusted_upstream_burstcount <= std_logic_vector'("0000000000"); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_read_write_dbs_adjusted_upstream_burstcount <= read_write_dbs_adjusted_upstream_burstcount; end if; end if; end process; p1_state_idle <= ((state_idle AND NOT upstream_read) AND NOT upstream_write) OR ((((state_busy AND to_std_logic((((std_logic_vector'("0000000000000000000000") & (data_counter)) = std_logic_vector'("00000000000000000000000000000000"))))) AND p1_fifo_empty) AND NOT pending_upstream_read) AND NOT pending_upstream_write); p1_state_busy <= (state_idle AND ((upstream_read OR upstream_write))) OR (state_busy AND ((((to_std_logic(NOT (((std_logic_vector'("0000000000000000000000") & (data_counter)) = std_logic_vector'("00000000000000000000000000000000")))) OR NOT p1_fifo_empty) OR pending_upstream_read) OR pending_upstream_write))); enable_state_change <= NOT ((internal_downstream_read OR internal_downstream_write)) OR NOT downstream_waitrequest; process (clk, reset_n) begin if reset_n = '0' then pending_upstream_read_reg <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'((upstream_read AND state_idle)) = '1' then pending_upstream_read_reg <= Vector_To_Std_Logic(-SIGNED(std_logic_vector'("00000000000000000000000000000001"))); elsif std_logic'(upstream_burstdone) = '1' then pending_upstream_read_reg <= std_logic'('0'); end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then pending_upstream_write_reg <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'(upstream_burstdone) = '1' then pending_upstream_write_reg <= std_logic'('0'); elsif std_logic'((upstream_write AND ((state_idle OR NOT internal_upstream_waitrequest)))) = '1' then pending_upstream_write_reg <= Vector_To_Std_Logic(-SIGNED(std_logic_vector'("00000000000000000000000000000001"))); end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then state_idle <= std_logic'('1'); elsif clk'event and clk = '1' then if std_logic'(enable_state_change) = '1' then state_idle <= p1_state_idle; end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then state_busy <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'(enable_state_change) = '1' then state_busy <= p1_state_busy; end if; end if; end process; pending_upstream_read <= pending_upstream_read_reg; pending_upstream_write <= pending_upstream_write_reg AND NOT upstream_burstdone; pending_register_enable <= state_idle OR ((((upstream_read OR upstream_write)) AND NOT internal_upstream_waitrequest)); process (clk, reset_n) begin if reset_n = '0' then registered_upstream_read <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_read <= upstream_read; end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then registered_upstream_write <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_write <= upstream_write; end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then registered_upstream_burstcount <= std_logic_vector'("0000000000"); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_burstcount <= upstream_burstcount; end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then registered_upstream_address <= std_logic_vector'("000000000000000000000"); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_address <= upstream_address; end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then registered_upstream_nativeaddress <= std_logic_vector'("000000000000000000"); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_nativeaddress <= upstream_nativeaddress; end if; end if; end process; current_upstream_read <= registered_upstream_read AND NOT(internal_downstream_write); current_upstream_write <= registered_upstream_write; current_upstream_address <= registered_upstream_address; current_upstream_burstcount <= A_WE_StdLogicVector((std_logic'(pending_register_enable) = '1'), upstream_burstcount, registered_upstream_burstcount); process (clk, reset_n) begin if reset_n = '0' then atomic_counter <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'((((internal_downstream_read OR internal_downstream_write)) AND NOT downstream_waitrequest)) = '1' then atomic_counter <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(downstream_burstdone) = '1'), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(p1_atomic_counter))))); end if; end if; end process; read_update_count <= current_upstream_read AND NOT downstream_waitrequest; write_update_count <= (current_upstream_write AND internal_downstream_write) AND downstream_burstdone; update_count <= read_update_count OR write_update_count; transactions_remaining <= A_WE_StdLogicVector((std_logic'(((state_idle AND ((upstream_read OR upstream_write))))) = '1'), dbs_adjusted_upstream_burstcount, transactions_remaining_reg); process (clk, reset_n) begin if reset_n = '0' then transactions_remaining_reg <= std_logic_vector'("0000000000"); elsif clk'event and clk = '1' then transactions_remaining_reg <= A_EXT (A_WE_StdLogicVector((std_logic'(((state_idle AND ((upstream_read OR upstream_write))))) = '1'), (std_logic_vector'("0") & (dbs_adjusted_upstream_burstcount)), A_WE_StdLogicVector((std_logic'(update_count) = '1'), ((std_logic_vector'("0") & (transactions_remaining_reg)) - (std_logic_vector'("0000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount)))), (std_logic_vector'("0") & (transactions_remaining_reg)))), 10); end if; end process; process (clk, reset_n) begin if reset_n = '0' then data_counter <= std_logic_vector'("0000000000"); elsif clk'event and clk = '1' then data_counter <= A_EXT (A_WE_StdLogicVector((std_logic'(((state_idle AND upstream_read) AND NOT internal_upstream_waitrequest)) = '1'), (std_logic_vector'("00000000000000000000000") & (dbs_adjusted_upstream_burstcount)), A_WE_StdLogicVector((std_logic'(downstream_readdatavalid) = '1'), ((std_logic_vector'("00000000000000000000000") & (data_counter)) - std_logic_vector'("000000000000000000000000000000001")), (std_logic_vector'("00000000000000000000000") & (data_counter)))), 10); end if; end process; max_burst_size <= std_logic'('1'); internal_downstream_burstcount <= Vector_To_Std_Logic(A_WE_StdLogicVector(((transactions_remaining>(std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(max_burst_size))))), (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(max_burst_size))), transactions_remaining)); downstream_arbitrationshare <= A_WE_StdLogicVector((std_logic'(current_upstream_read) = '1'), (dbs_adjusted_upstream_burstcount), dbs_adjusted_upstream_burstcount); process (clk, reset_n) begin if reset_n = '0' then write_address_offset <= std_logic_vector'("000000000"); elsif clk'event and clk = '1' then write_address_offset <= A_EXT (A_WE_StdLogicVector((std_logic'((state_idle AND upstream_write)) = '1'), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000") & (A_WE_StdLogicVector((std_logic'((((internal_downstream_write AND NOT downstream_waitrequest) AND downstream_burstdone))) = '1'), ((std_logic_vector'("0") & (write_address_offset)) + (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount)))), (std_logic_vector'("0") & (write_address_offset)))))), 9); end if; end process; process (clk, reset_n) begin if reset_n = '0' then read_address_offset <= std_logic_vector'("000000000"); elsif clk'event and clk = '1' then read_address_offset <= A_EXT (A_WE_StdLogicVector((std_logic'((state_idle AND upstream_read)) = '1'), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000") & (A_WE_StdLogicVector((std_logic'(((internal_downstream_read AND NOT downstream_waitrequest))) = '1'), ((std_logic_vector'("0") & (read_address_offset)) + (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount)))), (std_logic_vector'("0") & (read_address_offset)))))), 9); end if; end process; downstream_nativeaddress <= A_SRL(registered_upstream_nativeaddress,std_logic_vector'("00000000000000000000000000000011")); address_offset <= A_WE_StdLogicVector((std_logic'(current_upstream_read) = '1'), read_address_offset, write_address_offset); downstream_address_base <= current_upstream_address; downstream_address <= A_EXT (((std_logic_vector'("0") & (downstream_address_base)) + (std_logic_vector'("0000000000") & ((address_offset & std_logic_vector'("000"))))), 18); process (clk, reset_n) begin if reset_n = '0' then internal_downstream_read <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'((NOT internal_downstream_read OR NOT downstream_waitrequest)) = '1' then internal_downstream_read <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'((state_idle AND upstream_read)) = '1'), std_logic_vector'("00000000000000000000000000000001"), A_WE_StdLogicVector(((transactions_remaining = (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount))))), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_read)))))); end if; end if; end process; upstream_readdatavalid <= downstream_readdatavalid; upstream_readdata <= downstream_readdata; fifo_empty <= std_logic'('1'); p1_fifo_empty <= std_logic'('1'); process (clk, reset_n) begin if reset_n = '0' then downstream_write_reg <= std_logic'('0'); elsif clk'event and clk = '1' then if std_logic'((NOT downstream_write_reg OR NOT downstream_waitrequest)) = '1' then downstream_write_reg <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'((state_idle AND upstream_write)) = '1'), std_logic_vector'("00000000000000000000000000000001"), A_WE_StdLogicVector((std_logic'(((to_std_logic(((transactions_remaining = (std_logic_vector'("000000000") & (A_TOSTDLOGICVECTOR(internal_downstream_burstcount)))))) AND downstream_burstdone))) = '1'), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(downstream_write_reg)))))); end if; end if; end process; process (clk, reset_n) begin if reset_n = '0' then registered_upstream_byteenable <= std_logic_vector'("11111111"); elsif clk'event and clk = '1' then if std_logic'(pending_register_enable) = '1' then registered_upstream_byteenable <= upstream_byteenable; end if; end if; end process; internal_downstream_write <= (downstream_write_reg AND upstream_write) AND NOT(internal_downstream_read); downstream_byteenable <= A_WE_StdLogicVector((std_logic'(downstream_write_reg) = '1'), upstream_byteenable, registered_upstream_byteenable); downstream_writedata <= upstream_writedata; upstream_read_run <= state_idle AND upstream_read; upstream_write_run <= ((state_busy AND upstream_write) AND NOT downstream_waitrequest) AND NOT(internal_downstream_read); internal_upstream_waitrequest <= Vector_To_Std_Logic(A_WE_StdLogicVector((std_logic'(((upstream_read OR current_upstream_read))) = '1'), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(NOT upstream_read_run))), A_WE_StdLogicVector((std_logic'(current_upstream_write) = '1'), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(NOT upstream_write_run))), std_logic_vector'("00000000000000000000000000000001")))); downstream_debugaccess <= upstream_debugaccess; --vhdl renameroo for output signals downstream_burstcount <= internal_downstream_burstcount; --vhdl renameroo for output signals downstream_read <= internal_downstream_read; --vhdl renameroo for output signals downstream_write <= internal_downstream_write; --vhdl renameroo for output signals upstream_waitrequest <= internal_upstream_waitrequest; end europa;
-- -- Xilinx ml605 Minimal Transceiver Testbench -- -- Author: -- * Rodrigo A. Melo -- -- Copyright (c) 2016 INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.Simul.all; entity Top_tb is end entity Top_tb; architecture Structural of Top_tb is constant PERIOD : time := 5 ns; signal clk, nclk, rst : std_logic; signal stop : boolean; signal ready : std_logic; signal dips : std_logic_vector(7 downto 0):=(others => '0'); begin nclk <= not(clk); do_clk: Clock generic map(PERIOD => PERIOD, RESET_CLKS => 15.0) port map(clk_o => clk, rst_o => rst, stop_i => stop); dut: entity work.top port map( rst_i => rst, clk_p_i => clk, clk_n_i => nclk, sma_rx_p_i => '0', sma_rx_n_i => '0', sma_tx_p_o => open, sma_tx_n_o => open, pbc_i => '0', dips_i => "11000011", leds_o => open ); end architecture Structural;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddr2ram -- File: ddr2ram.vhd -- Author: Magnus Hjorth, Aeroflex Gaisler -- Description: Generic simulation model of DDR2 SDRAM (JESD79-2C) ------------------------------------------------------------------------------ --pragma translate_off use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.stdio.hread; use grlib.stdlib.all; entity ddr2ram is generic ( width: integer := 32; abits: integer range 13 to 16 := 13; babits: integer range 2 to 3 := 3; colbits: integer range 9 to 11 := 9; rowbits: integer range 1 to 16 := 13; implbanks: integer range 1 to 8 := 1; fname: string; lddelay: time := (0 ns); -- Speed bins: 0:DDR2-400C,1:400B,2:533C,3:533B,4:667D,5:667C,6:800E,7:800D,8:800C -- 9:800+ (MT47H-25E) speedbin: integer range 0 to 9 := 0; density: integer range 1 to 5 := 3; -- 1:256M 2:512M 3:1G 4:2G 5:4G bits/chip pagesize: integer range 1 to 2 := 1 -- 1K/2K page size (controls tRRD) ); port ( ck: in std_ulogic; ckn: in std_ulogic; cke: in std_ulogic; csn: in std_ulogic; odt: in std_ulogic; rasn: in std_ulogic; casn: in std_ulogic; wen: in std_ulogic; dm: in std_logic_vector(width/8-1 downto 0); ba: in std_logic_vector(babits-1 downto 0); a: in std_logic_vector(abits-1 downto 0); dq: inout std_logic_vector(width-1 downto 0); dqs: inout std_logic_vector(width/8-1 downto 0); dqsn: inout std_logic_vector(width/8-1 downto 0) ); end; architecture sim of ddr2ram is type moderegs is record -- Mode register (0) pd: std_ulogic; wr: std_logic_vector(2 downto 0); dllres: std_ulogic; tm: std_ulogic; caslat: std_logic_vector(2 downto 0); bt: std_ulogic; blen: std_logic_vector(2 downto 0); -- Extended mode register 1 qoff: std_ulogic; rdqsen: std_ulogic; dqsndis: std_ulogic; ocdprog: std_logic_vector(2 downto 0); al: std_logic_vector(2 downto 0); rtt: std_logic_vector(1 downto 0); ds: std_ulogic; dlldis: std_ulogic; -- Extended mode register 2 srf: std_ulogic; dccen: std_ulogic; pasr: std_logic_vector(2 downto 0); -- Extended mode register 3 emr3: std_logic_vector(abits-1 downto 0); end record; -- Mode registers as signal, useful for debugging signal mr: moderegs; -- Handshaking between command and DQ/DQS processes signal read_en, write_en: boolean := false; signal read_data, write_data: std_logic_vector(2*width-1 downto 0); signal write_mask: std_logic_vector(width/4-1 downto 0); signal initdone: boolean := false; -- Small delta-t to adjust calculations for jitter tol. constant deltat: time := 50 ps; -- Timing parameters constant tWR: time := 15 ns; constant tMRD_ck: integer := 2; constant tRTP: time := 7.5 ns; type timetab is array (0 to 9) of time; -- 400C 400B 533C 533B 667D 667C 800E 800D 800C MT-2.5E constant tRAS : timetab := (45 ns, 40 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 40 ns); constant tRP : timetab := (20 ns, 15 ns, 15 ns, 11.25 ns, 15 ns, 12 ns, 15 ns, 12.5 ns, 10 ns, 12.5 ns); constant tRCD: timetab := tRP; type timetab2 is array(1 to 5) of time; constant tRFC: timetab2 := (75 ns, 105 ns, 127.5 ns, 195 ns, 327.5 ns); type timetab3 is array(1 to 2) of time; constant tRRD: timetab3 := (7.5 ns, 10 ns); begin ----------------------------------------------------------------------------- -- Init sequence checker ----------------------------------------------------------------------------- initp: process variable cyctr: integer := 0; procedure checkcmd(crasn,ccasn,cwen: std_ulogic; cba: std_logic_vector(1 downto 0); ca: std_logic_vector(15 downto 0)) is variable amatch: boolean; begin wait until rising_edge(ck); cyctr := cyctr+1; while cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')) loop wait until rising_edge(ck); cyctr := cyctr+1; end loop; amatch := true; for x in a'range loop if ca(x)/='-' and ca(x)/=a(x) then amatch:=false; end if; end loop; assert cke='1' and csn='0' and rasn=crasn and casn=ccasn and wen=cwen and (cba="--" or cba=ba(1 downto 0)) and amatch report "Wrong command during init sequence" severity warning; end checkcmd; variable t: time; begin initdone <= false; -- Allow cke to be X or U for a while during sim start if is_x(cke) then wait until not is_x(cke); end if; assert cke='0' report "CKE not deasserted on power-up" severity warning; wait until cke/='0' for 200 us; assert cke='0' report "CKE raised with less than 200 us init delay" severity warning; wait until cke/='0' and rising_edge(ck); assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')); t := now; -- Precharge all checkcmd('0','1','0',"--","-----1----------"); assert (now-t) > 400 ns report "Less than 400 ns wait period after CKE high!" severity warning; -- EMRS EMR2 checkcmd('0','0','0',"10","----------------"); -- EMRS EMR3 checkcmd('0','0','0',"11","----------------"); -- EMRS enable DLL checkcmd('0','0','0',"01","000---000-------"); -- MRS reset DLL checkcmd('0','0','0',"00","000----1--------"); cyctr := 0; -- Precharge all checkcmd('0','1','0',"--","-----1----------"); -- 2 x auto refresh checkcmd('0','0','1',"--","----------------"); checkcmd('0','0','1',"--","----------------"); -- MRS !reset DLL checkcmd('0','0','0',"00","-------0--------"); -- EMRS EMR1 OCD default, EMRS EMR1 exit OCD cal -- (assume OCD impedance adjust not performed) checkcmd('0','0','0',"01","------111-------"); assert cyctr >= 200 report "Less than 200 cycles (" & tost(cyctr) & ") between DLL reset and OCD cal" severity warning; checkcmd('0','0','0',"01","------000-------"); initdone <= true; wait; end process; ----------------------------------------------------------------------------- -- Command state machine ----------------------------------------------------------------------------- cmdp: process(ck) subtype coldata is std_logic_vector(width-1 downto 0); type coldata_arr is array(0 to implbanks*(2**(colbits+rowbits))-1) of coldata; variable memdata: coldata_arr; procedure load_srec is file TCF : text open read_mode is fname; variable L1: line; variable CH : character; variable rectype : std_logic_vector(3 downto 0); variable recaddr : std_logic_vector(31 downto 0); variable reclen : std_logic_vector(7 downto 0); variable recdata : std_logic_vector(0 to 16*8-1); variable col, coloffs, len: integer; begin L1:= new string'(""); while not endfile(TCF) loop readline(TCF,L1); if (L1'length /= 0) then while (not (L1'length=0)) and (L1(L1'left) = ' ') loop std.textio.read(L1,CH); end loop; if L1'length > 0 then read(L1, ch); if (ch = 'S') or (ch = 's') then hread(L1, rectype); hread(L1, reclen); len := to_integer(unsigned(reclen))-1; recaddr := (others => '0'); case rectype is when "0001" => hread(L1, recaddr(15 downto 0)); len := len - 2; when "0010" => hread(L1, recaddr(23 downto 0)); len := len - 3; when "0011" => hread(L1, recaddr); len := len - 4; when others => next; end case; hread(L1, recdata(0 to len*8-1)); col := to_integer(unsigned(recaddr(log2(width/8)+rowbits+colbits+1 downto log2(width/8)))); coloffs := 8*to_integer(unsigned(recaddr(log2(width/8)-1 downto 0))); while len > width/8 loop assert coloffs=0; memdata(col) := recdata(0 to width-1); col := col+1; len := len-width/8; recdata(0 to recdata'length-width-1) := recdata(width to recdata'length-1); end loop; memdata(col)(width-1-coloffs downto width-coloffs-len*8) := recdata(0 to len*8-1); end if; end if; end if; end loop; end load_srec; variable vmr: moderegs; type bankstate is record openrow: integer; opentime: time; closetime: time; writetime: time; readtime: time; autopch: integer; pchpush: boolean; end record; type bankstate_arr is array(natural range <>) of bankstate; variable banks: bankstate_arr(7 downto 0) := (others => (-1, 0 ns, 0 ns, 0 ns, 0 ns, -1, false)); type int_arr is array(natural range <>) of integer; type dataacc is record r,w: boolean; col: int_arr(0 to 1); bank: integer; end record; type dataacc_arr is array(natural range <>) of dataacc; variable accpipe: dataacc_arr(0 to 9); variable cmd: std_logic_vector(2 downto 0); variable bank: integer; variable colv: unsigned(a'high-1 downto 0); variable alow: unsigned(2 downto 0); variable col: integer; variable prev_re, re: time; variable blen: integer; variable lastref: time := 0 ns; variable i, al, cl, wrap: integer; variable b: boolean; variable mrscount: integer := 0; variable loaded: boolean := false; procedure checktime(got, exp: time; gt: boolean; req: string) is begin assert (got + deltat > exp and gt) or (got-deltat < exp and not gt) report (req & " violation, got: " & tost(got/(1 ps)) & " ps, exp: " & tost(exp/(1 ps)) & "ps") severity warning; end checktime; begin if rising_edge(ck) then -- Update pipe regs prev_re := re; re := now; accpipe(1 to accpipe'high) := accpipe(0 to accpipe'high-1); accpipe(0).r:=false; accpipe(0).w:=false; -- Parse MR fields cmd := rasn & casn & wen; if is_x(vmr.caslat) then cl:=0; else cl:=to_integer(unsigned(vmr.caslat)); end if; if cl<2 or cl>6 then cl:=0; end if; if is_x(vmr.al) then al:=0; else al:=to_integer(unsigned(vmr.al)); end if; if al>5 then al:=0; end if; if is_x(vmr.wr) then wrap:=0; else wrap:=1+to_integer(unsigned(vmr.wr)); end if; if wrap<2 or wrap>6 then wrap:=0; end if; -- Checks for all-bank commands if mrscount > 0 then mrscount := mrscount-1; assert cke='1' and (csn='1' or cmd="111") report "tMRS violation!" severity warning; end if; if cke='1' and csn='0' and cmd/="111" then checktime(now-lastref, tRFC(density), true, "tRFC"); end if; -- Main command handler if cke='1' and csn='0' then case cmd is when "111" => -- NOP when "011" => -- RAS assert initdone report "Opening row before init sequence done!" severity warning; bank := to_integer(unsigned(ba)); assert banks(bank).openrow < 0 report "Row already open" severity warning; checktime(now-banks(bank).closetime, tRP(speedbin), true, "tRP"); for x in 0 to 7 loop checktime(now-banks(x).opentime, tRRD(pagesize), true, "tRRD"); end loop; banks(bank).openrow := to_integer(unsigned(a(rowbits-1 downto 0))); banks(bank).opentime := now; when "101" | "100" => -- Read/Write bank := to_integer(unsigned(ba)); -- Get additive latency i := to_integer(unsigned(vmr.al)); assert banks(bank).openrow >= 0 report "Row not open" severity error; checktime(now-banks(bank).opentime+al*(re-prev_re), tRCD(speedbin), true, "tRCD"); -- Allow interrupting read in case of middle of BL8 burst only if (accpipe(3).r and accpipe(2).r and not (accpipe(1).r or accpipe(1).w or accpipe(0).r or accpipe(0).w)) then accpipe(3).r := false; accpipe(2).r := false; end if; for x in 0 to 3 loop assert not accpipe(x).r and not accpipe(x).w; end loop; if cmd(0)='1' then accpipe(3).r:=true; else accpipe(3).w:=true; end if; colv := unsigned(std_logic_vector'(a(a'high downto 11) & a(9 downto 0))); case vmr.blen is when "010" => blen := 4; when "011" => blen := 8; when others => assert false report "Invalid burst length setting in MR!" severity error; end case; alow := unsigned(a(2 downto 0)); for x in 0 to blen-1 loop accpipe(3-x/2).bank := bank; if cmd(0)='1' then accpipe(3-x/2).r:=true; else accpipe(3-x/2).w:=true; end if; if vmr.bt='0' then -- Sequential colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) + x; else -- Interleaved colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) xor to_unsigned(x,log2(blen)); end if; col := to_integer(unsigned(ba))*(2**(colbits+rowbits)) + banks(bank).openrow * (2**colbits) + to_integer(colv(colbits-1 downto 0)); accpipe(3-x/2).col(x mod 2) := col; end loop; -- Auto precharge if a(10)='1' then if cmd(0)='1' then banks(bank).autopch := al+blen/2; else banks(bank).autopch := cl+al-1+blen/2+wrap; end if; banks(bank).pchpush := true; end if; when "110" => -- Reserved (Burst terminate on DDR1) assert false report "Invalid command RAS=1 CAS=1 WE=0" severity warning; when "010" => -- Precharge if a(10)='0' then bank := to_integer(unsigned(ba)); else bank:=0; end if; for x in 3 downto 0 loop -- FIXME potential window which isn't checked if AL>0 assert (not (accpipe(x).r or accpipe(x).w)) or (a(10)='0' and bank/=accpipe(x).bank) report "Precharging bank with access in progress" severity warning; end loop; for x in 0 to (2**babits)-1 loop if a(10)='1' or ba=std_logic_vector(to_unsigned(x,babits)) then assert banks(x).autopch<0 report "Precharging bank that is auto-precharged!" severity note; assert a(10)='1' or banks(x).openrow >= 0 report "Precharging single bank that is in idle state!" severity note; banks(x).autopch := 0; -- Handled below case statement banks(x).pchpush := false; end if; end loop; when "001" => -- Auto refresh for x in 0 to 7 loop assert banks(x).openrow < 0 report "Bank in wrong state for auto refresh!" severity warning; checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; lastref := now; when "000" => -- MRS for x in 0 to 7 loop checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; bank := to_integer(unsigned(ba)); case bank is when 0 => vmr.pd := a(12); vmr.wr := a(11 downto 9); vmr.dllres := a(8); vmr.tm := a(7); vmr.caslat := a(6 downto 4); vmr.bt := a(3); vmr.blen := a(2 downto 0); when 1 => vmr.qoff := a(12); vmr.rdqsen := a(11); vmr.dqsndis := a(10); vmr.ocdprog := a(9 downto 7); vmr.al := a(5 downto 3); vmr.rtt := a(6) & a(2); vmr.ds := a(1); vmr.dlldis := a(0); when 2 => vmr.srf := a(7); vmr.dccen := a(3); vmr.pasr := a(2 downto 0); when 3 => vmr.emr3 := a; when others => assert false report ("MRS to invalid bank addr: " & std_logic'image(ba(1)) & std_logic'image(ba(0))) severity warning; end case; mrscount := tMRD_ck-1; when others => assert false report ("Invalid command: " & std_logic'image(rasn) & std_logic'image(casn) & std_logic'image(wen)) severity warning; end case; end if; -- Manual or auto precharge handling for x in 0 to 7 loop if banks(x).autopch=0 then if banks(x).pchpush and (now-banks(x).opentime-deltat) < tRAS(speedbin) then -- Auto delay auto-precharge to satisfy tRAS/tRC banks(x).autopch := banks(x).autopch+1; elsif banks(x).pchpush and (now-banks(x).readtime-deltat) < tRTP then -- Auto delay auto-precharge to satisfy tRTP banks(x).autopch := banks(x).autopch+1; else checktime(now-banks(x).writetime, tWR, true, "tWR"); checktime(now-banks(x).opentime, tRAS(speedbin), true, "tRAS"); checktime(now-banks(x).readtime, tRTP, true, "tRTP"); banks(x).openrow := -1; banks(x).closetime := now; end if; end if; if banks(x).autopch >= 0 then banks(x).autopch := banks(x).autopch - 1; end if; end loop; -- Read/write management if not loaded and lddelay < now then load_srec; loaded := true; end if; if accpipe(2+cl+al).r then assert cl>1 report "Incorrect CL setting!" severity warning; read_en <= true; -- print("Reading from col " & tost(accpipe(2+i).col(0)) & " and " & tost(accpipe(2+i).col(1))); -- col0 <= accpipe(2+i).col(0); col1 <= accpipe(2+i).col(1); read_data <= memdata(accpipe(2+cl+al).col(0)) & memdata(accpipe(2+cl+al).col(1)); else read_en <= false; end if; -- tRTP is counted from read command + AL for BL4, read command + AL + 2 -- for BL8. This check covers both cases by writing readtime on the next-to-last -- transfer. if accpipe(3+al).r and accpipe(2+al).r and accpipe(3+al).bank=accpipe(2+al).bank then banks(accpipe(2+al).bank).readtime := now; end if; write_en <= accpipe(1+cl+al).w or accpipe(2+cl+al).w; if accpipe(3+cl+al).w then assert not is_x(write_mask) report "Write error!"; for x in 0 to 1 loop for b in width/8-1 downto 0 loop if write_mask((1-x)*width/8+b)='0' then memdata(accpipe(3+cl+al).col(x))(8*b+7 downto 8*b) := write_data( (1-x)*width+b*8+7 downto (1-x)*width+b*8); end if; end loop; end loop; banks(accpipe(3+cl+al).bank).writetime := now; end if; end if; mr <= vmr; end process; ----------------------------------------------------------------------------- -- DQS/DQ handling and data sampling process ----------------------------------------------------------------------------- dqproc: process variable rdata: std_logic_vector(2*width-1 downto 0); variable hdata: std_logic_vector(width-1 downto 0); variable hmask: std_logic_vector(width/8-1 downto 0); variable prevdqs: std_logic_vector(width/8-1 downto 0); begin dq <= (others => 'Z'); dqs <= (others => 'Z'); dqsn <= (others => 'Z'); wait until read_en or write_en; assert not (read_en and write_en); if read_en then dqs <= (others => '0'); dqsn <= (others => '1'); wait until falling_edge(ck); while read_en loop rdata := read_data; wait until rising_edge(ck); dqs <= (others => '1'); dqsn <= (others => '0'); dq <= rdata(2*width-1 downto width); wait until falling_edge(ck); dqs <= (others => '0'); dqsn <= (others => '1'); dq <= rdata(width-1 downto 0); end loop; wait until rising_edge(ck); else wait until falling_edge(ck); assert to_X01(dqs)=(dqs'range => '0'); while write_en loop prevdqs := to_X01(dqs); wait until to_X01(dqs) /= prevdqs or not write_en or rising_edge(ck); if rising_edge(ck) then write_data <= (others => 'X'); write_mask <= (others => 'X'); end if; for x in dqs'range loop if prevdqs(x)='0' and to_X01(dqs(x))='1' then hdata(8*x+7 downto 8*x) := dq(8*x+7 downto 8*x); hmask(x) := dm(x); elsif prevdqs(x)='1' and to_X01(dqs(x))='0' then write_data(width+8*x+7 downto width+8*x) <= hdata(8*x+7 downto 8*x); write_data(8*x+7 downto 8*x) <= dq(8*x+7 downto 8*x); write_mask(width/8+x) <= hmask(x); write_mask(x) <= dm(x); end if; end loop; end loop; end if; end process; end; -- pragma translate_on
--Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2017.3 (win64) Build 2018833 Wed Oct 4 19:58:22 MDT 2017 --Date : Tue Oct 24 00:00:16 2017 --Host : CHRIS-PC running 64-bit major release (build 9200) --Command : generate_target DemoConfigDesign_wrapper.bd --Design : DemoConfigDesign_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity DemoConfigDesign_wrapper is port ( ULPI_clk : in STD_LOGIC; ULPI_data_io : inout STD_LOGIC_VECTOR ( 7 downto 0 ); ULPI_dir : in STD_LOGIC; ULPI_next : in STD_LOGIC; ULPI_rst : out STD_LOGIC; ULPI_stop : out STD_LOGIC; sys_clk : in STD_LOGIC; sys_resetn : in STD_LOGIC ); end DemoConfigDesign_wrapper; architecture STRUCTURE of DemoConfigDesign_wrapper is component DemoConfigDesign is port ( ULPI_clk : in STD_LOGIC; ULPI_data_i : in STD_LOGIC_VECTOR ( 7 downto 0 ); ULPI_data_o : out STD_LOGIC_VECTOR ( 7 downto 0 ); ULPI_data_t : out STD_LOGIC; ULPI_dir : in STD_LOGIC; ULPI_next : in STD_LOGIC; ULPI_rst : out STD_LOGIC; ULPI_stop : out STD_LOGIC; sys_resetn : in STD_LOGIC; sys_clk : in STD_LOGIC ); end component DemoConfigDesign; component IOBUF is port ( I : in STD_LOGIC; O : out STD_LOGIC; T : in STD_LOGIC; IO : inout STD_LOGIC ); end component IOBUF; signal ULPI_data_i_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal ULPI_data_i_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal ULPI_data_i_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal ULPI_data_i_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal ULPI_data_i_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal ULPI_data_i_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal ULPI_data_i_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal ULPI_data_i_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal ULPI_data_io_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal ULPI_data_io_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal ULPI_data_io_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal ULPI_data_io_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal ULPI_data_io_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal ULPI_data_io_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal ULPI_data_io_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal ULPI_data_io_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal ULPI_data_o_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal ULPI_data_o_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal ULPI_data_o_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal ULPI_data_o_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal ULPI_data_o_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal ULPI_data_o_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal ULPI_data_o_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal ULPI_data_o_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal ULPI_data_t : STD_LOGIC; begin DemoConfigDesign_i: component DemoConfigDesign port map ( ULPI_clk => ULPI_clk, ULPI_data_i(7) => ULPI_data_i_7(7), ULPI_data_i(6) => ULPI_data_i_6(6), ULPI_data_i(5) => ULPI_data_i_5(5), ULPI_data_i(4) => ULPI_data_i_4(4), ULPI_data_i(3) => ULPI_data_i_3(3), ULPI_data_i(2) => ULPI_data_i_2(2), ULPI_data_i(1) => ULPI_data_i_1(1), ULPI_data_i(0) => ULPI_data_i_0(0), ULPI_data_o(7) => ULPI_data_o_7(7), ULPI_data_o(6) => ULPI_data_o_6(6), ULPI_data_o(5) => ULPI_data_o_5(5), ULPI_data_o(4) => ULPI_data_o_4(4), ULPI_data_o(3) => ULPI_data_o_3(3), ULPI_data_o(2) => ULPI_data_o_2(2), ULPI_data_o(1) => ULPI_data_o_1(1), ULPI_data_o(0) => ULPI_data_o_0(0), ULPI_data_t => ULPI_data_t, ULPI_dir => ULPI_dir, ULPI_next => ULPI_next, ULPI_rst => ULPI_rst, ULPI_stop => ULPI_stop, sys_clk => sys_clk, sys_resetn => sys_resetn ); ULPI_data_iobuf_0: component IOBUF port map ( I => ULPI_data_o_0(0), IO => ULPI_data_io(0), O => ULPI_data_i_0(0), T => ULPI_data_t ); ULPI_data_iobuf_1: component IOBUF port map ( I => ULPI_data_o_1(1), IO => ULPI_data_io(1), O => ULPI_data_i_1(1), T => ULPI_data_t ); ULPI_data_iobuf_2: component IOBUF port map ( I => ULPI_data_o_2(2), IO => ULPI_data_io(2), O => ULPI_data_i_2(2), T => ULPI_data_t ); ULPI_data_iobuf_3: component IOBUF port map ( I => ULPI_data_o_3(3), IO => ULPI_data_io(3), O => ULPI_data_i_3(3), T => ULPI_data_t ); ULPI_data_iobuf_4: component IOBUF port map ( I => ULPI_data_o_4(4), IO => ULPI_data_io(4), O => ULPI_data_i_4(4), T => ULPI_data_t ); ULPI_data_iobuf_5: component IOBUF port map ( I => ULPI_data_o_5(5), IO => ULPI_data_io(5), O => ULPI_data_i_5(5), T => ULPI_data_t ); ULPI_data_iobuf_6: component IOBUF port map ( I => ULPI_data_o_6(6), IO => ULPI_data_io(6), O => ULPI_data_i_6(6), T => ULPI_data_t ); ULPI_data_iobuf_7: component IOBUF port map ( I => ULPI_data_o_7(7), IO => ULPI_data_io(7), O => ULPI_data_i_7(7), T => ULPI_data_t ); end STRUCTURE;
------------------------------------------------------------------------------ ---- ---- ---- Single Port RAM that maps to a Xilinx BRAM ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: SinglePortRAM(Xilinx) (Entity and architecture) ---- ---- File name: rom_s.in.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end entity SinglePortRAM; architecture Xilinx of SinglePortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); signal addr_r : unsigned(BRAM_W-1 downto BYTE_BITS); signal ram : ram_type := ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80cfe40c", 3 => x"3a0b0b80", 4 => x"c7ba0400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"0b0b0b89", 9 => x"90040000", 10 => x"00000000", 11 => x"00000000", 12 => x"00000000", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80ce", 162 => x"f4738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"0b0b0b88", 169 => x"f8040000", 170 => x"00000000", 171 => x"00000000", 172 => x"00000000", 173 => x"00000000", 174 => x"00000000", 175 => x"00000000", 176 => x"0b0b0b88", 177 => x"e0040000", 178 => x"00000000", 179 => x"00000000", 180 => x"00000000", 181 => x"00000000", 182 => x"00000000", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80cfe00c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"83853f80", 257 => x"c6c03f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"b008b408", 281 => x"b8087575", 282 => x"8ee82d50", 283 => x"50b00856", 284 => x"b80cb40c", 285 => x"b00c5104", 286 => x"b008b408", 287 => x"b8087575", 288 => x"8db62d50", 289 => x"50b00856", 290 => x"b80cb40c", 291 => x"b00c5104", 292 => x"b008b408", 293 => x"b8088bb6", 294 => x"2db80cb4", 295 => x"0cb00c04", 296 => x"fe3d0d0b", 297 => x"0b80dfd0", 298 => x"08538413", 299 => x"0870882a", 300 => x"70810651", 301 => x"52527080", 302 => x"2ef03871", 303 => x"81ff06b0", 304 => x"0c843d0d", 305 => x"04ff3d0d", 306 => x"0b0b80df", 307 => x"d0085271", 308 => x"0870882a", 309 => x"81327081", 310 => x"06515151", 311 => x"70f13873", 312 => x"720c833d", 313 => x"0d0480cf", 314 => x"e008802e", 315 => x"a43880cf", 316 => x"e408822e", 317 => x"bd388380", 318 => x"800b0b0b", 319 => x"80dfd00c", 320 => x"82a0800b", 321 => x"80dfd40c", 322 => x"8290800b", 323 => x"80dfd80c", 324 => x"04f88080", 325 => x"80a40b0b", 326 => x"0b80dfd0", 327 => x"0cf88080", 328 => x"82800b80", 329 => x"dfd40cf8", 330 => x"80808480", 331 => x"0b80dfd8", 332 => x"0c0480c0", 333 => x"a8808c0b", 334 => x"0b0b80df", 335 => x"d00c80c0", 336 => x"a880940b", 337 => x"80dfd40c", 338 => x"80cf840b", 339 => x"80dfd80c", 340 => x"04ff3d0d", 341 => x"80dfdc33", 342 => x"5170a738", 343 => x"80cfec08", 344 => x"70085252", 345 => x"70802e94", 346 => x"38841280", 347 => x"cfec0c70", 348 => x"2d80cfec", 349 => x"08700852", 350 => x"5270ee38", 351 => x"810b80df", 352 => x"dc34833d", 353 => x"0d040480", 354 => x"3d0d0b0b", 355 => x"80dfcc08", 356 => x"802e8e38", 357 => x"0b0b0b0b", 358 => x"800b802e", 359 => x"09810685", 360 => x"38823d0d", 361 => x"040b0b80", 362 => x"dfcc510b", 363 => x"0b0bf4d0", 364 => x"3f823d0d", 365 => x"0404803d", 366 => x"0d80dfe8", 367 => x"08811180", 368 => x"dfe80c51", 369 => x"800b8e80", 370 => x"800c823d", 371 => x"0d04f73d", 372 => x"0d7b5487", 373 => x"0b893d80", 374 => x"cff00858", 375 => x"58557417", 376 => x"748f0617", 377 => x"53537133", 378 => x"73347384", 379 => x"2aff1656", 380 => x"54748025", 381 => x"e938800b", 382 => x"8b3d3476", 383 => x"51878e3f", 384 => x"8b3d0d04", 385 => x"f33d0d80", 386 => x"cf9c5187", 387 => x"803f800b", 388 => x"8c80800c", 389 => x"8c808008", 390 => x"8c808408", 391 => x"80cfa053", 392 => x"595286e9", 393 => x"3f715487", 394 => x"0b8d3d80", 395 => x"cff00858", 396 => x"58557417", 397 => x"748f0617", 398 => x"53537133", 399 => x"73347384", 400 => x"2aff1656", 401 => x"54748025", 402 => x"e938800b", 403 => x"8f3d3476", 404 => x"5186ba3f", 405 => x"80cfa851", 406 => x"86b33f77", 407 => x"54870b80", 408 => x"cff0088b", 409 => x"3d595755", 410 => x"7417748f", 411 => x"06175458", 412 => x"72337834", 413 => x"73842aff", 414 => x"16565474", 415 => x"8025e938", 416 => x"800b8c3d", 417 => x"34765186", 418 => x"843f810b", 419 => x"8e80840c", 420 => x"80dfe808", 421 => x"5380dfe8", 422 => x"08547274", 423 => x"2e8f3880", 424 => x"cfb05185", 425 => x"e83f80df", 426 => x"e80853e9", 427 => x"3980cfc0", 428 => x"5185da3f", 429 => x"e039bc08", 430 => x"02bc0cf9", 431 => x"3d0d800b", 432 => x"bc08fc05", 433 => x"0cbc0888", 434 => x"05088025", 435 => x"ab38bc08", 436 => x"88050830", 437 => x"bc088805", 438 => x"0c800bbc", 439 => x"08f4050c", 440 => x"bc08fc05", 441 => x"08883881", 442 => x"0bbc08f4", 443 => x"050cbc08", 444 => x"f40508bc", 445 => x"08fc050c", 446 => x"bc088c05", 447 => x"088025ab", 448 => x"38bc088c", 449 => x"050830bc", 450 => x"088c050c", 451 => x"800bbc08", 452 => x"f0050cbc", 453 => x"08fc0508", 454 => x"8838810b", 455 => x"bc08f005", 456 => x"0cbc08f0", 457 => x"0508bc08", 458 => x"fc050c80", 459 => x"53bc088c", 460 => x"050852bc", 461 => x"08880508", 462 => x"5181a73f", 463 => x"b00870bc", 464 => x"08f8050c", 465 => x"54bc08fc", 466 => x"0508802e", 467 => x"8c38bc08", 468 => x"f8050830", 469 => x"bc08f805", 470 => x"0cbc08f8", 471 => x"050870b0", 472 => x"0c54893d", 473 => x"0dbc0c04", 474 => x"bc0802bc", 475 => x"0cfb3d0d", 476 => x"800bbc08", 477 => x"fc050cbc", 478 => x"08880508", 479 => x"80259338", 480 => x"bc088805", 481 => x"0830bc08", 482 => x"88050c81", 483 => x"0bbc08fc", 484 => x"050cbc08", 485 => x"8c050880", 486 => x"258c38bc", 487 => x"088c0508", 488 => x"30bc088c", 489 => x"050c8153", 490 => x"bc088c05", 491 => x"0852bc08", 492 => x"88050851", 493 => x"ad3fb008", 494 => x"70bc08f8", 495 => x"050c54bc", 496 => x"08fc0508", 497 => x"802e8c38", 498 => x"bc08f805", 499 => x"0830bc08", 500 => x"f8050cbc", 501 => x"08f80508", 502 => x"70b00c54", 503 => x"873d0dbc", 504 => x"0c04bc08", 505 => x"02bc0cfd", 506 => x"3d0d810b", 507 => x"bc08fc05", 508 => x"0c800bbc", 509 => x"08f8050c", 510 => x"bc088c05", 511 => x"08bc0888", 512 => x"050827ac", 513 => x"38bc08fc", 514 => x"0508802e", 515 => x"a338800b", 516 => x"bc088c05", 517 => x"08249938", 518 => x"bc088c05", 519 => x"0810bc08", 520 => x"8c050cbc", 521 => x"08fc0508", 522 => x"10bc08fc", 523 => x"050cc939", 524 => x"bc08fc05", 525 => x"08802e80", 526 => x"c938bc08", 527 => x"8c0508bc", 528 => x"08880508", 529 => x"26a138bc", 530 => x"08880508", 531 => x"bc088c05", 532 => x"0831bc08", 533 => x"88050cbc", 534 => x"08f80508", 535 => x"bc08fc05", 536 => x"0807bc08", 537 => x"f8050cbc", 538 => x"08fc0508", 539 => x"812abc08", 540 => x"fc050cbc", 541 => x"088c0508", 542 => x"812abc08", 543 => x"8c050cff", 544 => x"af39bc08", 545 => x"90050880", 546 => x"2e8f38bc", 547 => x"08880508", 548 => x"70bc08f4", 549 => x"050c518d", 550 => x"39bc08f8", 551 => x"050870bc", 552 => x"08f4050c", 553 => x"51bc08f4", 554 => x"0508b00c", 555 => x"853d0dbc", 556 => x"0c04fc3d", 557 => x"0d767079", 558 => x"7b555555", 559 => x"558f7227", 560 => x"8c387275", 561 => x"07830651", 562 => x"70802ea7", 563 => x"38ff1252", 564 => x"71ff2e98", 565 => x"38727081", 566 => x"05543374", 567 => x"70810556", 568 => x"34ff1252", 569 => x"71ff2e09", 570 => x"8106ea38", 571 => x"74b00c86", 572 => x"3d0d0474", 573 => x"51727084", 574 => x"05540871", 575 => x"70840553", 576 => x"0c727084", 577 => x"05540871", 578 => x"70840553", 579 => x"0c727084", 580 => x"05540871", 581 => x"70840553", 582 => x"0c727084", 583 => x"05540871", 584 => x"70840553", 585 => x"0cf01252", 586 => x"718f26c9", 587 => x"38837227", 588 => x"95387270", 589 => x"84055408", 590 => x"71708405", 591 => x"530cfc12", 592 => x"52718326", 593 => x"ed387054", 594 => x"ff8339f7", 595 => x"3d0d7c70", 596 => x"525380ca", 597 => x"3f7254b0", 598 => x"08550b0b", 599 => x"80cfcc56", 600 => x"8157b008", 601 => x"81055a8b", 602 => x"3de41159", 603 => x"538259f4", 604 => x"13527b88", 605 => x"11085253", 606 => x"81833fb0", 607 => x"083070b0", 608 => x"08079f2c", 609 => x"8a07b00c", 610 => x"538b3d0d", 611 => x"04ff3d0d", 612 => x"735280cf", 613 => x"f40851ff", 614 => x"b23f833d", 615 => x"0d04fd3d", 616 => x"0d757071", 617 => x"83065355", 618 => x"5270b838", 619 => x"71700870", 620 => x"09f7fbfd", 621 => x"ff120670", 622 => x"f8848281", 623 => x"80065151", 624 => x"5253709d", 625 => x"38841370", 626 => x"087009f7", 627 => x"fbfdff12", 628 => x"0670f884", 629 => x"82818006", 630 => x"51515253", 631 => x"70802ee5", 632 => x"38725271", 633 => x"33517080", 634 => x"2e8a3881", 635 => x"12703352", 636 => x"5270f838", 637 => x"717431b0", 638 => x"0c853d0d", 639 => x"04f23d0d", 640 => x"60628811", 641 => x"08705757", 642 => x"5f5a7480", 643 => x"2e818f38", 644 => x"8c1a2270", 645 => x"832a8132", 646 => x"70810651", 647 => x"55587386", 648 => x"38901a08", 649 => x"91387951", 650 => x"90a13fff", 651 => x"54b00880", 652 => x"ed388c1a", 653 => x"22587d08", 654 => x"57807883", 655 => x"ffff0670", 656 => x"812a7081", 657 => x"06515657", 658 => x"5573752e", 659 => x"80d73874", 660 => x"90387608", 661 => x"84180888", 662 => x"19595659", 663 => x"74802ef2", 664 => x"38745488", 665 => x"80752784", 666 => x"38888054", 667 => x"73537852", 668 => x"9c1a0851", 669 => x"a41a0854", 670 => x"732d800b", 671 => x"b0082582", 672 => x"e638b008", 673 => x"1975b008", 674 => x"317f8805", 675 => x"08b00831", 676 => x"70618805", 677 => x"0c565659", 678 => x"73ffb438", 679 => x"805473b0", 680 => x"0c903d0d", 681 => x"04758132", 682 => x"70810676", 683 => x"41515473", 684 => x"802e81c1", 685 => x"38749038", 686 => x"76088418", 687 => x"08881959", 688 => x"56597480", 689 => x"2ef23888", 690 => x"1a087883", 691 => x"ffff0670", 692 => x"892a7081", 693 => x"06515659", 694 => x"5673802e", 695 => x"82fa3875", 696 => x"75278d38", 697 => x"77872a70", 698 => x"81065154", 699 => x"7382b538", 700 => x"74762783", 701 => x"38745675", 702 => x"53785279", 703 => x"08518582", 704 => x"3f881a08", 705 => x"7631881b", 706 => x"0c790816", 707 => x"7a0c7456", 708 => x"75197577", 709 => x"317f8805", 710 => x"08783170", 711 => x"6188050c", 712 => x"56565973", 713 => x"802efef4", 714 => x"388c1a22", 715 => x"58ff8639", 716 => x"77785479", 717 => x"537b5256", 718 => x"84c83f88", 719 => x"1a087831", 720 => x"881b0c79", 721 => x"08187a0c", 722 => x"7c76315d", 723 => x"7c8e3879", 724 => x"518fdb3f", 725 => x"b008818f", 726 => x"38b0085f", 727 => x"75197577", 728 => x"317f8805", 729 => x"08783170", 730 => x"6188050c", 731 => x"56565973", 732 => x"802efea8", 733 => x"38748183", 734 => x"38760884", 735 => x"18088819", 736 => x"59565974", 737 => x"802ef238", 738 => x"74538a52", 739 => x"785182d3", 740 => x"3fb00879", 741 => x"3181055d", 742 => x"b0088438", 743 => x"81155d81", 744 => x"5f7c5874", 745 => x"7d278338", 746 => x"7458941a", 747 => x"08881b08", 748 => x"11575c80", 749 => x"7a085c54", 750 => x"901a087b", 751 => x"27833881", 752 => x"54757825", 753 => x"843873ba", 754 => x"387b7824", 755 => x"fee2387b", 756 => x"5378529c", 757 => x"1a0851a4", 758 => x"1a085473", 759 => x"2db00856", 760 => x"b0088024", 761 => x"fee2388c", 762 => x"1a2280c0", 763 => x"0754738c", 764 => x"1b23ff54", 765 => x"73b00c90", 766 => x"3d0d047e", 767 => x"ffa338ff", 768 => x"87397553", 769 => x"78527a51", 770 => x"82f83f79", 771 => x"08167a0c", 772 => x"79518e9a", 773 => x"3fb008cf", 774 => x"387c7631", 775 => x"5d7cfebc", 776 => x"38feac39", 777 => x"901a087a", 778 => x"08713176", 779 => x"1170565a", 780 => x"575280cf", 781 => x"f4085184", 782 => x"8c3fb008", 783 => x"802effa7", 784 => x"38b00890", 785 => x"1b0cb008", 786 => x"167a0c77", 787 => x"941b0c74", 788 => x"881b0c74", 789 => x"56fd9939", 790 => x"79085890", 791 => x"1a087827", 792 => x"83388154", 793 => x"75752784", 794 => x"3873b338", 795 => x"941a0856", 796 => x"75752680", 797 => x"d3387553", 798 => x"78529c1a", 799 => x"0851a41a", 800 => x"0854732d", 801 => x"b00856b0", 802 => x"088024fd", 803 => x"83388c1a", 804 => x"2280c007", 805 => x"54738c1b", 806 => x"23ff54fe", 807 => x"d7397553", 808 => x"78527751", 809 => x"81dc3f79", 810 => x"08167a0c", 811 => x"79518cfe", 812 => x"3fb00880", 813 => x"2efcd938", 814 => x"8c1a2280", 815 => x"c0075473", 816 => x"8c1b23ff", 817 => x"54fead39", 818 => x"74755479", 819 => x"53785256", 820 => x"81b03f88", 821 => x"1a087531", 822 => x"881b0c79", 823 => x"08157a0c", 824 => x"fcae39fa", 825 => x"3d0d7a79", 826 => x"028805a7", 827 => x"05335652", 828 => x"53837327", 829 => x"8a387083", 830 => x"06527180", 831 => x"2ea838ff", 832 => x"135372ff", 833 => x"2e973870", 834 => x"33527372", 835 => x"2e913881", 836 => x"11ff1454", 837 => x"5172ff2e", 838 => x"098106eb", 839 => x"38805170", 840 => x"b00c883d", 841 => x"0d047072", 842 => x"57558351", 843 => x"75828029", 844 => x"14ff1252", 845 => x"56708025", 846 => x"f3388373", 847 => x"27bf3874", 848 => x"08763270", 849 => x"09f7fbfd", 850 => x"ff120670", 851 => x"f8848281", 852 => x"80065151", 853 => x"5170802e", 854 => x"99387451", 855 => x"80527033", 856 => x"5773772e", 857 => x"ffb93881", 858 => x"11811353", 859 => x"51837227", 860 => x"ed38fc13", 861 => x"84165653", 862 => x"728326c3", 863 => x"387451fe", 864 => x"fe39fa3d", 865 => x"0d787a7c", 866 => x"72727257", 867 => x"57575956", 868 => x"56747627", 869 => x"b2387615", 870 => x"51757127", 871 => x"aa387077", 872 => x"17ff1454", 873 => x"555371ff", 874 => x"2e9638ff", 875 => x"14ff1454", 876 => x"54723374", 877 => x"34ff1252", 878 => x"71ff2e09", 879 => x"8106ec38", 880 => x"75b00c88", 881 => x"3d0d0476", 882 => x"8f269738", 883 => x"ff125271", 884 => x"ff2eed38", 885 => x"72708105", 886 => x"54337470", 887 => x"81055634", 888 => x"eb397476", 889 => x"07830651", 890 => x"70e23875", 891 => x"75545172", 892 => x"70840554", 893 => x"08717084", 894 => x"05530c72", 895 => x"70840554", 896 => x"08717084", 897 => x"05530c72", 898 => x"70840554", 899 => x"08717084", 900 => x"05530c72", 901 => x"70840554", 902 => x"08717084", 903 => x"05530cf0", 904 => x"1252718f", 905 => x"26c93883", 906 => x"72279538", 907 => x"72708405", 908 => x"54087170", 909 => x"8405530c", 910 => x"fc125271", 911 => x"8326ed38", 912 => x"7054ff88", 913 => x"39ef3d0d", 914 => x"63656740", 915 => x"5d427b80", 916 => x"2e84fa38", 917 => x"6151a5b4", 918 => x"3ff81c70", 919 => x"84120870", 920 => x"fc067062", 921 => x"8b0570f8", 922 => x"06415945", 923 => x"5b5c4157", 924 => x"96742782", 925 => x"c338807b", 926 => x"247e7c26", 927 => x"07598054", 928 => x"78742e09", 929 => x"810682a9", 930 => x"38777b25", 931 => x"81fc3877", 932 => x"1780d7b0", 933 => x"0b880508", 934 => x"5e567c76", 935 => x"2e84bd38", 936 => x"84160870", 937 => x"fe061784", 938 => x"11088106", 939 => x"51555573", 940 => x"828b3874", 941 => x"fc06597c", 942 => x"762e84dd", 943 => x"3877195f", 944 => x"7e7b2581", 945 => x"fd387981", 946 => x"06547382", 947 => x"bf387677", 948 => x"08318411", 949 => x"08fc0656", 950 => x"5a75802e", 951 => x"91387c76", 952 => x"2e84ea38", 953 => x"74191859", 954 => x"787b2584", 955 => x"89387980", 956 => x"2e829938", 957 => x"7715567a", 958 => x"76248290", 959 => x"388c1a08", 960 => x"881b0871", 961 => x"8c120c88", 962 => x"120c5579", 963 => x"76595788", 964 => x"1761fc05", 965 => x"575975a4", 966 => x"2685ef38", 967 => x"7b795555", 968 => x"93762780", 969 => x"c9387b70", 970 => x"84055d08", 971 => x"7c56790c", 972 => x"74708405", 973 => x"56088c18", 974 => x"0c901754", 975 => x"9b7627ae", 976 => x"38747084", 977 => x"05560874", 978 => x"0c747084", 979 => x"05560894", 980 => x"180c9817", 981 => x"54a37627", 982 => x"95387470", 983 => x"84055608", 984 => x"740c7470", 985 => x"84055608", 986 => x"9c180ca0", 987 => x"17547470", 988 => x"84055608", 989 => x"74708405", 990 => x"560c7470", 991 => x"84055608", 992 => x"74708405", 993 => x"560c7408", 994 => x"740c777b", 995 => x"3156758f", 996 => x"2680c938", 997 => x"84170881", 998 => x"06780784", 999 => x"180c7717", 1000 => x"84110881", 1001 => x"0784120c", 1002 => x"546151a2", 1003 => x"e03f8817", 1004 => x"5473b00c", 1005 => x"933d0d04", 1006 => x"905bfdba", 1007 => x"397856fe", 1008 => x"85398c16", 1009 => x"08881708", 1010 => x"718c120c", 1011 => x"88120c55", 1012 => x"7e707c31", 1013 => x"57588f76", 1014 => x"27ffb938", 1015 => x"7a178418", 1016 => x"0881067c", 1017 => x"0784190c", 1018 => x"76810784", 1019 => x"120c7611", 1020 => x"84110881", 1021 => x"0784120c", 1022 => x"55880552", 1023 => x"61518cf6", 1024 => x"3f6151a2", 1025 => x"883f8817", 1026 => x"54ffa639", 1027 => x"7d526151", 1028 => x"94f53fb0", 1029 => x"0859b008", 1030 => x"802e81a3", 1031 => x"38b008f8", 1032 => x"05608405", 1033 => x"08fe0661", 1034 => x"05555776", 1035 => x"742e83e6", 1036 => x"38fc1856", 1037 => x"75a42681", 1038 => x"aa387bb0", 1039 => x"08555593", 1040 => x"762780d8", 1041 => x"38747084", 1042 => x"055608b0", 1043 => x"08708405", 1044 => x"b00c0cb0", 1045 => x"08757084", 1046 => x"05570871", 1047 => x"70840553", 1048 => x"0c549b76", 1049 => x"27b63874", 1050 => x"70840556", 1051 => x"08747084", 1052 => x"05560c74", 1053 => x"70840556", 1054 => x"08747084", 1055 => x"05560ca3", 1056 => x"76279938", 1057 => x"74708405", 1058 => x"56087470", 1059 => x"8405560c", 1060 => x"74708405", 1061 => x"56087470", 1062 => x"8405560c", 1063 => x"74708405", 1064 => x"56087470", 1065 => x"8405560c", 1066 => x"74708405", 1067 => x"56087470", 1068 => x"8405560c", 1069 => x"7408740c", 1070 => x"7b526151", 1071 => x"8bb83f61", 1072 => x"51a0ca3f", 1073 => x"785473b0", 1074 => x"0c933d0d", 1075 => x"047d5261", 1076 => x"5193b43f", 1077 => x"b008b00c", 1078 => x"933d0d04", 1079 => x"84160855", 1080 => x"fbd13975", 1081 => x"537b52b0", 1082 => x"0851efc6", 1083 => x"3f7b5261", 1084 => x"518b833f", 1085 => x"ca398c16", 1086 => x"08881708", 1087 => x"718c120c", 1088 => x"88120c55", 1089 => x"8c1a0888", 1090 => x"1b08718c", 1091 => x"120c8812", 1092 => x"0c557979", 1093 => x"5957fbf7", 1094 => x"39771990", 1095 => x"1c555573", 1096 => x"7524fba2", 1097 => x"387a1770", 1098 => x"80d7b00b", 1099 => x"88050c75", 1100 => x"7c318107", 1101 => x"84120c5d", 1102 => x"84170881", 1103 => x"067b0784", 1104 => x"180c6151", 1105 => x"9fc73f88", 1106 => x"1754fce5", 1107 => x"39741918", 1108 => x"901c555d", 1109 => x"737d24fb", 1110 => x"95388c1a", 1111 => x"08881b08", 1112 => x"718c120c", 1113 => x"88120c55", 1114 => x"881a61fc", 1115 => x"05575975", 1116 => x"a42681ae", 1117 => x"387b7955", 1118 => x"55937627", 1119 => x"80c9387b", 1120 => x"7084055d", 1121 => x"087c5679", 1122 => x"0c747084", 1123 => x"0556088c", 1124 => x"1b0c901a", 1125 => x"549b7627", 1126 => x"ae387470", 1127 => x"84055608", 1128 => x"740c7470", 1129 => x"84055608", 1130 => x"941b0c98", 1131 => x"1a54a376", 1132 => x"27953874", 1133 => x"70840556", 1134 => x"08740c74", 1135 => x"70840556", 1136 => x"089c1b0c", 1137 => x"a01a5474", 1138 => x"70840556", 1139 => x"08747084", 1140 => x"05560c74", 1141 => x"70840556", 1142 => x"08747084", 1143 => x"05560c74", 1144 => x"08740c7a", 1145 => x"1a7080d7", 1146 => x"b00b8805", 1147 => x"0c7d7c31", 1148 => x"81078412", 1149 => x"0c54841a", 1150 => x"0881067b", 1151 => x"07841b0c", 1152 => x"61519e89", 1153 => x"3f7854fd", 1154 => x"bd397553", 1155 => x"7b527851", 1156 => x"eda03ffa", 1157 => x"f5398417", 1158 => x"08fc0618", 1159 => x"605858fa", 1160 => x"e9397553", 1161 => x"7b527851", 1162 => x"ed883f7a", 1163 => x"1a7080d7", 1164 => x"b00b8805", 1165 => x"0c7d7c31", 1166 => x"81078412", 1167 => x"0c54841a", 1168 => x"0881067b", 1169 => x"07841b0c", 1170 => x"ffb639fa", 1171 => x"3d0d7880", 1172 => x"cff40854", 1173 => x"55b81308", 1174 => x"802e81b5", 1175 => x"388c1522", 1176 => x"7083ffff", 1177 => x"0670832a", 1178 => x"81327081", 1179 => x"06515555", 1180 => x"5672802e", 1181 => x"80dc3873", 1182 => x"842a8132", 1183 => x"810657ff", 1184 => x"537680f6", 1185 => x"3873822a", 1186 => x"70810651", 1187 => x"5372802e", 1188 => x"b938b015", 1189 => x"08547380", 1190 => x"2e9c3880", 1191 => x"c0155373", 1192 => x"732e8f38", 1193 => x"735280cf", 1194 => x"f4085187", 1195 => x"c93f8c15", 1196 => x"225676b0", 1197 => x"160c75db", 1198 => x"0653728c", 1199 => x"1623800b", 1200 => x"84160c90", 1201 => x"1508750c", 1202 => x"72567588", 1203 => x"0753728c", 1204 => x"16239015", 1205 => x"08802e80", 1206 => x"c0388c15", 1207 => x"22708106", 1208 => x"5553739d", 1209 => x"3872812a", 1210 => x"70810651", 1211 => x"53728538", 1212 => x"94150854", 1213 => x"7388160c", 1214 => x"805372b0", 1215 => x"0c883d0d", 1216 => x"04800b88", 1217 => x"160c9415", 1218 => x"08309816", 1219 => x"0c8053ea", 1220 => x"39725182", 1221 => x"fb3ffec5", 1222 => x"3974518c", 1223 => x"e83f8c15", 1224 => x"22708106", 1225 => x"55537380", 1226 => x"2effba38", 1227 => x"d439f83d", 1228 => x"0d7a5877", 1229 => x"802e8199", 1230 => x"3880cff4", 1231 => x"0854b814", 1232 => x"08802e80", 1233 => x"ed388c18", 1234 => x"2270902b", 1235 => x"70902c70", 1236 => x"832a8132", 1237 => x"81065c51", 1238 => x"57547880", 1239 => x"cd389018", 1240 => x"08577680", 1241 => x"2e80c338", 1242 => x"77087731", 1243 => x"77790c76", 1244 => x"83067a58", 1245 => x"55557385", 1246 => x"38941808", 1247 => x"56758819", 1248 => x"0c807525", 1249 => x"a5387453", 1250 => x"76529c18", 1251 => x"0851a418", 1252 => x"0854732d", 1253 => x"800bb008", 1254 => x"2580c938", 1255 => x"b0081775", 1256 => x"b0083156", 1257 => x"57748024", 1258 => x"dd38800b", 1259 => x"b00c8a3d", 1260 => x"0d047351", 1261 => x"81da3f8c", 1262 => x"18227090", 1263 => x"2b70902c", 1264 => x"70832a81", 1265 => x"3281065c", 1266 => x"51575478", 1267 => x"dd38ff8e", 1268 => x"39a6ae52", 1269 => x"80cff408", 1270 => x"5189f13f", 1271 => x"b008b00c", 1272 => x"8a3d0d04", 1273 => x"8c182280", 1274 => x"c0075473", 1275 => x"8c1923ff", 1276 => x"0bb00c8a", 1277 => x"3d0d0480", 1278 => x"3d0d7251", 1279 => x"80710c80", 1280 => x"0b84120c", 1281 => x"800b8812", 1282 => x"0c028e05", 1283 => x"228c1223", 1284 => x"02920522", 1285 => x"8e122380", 1286 => x"0b90120c", 1287 => x"800b9412", 1288 => x"0c800b98", 1289 => x"120c709c", 1290 => x"120c80c2", 1291 => x"c20ba012", 1292 => x"0c80c38e", 1293 => x"0ba4120c", 1294 => x"80c48a0b", 1295 => x"a8120c80", 1296 => x"c4db0bac", 1297 => x"120c823d", 1298 => x"0d04fa3d", 1299 => x"0d797080", 1300 => x"dc298c11", 1301 => x"547a5356", 1302 => x"578cac3f", 1303 => x"b008b008", 1304 => x"5556b008", 1305 => x"802ea238", 1306 => x"b0088c05", 1307 => x"54800bb0", 1308 => x"080c76b0", 1309 => x"0884050c", 1310 => x"73b00888", 1311 => x"050c7453", 1312 => x"80527351", 1313 => x"97f73f75", 1314 => x"5473b00c", 1315 => x"883d0d04", 1316 => x"fc3d0d76", 1317 => x"aba30bbc", 1318 => x"120c5581", 1319 => x"0bb8160c", 1320 => x"800b84dc", 1321 => x"160c830b", 1322 => x"84e0160c", 1323 => x"84e81584", 1324 => x"e4160c74", 1325 => x"54805384", 1326 => x"52841508", 1327 => x"51feb83f", 1328 => x"74548153", 1329 => x"89528815", 1330 => x"0851feab", 1331 => x"3f745482", 1332 => x"538a528c", 1333 => x"150851fe", 1334 => x"9e3f863d", 1335 => x"0d04f93d", 1336 => x"0d7980cf", 1337 => x"f4085457", 1338 => x"b8130880", 1339 => x"2e80c838", 1340 => x"84dc1356", 1341 => x"88160884", 1342 => x"1708ff05", 1343 => x"55558074", 1344 => x"249f388c", 1345 => x"15227090", 1346 => x"2b70902c", 1347 => x"51545872", 1348 => x"802e80ca", 1349 => x"3880dc15", 1350 => x"ff155555", 1351 => x"738025e3", 1352 => x"38750853", 1353 => x"72802e9f", 1354 => x"38725688", 1355 => x"16088417", 1356 => x"08ff0555", 1357 => x"55c83972", 1358 => x"51fed53f", 1359 => x"80cff408", 1360 => x"84dc0556", 1361 => x"ffae3984", 1362 => x"527651fd", 1363 => x"fd3fb008", 1364 => x"760cb008", 1365 => x"802e80c0", 1366 => x"38b00856", 1367 => x"ce39810b", 1368 => x"8c162372", 1369 => x"750c7288", 1370 => x"160c7284", 1371 => x"160c7290", 1372 => x"160c7294", 1373 => x"160c7298", 1374 => x"160cff0b", 1375 => x"8e162372", 1376 => x"b0160c72", 1377 => x"b4160c72", 1378 => x"80c4160c", 1379 => x"7280c816", 1380 => x"0c74b00c", 1381 => x"893d0d04", 1382 => x"8c770c80", 1383 => x"0bb00c89", 1384 => x"3d0d04ff", 1385 => x"3d0da6ae", 1386 => x"52735186", 1387 => x"9f3f833d", 1388 => x"0d04803d", 1389 => x"0d80cff4", 1390 => x"0851e83f", 1391 => x"823d0d04", 1392 => x"fb3d0d77", 1393 => x"70525696", 1394 => x"c33f80d7", 1395 => x"b00b8805", 1396 => x"08841108", 1397 => x"fc06707b", 1398 => x"319fef05", 1399 => x"e08006e0", 1400 => x"80055656", 1401 => x"53a08074", 1402 => x"24943880", 1403 => x"52755196", 1404 => x"9d3f80d7", 1405 => x"b8081553", 1406 => x"72b0082e", 1407 => x"8f387551", 1408 => x"968b3f80", 1409 => x"5372b00c", 1410 => x"873d0d04", 1411 => x"73305275", 1412 => x"5195fb3f", 1413 => x"b008ff2e", 1414 => x"a83880d7", 1415 => x"b00b8805", 1416 => x"08757531", 1417 => x"81078412", 1418 => x"0c5380d6", 1419 => x"f4087431", 1420 => x"80d6f40c", 1421 => x"755195d5", 1422 => x"3f810bb0", 1423 => x"0c873d0d", 1424 => x"04805275", 1425 => x"5195c73f", 1426 => x"80d7b00b", 1427 => x"880508b0", 1428 => x"08713156", 1429 => x"538f7525", 1430 => x"ffa438b0", 1431 => x"0880d7a4", 1432 => x"083180d6", 1433 => x"f40c7481", 1434 => x"0784140c", 1435 => x"7551959d", 1436 => x"3f8053ff", 1437 => x"9039f63d", 1438 => x"0d7c7e54", 1439 => x"5b72802e", 1440 => x"8283387a", 1441 => x"5195853f", 1442 => x"f8138411", 1443 => x"0870fe06", 1444 => x"70138411", 1445 => x"08fc065d", 1446 => x"58595458", 1447 => x"80d7b808", 1448 => x"752e82de", 1449 => x"38788416", 1450 => x"0c807381", 1451 => x"06545a72", 1452 => x"7a2e81d5", 1453 => x"38781584", 1454 => x"11088106", 1455 => x"515372a0", 1456 => x"38781757", 1457 => x"7981e638", 1458 => x"88150853", 1459 => x"7280d7b8", 1460 => x"2e82f938", 1461 => x"8c150870", 1462 => x"8c150c73", 1463 => x"88120c56", 1464 => x"76810784", 1465 => x"190c7618", 1466 => x"77710c53", 1467 => x"79819138", 1468 => x"83ff7727", 1469 => x"81c83876", 1470 => x"892a7783", 1471 => x"2a565372", 1472 => x"802ebf38", 1473 => x"76862ab8", 1474 => x"05558473", 1475 => x"27b43880", 1476 => x"db135594", 1477 => x"7327ab38", 1478 => x"768c2a80", 1479 => x"ee055580", 1480 => x"d473279e", 1481 => x"38768f2a", 1482 => x"80f70555", 1483 => x"82d47327", 1484 => x"91387692", 1485 => x"2a80fc05", 1486 => x"558ad473", 1487 => x"27843880", 1488 => x"fe557410", 1489 => x"101080d7", 1490 => x"b0058811", 1491 => x"08555673", 1492 => x"762e82b3", 1493 => x"38841408", 1494 => x"fc065376", 1495 => x"73278d38", 1496 => x"88140854", 1497 => x"73762e09", 1498 => x"8106ea38", 1499 => x"8c140870", 1500 => x"8c1a0c74", 1501 => x"881a0c78", 1502 => x"88120c56", 1503 => x"778c150c", 1504 => x"7a519389", 1505 => x"3f8c3d0d", 1506 => x"04770878", 1507 => x"71315977", 1508 => x"05881908", 1509 => x"54577280", 1510 => x"d7b82e80", 1511 => x"e0388c18", 1512 => x"08708c15", 1513 => x"0c738812", 1514 => x"0c56fe89", 1515 => x"39881508", 1516 => x"8c160870", 1517 => x"8c130c57", 1518 => x"88170cfe", 1519 => x"a3397683", 1520 => x"2a705455", 1521 => x"80752481", 1522 => x"98387282", 1523 => x"2c81712b", 1524 => x"80d7b408", 1525 => x"0780d7b0", 1526 => x"0b84050c", 1527 => x"53741010", 1528 => x"1080d7b0", 1529 => x"05881108", 1530 => x"5556758c", 1531 => x"190c7388", 1532 => x"190c7788", 1533 => x"170c778c", 1534 => x"150cff84", 1535 => x"39815afd", 1536 => x"b4397817", 1537 => x"73810654", 1538 => x"57729838", 1539 => x"77087871", 1540 => x"31597705", 1541 => x"8c190888", 1542 => x"1a08718c", 1543 => x"120c8812", 1544 => x"0c575776", 1545 => x"81078419", 1546 => x"0c7780d7", 1547 => x"b00b8805", 1548 => x"0c80d7ac", 1549 => x"087726fe", 1550 => x"c73880d7", 1551 => x"a808527a", 1552 => x"51fafd3f", 1553 => x"7a5191c5", 1554 => x"3ffeba39", 1555 => x"81788c15", 1556 => x"0c788815", 1557 => x"0c738c1a", 1558 => x"0c73881a", 1559 => x"0c5afd80", 1560 => x"39831570", 1561 => x"822c8171", 1562 => x"2b80d7b4", 1563 => x"080780d7", 1564 => x"b00b8405", 1565 => x"0c515374", 1566 => x"10101080", 1567 => x"d7b00588", 1568 => x"11085556", 1569 => x"fee43974", 1570 => x"53807524", 1571 => x"a7387282", 1572 => x"2c81712b", 1573 => x"80d7b408", 1574 => x"0780d7b0", 1575 => x"0b84050c", 1576 => x"53758c19", 1577 => x"0c738819", 1578 => x"0c778817", 1579 => x"0c778c15", 1580 => x"0cfdcd39", 1581 => x"83157082", 1582 => x"2c81712b", 1583 => x"80d7b408", 1584 => x"0780d7b0", 1585 => x"0b84050c", 1586 => x"5153d639", 1587 => x"f93d0d79", 1588 => x"7b585380", 1589 => x"0b80cff4", 1590 => x"08535672", 1591 => x"722e80c0", 1592 => x"3884dc13", 1593 => x"5574762e", 1594 => x"b7388815", 1595 => x"08841608", 1596 => x"ff055454", 1597 => x"8073249d", 1598 => x"388c1422", 1599 => x"70902b70", 1600 => x"902c5153", 1601 => x"587180d8", 1602 => x"3880dc14", 1603 => x"ff145454", 1604 => x"728025e5", 1605 => x"38740855", 1606 => x"74d03880", 1607 => x"cff40852", 1608 => x"84dc1255", 1609 => x"74802eb1", 1610 => x"38881508", 1611 => x"841608ff", 1612 => x"05545480", 1613 => x"73249c38", 1614 => x"8c142270", 1615 => x"902b7090", 1616 => x"2c515358", 1617 => x"71ad3880", 1618 => x"dc14ff14", 1619 => x"54547280", 1620 => x"25e63874", 1621 => x"085574d1", 1622 => x"3875b00c", 1623 => x"893d0d04", 1624 => x"7351762d", 1625 => x"75b00807", 1626 => x"80dc15ff", 1627 => x"15555556", 1628 => x"ff9e3973", 1629 => x"51762d75", 1630 => x"b0080780", 1631 => x"dc15ff15", 1632 => x"555556ca", 1633 => x"39ea3d0d", 1634 => x"688c1122", 1635 => x"70812a81", 1636 => x"06575856", 1637 => x"7480e438", 1638 => x"8e162270", 1639 => x"902b7090", 1640 => x"2c515558", 1641 => x"807424b1", 1642 => x"38983dc4", 1643 => x"05537352", 1644 => x"80cff408", 1645 => x"5192ac3f", 1646 => x"800bb008", 1647 => x"24973879", 1648 => x"83e08006", 1649 => x"547380c0", 1650 => x"802e818f", 1651 => x"38738280", 1652 => x"802e8191", 1653 => x"388c1622", 1654 => x"57769080", 1655 => x"0754738c", 1656 => x"17238880", 1657 => x"5280cff4", 1658 => x"0851819b", 1659 => x"3fb0089d", 1660 => x"388c1622", 1661 => x"82075473", 1662 => x"8c172380", 1663 => x"c3167077", 1664 => x"0c90170c", 1665 => x"810b9417", 1666 => x"0c983d0d", 1667 => x"0480cff4", 1668 => x"08aba30b", 1669 => x"bc120c54", 1670 => x"8c162281", 1671 => x"80075473", 1672 => x"8c1723b0", 1673 => x"08760cb0", 1674 => x"0890170c", 1675 => x"88800b94", 1676 => x"170c7480", 1677 => x"2ed3388e", 1678 => x"16227090", 1679 => x"2b70902c", 1680 => x"53555898", 1681 => x"a63fb008", 1682 => x"802effbd", 1683 => x"388c1622", 1684 => x"81075473", 1685 => x"8c172398", 1686 => x"3d0d0481", 1687 => x"0b8c1722", 1688 => x"5855fef5", 1689 => x"39a81608", 1690 => x"80c48a2e", 1691 => x"098106fe", 1692 => x"e4388c16", 1693 => x"22888007", 1694 => x"54738c17", 1695 => x"2388800b", 1696 => x"80cc170c", 1697 => x"fedc39f3", 1698 => x"3d0d7f61", 1699 => x"8b1170f8", 1700 => x"065c5555", 1701 => x"5e729626", 1702 => x"83389059", 1703 => x"80792474", 1704 => x"7a260753", 1705 => x"80547274", 1706 => x"2e098106", 1707 => x"80cb387d", 1708 => x"518cd93f", 1709 => x"7883f726", 1710 => x"80c63878", 1711 => x"832a7010", 1712 => x"101080d7", 1713 => x"b0058c11", 1714 => x"0859595a", 1715 => x"76782e83", 1716 => x"b0388417", 1717 => x"08fc0656", 1718 => x"8c170888", 1719 => x"1808718c", 1720 => x"120c8812", 1721 => x"0c587517", 1722 => x"84110881", 1723 => x"0784120c", 1724 => x"537d518c", 1725 => x"983f8817", 1726 => x"5473b00c", 1727 => x"8f3d0d04", 1728 => x"78892a79", 1729 => x"832a5b53", 1730 => x"72802ebf", 1731 => x"3878862a", 1732 => x"b8055a84", 1733 => x"7327b438", 1734 => x"80db135a", 1735 => x"947327ab", 1736 => x"38788c2a", 1737 => x"80ee055a", 1738 => x"80d47327", 1739 => x"9e38788f", 1740 => x"2a80f705", 1741 => x"5a82d473", 1742 => x"27913878", 1743 => x"922a80fc", 1744 => x"055a8ad4", 1745 => x"73278438", 1746 => x"80fe5a79", 1747 => x"10101080", 1748 => x"d7b0058c", 1749 => x"11085855", 1750 => x"76752ea3", 1751 => x"38841708", 1752 => x"fc06707a", 1753 => x"31555673", 1754 => x"8f2488d5", 1755 => x"38738025", 1756 => x"fee6388c", 1757 => x"17085776", 1758 => x"752e0981", 1759 => x"06df3881", 1760 => x"1a5a80d7", 1761 => x"c0085776", 1762 => x"80d7b82e", 1763 => x"82c03884", 1764 => x"1708fc06", 1765 => x"707a3155", 1766 => x"56738f24", 1767 => x"81f93880", 1768 => x"d7b80b80", 1769 => x"d7c40c80", 1770 => x"d7b80b80", 1771 => x"d7c00c73", 1772 => x"8025feb2", 1773 => x"3883ff76", 1774 => x"2783df38", 1775 => x"75892a76", 1776 => x"832a5553", 1777 => x"72802ebf", 1778 => x"3875862a", 1779 => x"b8055484", 1780 => x"7327b438", 1781 => x"80db1354", 1782 => x"947327ab", 1783 => x"38758c2a", 1784 => x"80ee0554", 1785 => x"80d47327", 1786 => x"9e38758f", 1787 => x"2a80f705", 1788 => x"5482d473", 1789 => x"27913875", 1790 => x"922a80fc", 1791 => x"05548ad4", 1792 => x"73278438", 1793 => x"80fe5473", 1794 => x"10101080", 1795 => x"d7b00588", 1796 => x"11085658", 1797 => x"74782e86", 1798 => x"cf388415", 1799 => x"08fc0653", 1800 => x"7573278d", 1801 => x"38881508", 1802 => x"5574782e", 1803 => x"098106ea", 1804 => x"388c1508", 1805 => x"80d7b00b", 1806 => x"84050871", 1807 => x"8c1a0c76", 1808 => x"881a0c78", 1809 => x"88130c78", 1810 => x"8c180c5d", 1811 => x"58795380", 1812 => x"7a2483e6", 1813 => x"3872822c", 1814 => x"81712b5c", 1815 => x"537a7c26", 1816 => x"8198387b", 1817 => x"7b065372", 1818 => x"82f13879", 1819 => x"fc068405", 1820 => x"5a7a1070", 1821 => x"7d06545b", 1822 => x"7282e038", 1823 => x"841a5af1", 1824 => x"3988178c", 1825 => x"11085858", 1826 => x"76782e09", 1827 => x"8106fcc2", 1828 => x"38821a5a", 1829 => x"fdec3978", 1830 => x"17798107", 1831 => x"84190c70", 1832 => x"80d7c40c", 1833 => x"7080d7c0", 1834 => x"0c80d7b8", 1835 => x"0b8c120c", 1836 => x"8c110888", 1837 => x"120c7481", 1838 => x"0784120c", 1839 => x"74117571", 1840 => x"0c51537d", 1841 => x"5188c63f", 1842 => x"881754fc", 1843 => x"ac3980d7", 1844 => x"b00b8405", 1845 => x"087a545c", 1846 => x"798025fe", 1847 => x"f83882da", 1848 => x"397a097c", 1849 => x"067080d7", 1850 => x"b00b8405", 1851 => x"0c5c7a10", 1852 => x"5b7a7c26", 1853 => x"85387a85", 1854 => x"b83880d7", 1855 => x"b00b8805", 1856 => x"08708412", 1857 => x"08fc0670", 1858 => x"7c317c72", 1859 => x"268f7225", 1860 => x"0757575c", 1861 => x"5d557280", 1862 => x"2e80db38", 1863 => x"797a1680", 1864 => x"d7a8081b", 1865 => x"90115a55", 1866 => x"575b80d7", 1867 => x"a408ff2e", 1868 => x"8838a08f", 1869 => x"13e08006", 1870 => x"5776527d", 1871 => x"5187cf3f", 1872 => x"b00854b0", 1873 => x"08ff2e90", 1874 => x"38b00876", 1875 => x"27829938", 1876 => x"7480d7b0", 1877 => x"2e829138", 1878 => x"80d7b00b", 1879 => x"88050855", 1880 => x"841508fc", 1881 => x"06707a31", 1882 => x"7a72268f", 1883 => x"72250752", 1884 => x"55537283", 1885 => x"e6387479", 1886 => x"81078417", 1887 => x"0c791670", 1888 => x"80d7b00b", 1889 => x"88050c75", 1890 => x"81078412", 1891 => x"0c547e52", 1892 => x"5786fa3f", 1893 => x"881754fa", 1894 => x"e0397583", 1895 => x"2a705454", 1896 => x"80742481", 1897 => x"9b387282", 1898 => x"2c81712b", 1899 => x"80d7b408", 1900 => x"077080d7", 1901 => x"b00b8405", 1902 => x"0c751010", 1903 => x"1080d7b0", 1904 => x"05881108", 1905 => x"585a5d53", 1906 => x"778c180c", 1907 => x"7488180c", 1908 => x"7688190c", 1909 => x"768c160c", 1910 => x"fcf33979", 1911 => x"7a101010", 1912 => x"80d7b005", 1913 => x"7057595d", 1914 => x"8c150857", 1915 => x"76752ea3", 1916 => x"38841708", 1917 => x"fc06707a", 1918 => x"31555673", 1919 => x"8f2483ca", 1920 => x"38738025", 1921 => x"8481388c", 1922 => x"17085776", 1923 => x"752e0981", 1924 => x"06df3888", 1925 => x"15811b70", 1926 => x"8306555b", 1927 => x"5572c938", 1928 => x"7c830653", 1929 => x"72802efd", 1930 => x"b838ff1d", 1931 => x"f819595d", 1932 => x"88180878", 1933 => x"2eea38fd", 1934 => x"b539831a", 1935 => x"53fc9639", 1936 => x"83147082", 1937 => x"2c81712b", 1938 => x"80d7b408", 1939 => x"077080d7", 1940 => x"b00b8405", 1941 => x"0c761010", 1942 => x"1080d7b0", 1943 => x"05881108", 1944 => x"595b5e51", 1945 => x"53fee139", 1946 => x"80d6f408", 1947 => x"1758b008", 1948 => x"762e818d", 1949 => x"3880d7a4", 1950 => x"08ff2e83", 1951 => x"ec387376", 1952 => x"311880d6", 1953 => x"f40c7387", 1954 => x"06705753", 1955 => x"72802e88", 1956 => x"38887331", 1957 => x"70155556", 1958 => x"76149fff", 1959 => x"06a08071", 1960 => x"31177054", 1961 => x"7f535753", 1962 => x"84e43fb0", 1963 => x"0853b008", 1964 => x"ff2e81a0", 1965 => x"3880d6f4", 1966 => x"08167080", 1967 => x"d6f40c74", 1968 => x"7580d7b0", 1969 => x"0b88050c", 1970 => x"74763118", 1971 => x"70810751", 1972 => x"5556587b", 1973 => x"80d7b02e", 1974 => x"839c3879", 1975 => x"8f2682cb", 1976 => x"38810b84", 1977 => x"150c8415", 1978 => x"08fc0670", 1979 => x"7a317a72", 1980 => x"268f7225", 1981 => x"07525553", 1982 => x"72802efc", 1983 => x"f93880db", 1984 => x"39b0089f", 1985 => x"ff065372", 1986 => x"feeb3877", 1987 => x"80d6f40c", 1988 => x"80d7b00b", 1989 => x"8805087b", 1990 => x"18810784", 1991 => x"120c5580", 1992 => x"d7a00878", 1993 => x"27863877", 1994 => x"80d7a00c", 1995 => x"80d79c08", 1996 => x"7827fcac", 1997 => x"387780d7", 1998 => x"9c0c8415", 1999 => x"08fc0670", 2000 => x"7a317a72", 2001 => x"268f7225", 2002 => x"07525553", 2003 => x"72802efc", 2004 => x"a5388839", 2005 => x"80745456", 2006 => x"fedb397d", 2007 => x"5183ae3f", 2008 => x"800bb00c", 2009 => x"8f3d0d04", 2010 => x"73538074", 2011 => x"24a93872", 2012 => x"822c8171", 2013 => x"2b80d7b4", 2014 => x"08077080", 2015 => x"d7b00b84", 2016 => x"050c5d53", 2017 => x"778c180c", 2018 => x"7488180c", 2019 => x"7688190c", 2020 => x"768c160c", 2021 => x"f9b73983", 2022 => x"1470822c", 2023 => x"81712b80", 2024 => x"d7b40807", 2025 => x"7080d7b0", 2026 => x"0b84050c", 2027 => x"5e5153d4", 2028 => x"397b7b06", 2029 => x"5372fca3", 2030 => x"38841a7b", 2031 => x"105c5af1", 2032 => x"39ff1a81", 2033 => x"11515af7", 2034 => x"b9397817", 2035 => x"79810784", 2036 => x"190c8c18", 2037 => x"08881908", 2038 => x"718c120c", 2039 => x"88120c59", 2040 => x"7080d7c4", 2041 => x"0c7080d7", 2042 => x"c00c80d7", 2043 => x"b80b8c12", 2044 => x"0c8c1108", 2045 => x"88120c74", 2046 => x"81078412", 2047 => x"0c741175", 2048 => x"710c5153", 2049 => x"f9bd3975", 2050 => x"17841108", 2051 => x"81078412", 2052 => x"0c538c17", 2053 => x"08881808", 2054 => x"718c120c", 2055 => x"88120c58", 2056 => x"7d5181e9", 2057 => x"3f881754", 2058 => x"f5cf3972", 2059 => x"84150cf4", 2060 => x"1af80670", 2061 => x"841e0881", 2062 => x"0607841e", 2063 => x"0c701d54", 2064 => x"5b850b84", 2065 => x"140c850b", 2066 => x"88140c8f", 2067 => x"7b27fdcf", 2068 => x"38881c52", 2069 => x"7d51ec9e", 2070 => x"3f80d7b0", 2071 => x"0b880508", 2072 => x"80d6f408", 2073 => x"5955fdb7", 2074 => x"397780d6", 2075 => x"f40c7380", 2076 => x"d7a40cfc", 2077 => x"91397284", 2078 => x"150cfda3", 2079 => x"39fc3d0d", 2080 => x"76797102", 2081 => x"8c059f05", 2082 => x"33575553", 2083 => x"55837227", 2084 => x"8a387483", 2085 => x"06517080", 2086 => x"2ea238ff", 2087 => x"125271ff", 2088 => x"2e933873", 2089 => x"73708105", 2090 => x"5534ff12", 2091 => x"5271ff2e", 2092 => x"098106ef", 2093 => x"3874b00c", 2094 => x"863d0d04", 2095 => x"7474882b", 2096 => x"75077071", 2097 => x"902b0751", 2098 => x"54518f72", 2099 => x"27a53872", 2100 => x"71708405", 2101 => x"530c7271", 2102 => x"70840553", 2103 => x"0c727170", 2104 => x"8405530c", 2105 => x"72717084", 2106 => x"05530cf0", 2107 => x"1252718f", 2108 => x"26dd3883", 2109 => x"72279038", 2110 => x"72717084", 2111 => x"05530cfc", 2112 => x"12527183", 2113 => x"26f23870", 2114 => x"53ff9039", 2115 => x"0404fd3d", 2116 => x"0d800b80", 2117 => x"dfec0c76", 2118 => x"5184ee3f", 2119 => x"b00853b0", 2120 => x"08ff2e88", 2121 => x"3872b00c", 2122 => x"853d0d04", 2123 => x"80dfec08", 2124 => x"5473802e", 2125 => x"f0387574", 2126 => x"710c5272", 2127 => x"b00c853d", 2128 => x"0d04f93d", 2129 => x"0d797c55", 2130 => x"7b548e11", 2131 => x"2270902b", 2132 => x"70902c55", 2133 => x"5780cff4", 2134 => x"08535856", 2135 => x"83f33fb0", 2136 => x"0857800b", 2137 => x"b0082493", 2138 => x"3880d016", 2139 => x"08b00805", 2140 => x"80d0170c", 2141 => x"76b00c89", 2142 => x"3d0d048c", 2143 => x"162283df", 2144 => x"ff065574", 2145 => x"8c172376", 2146 => x"b00c893d", 2147 => x"0d04fa3d", 2148 => x"0d788c11", 2149 => x"2270882a", 2150 => x"70810651", 2151 => x"57585674", 2152 => x"a9388c16", 2153 => x"2283dfff", 2154 => x"0655748c", 2155 => x"17237a54", 2156 => x"79538e16", 2157 => x"2270902b", 2158 => x"70902c54", 2159 => x"5680cff4", 2160 => x"08525681", 2161 => x"b23f883d", 2162 => x"0d048254", 2163 => x"80538e16", 2164 => x"2270902b", 2165 => x"70902c54", 2166 => x"5680cff4", 2167 => x"08525782", 2168 => x"b83f8c16", 2169 => x"2283dfff", 2170 => x"0655748c", 2171 => x"17237a54", 2172 => x"79538e16", 2173 => x"2270902b", 2174 => x"70902c54", 2175 => x"5680cff4", 2176 => x"08525680", 2177 => x"f23f883d", 2178 => x"0d04f93d", 2179 => x"0d797c55", 2180 => x"7b548e11", 2181 => x"2270902b", 2182 => x"70902c55", 2183 => x"5780cff4", 2184 => x"08535856", 2185 => x"81f33fb0", 2186 => x"0857b008", 2187 => x"ff2e9938", 2188 => x"8c1622a0", 2189 => x"80075574", 2190 => x"8c1723b0", 2191 => x"0880d017", 2192 => x"0c76b00c", 2193 => x"893d0d04", 2194 => x"8c162283", 2195 => x"dfff0655", 2196 => x"748c1723", 2197 => x"76b00c89", 2198 => x"3d0d04fe", 2199 => x"3d0d748e", 2200 => x"11227090", 2201 => x"2b70902c", 2202 => x"55515153", 2203 => x"80cff408", 2204 => x"51bd3f84", 2205 => x"3d0d04fb", 2206 => x"3d0d800b", 2207 => x"80dfec0c", 2208 => x"7a537952", 2209 => x"785182f9", 2210 => x"3fb00855", 2211 => x"b008ff2e", 2212 => x"883874b0", 2213 => x"0c873d0d", 2214 => x"0480dfec", 2215 => x"08567580", 2216 => x"2ef03877", 2217 => x"76710c54", 2218 => x"74b00c87", 2219 => x"3d0d04fd", 2220 => x"3d0d800b", 2221 => x"80dfec0c", 2222 => x"765184c8", 2223 => x"3fb00853", 2224 => x"b008ff2e", 2225 => x"883872b0", 2226 => x"0c853d0d", 2227 => x"0480dfec", 2228 => x"08547380", 2229 => x"2ef03875", 2230 => x"74710c52", 2231 => x"72b00c85", 2232 => x"3d0d04fc", 2233 => x"3d0d800b", 2234 => x"80dfec0c", 2235 => x"78527751", 2236 => x"86b03fb0", 2237 => x"0854b008", 2238 => x"ff2e8838", 2239 => x"73b00c86", 2240 => x"3d0d0480", 2241 => x"dfec0855", 2242 => x"74802ef0", 2243 => x"38767571", 2244 => x"0c5373b0", 2245 => x"0c863d0d", 2246 => x"04fb3d0d", 2247 => x"800b80df", 2248 => x"ec0c7a53", 2249 => x"79527851", 2250 => x"848c3fb0", 2251 => x"0855b008", 2252 => x"ff2e8838", 2253 => x"74b00c87", 2254 => x"3d0d0480", 2255 => x"dfec0856", 2256 => x"75802ef0", 2257 => x"38777671", 2258 => x"0c5474b0", 2259 => x"0c873d0d", 2260 => x"04fb3d0d", 2261 => x"800b80df", 2262 => x"ec0c7a53", 2263 => x"79527851", 2264 => x"82943fb0", 2265 => x"0855b008", 2266 => x"ff2e8838", 2267 => x"74b00c87", 2268 => x"3d0d0480", 2269 => x"dfec0856", 2270 => x"75802ef0", 2271 => x"38777671", 2272 => x"0c5474b0", 2273 => x"0c873d0d", 2274 => x"04fe3d0d", 2275 => x"80dfe008", 2276 => x"51708a38", 2277 => x"80dff070", 2278 => x"80dfe00c", 2279 => x"51707512", 2280 => x"5252ff53", 2281 => x"7087fb80", 2282 => x"80268838", 2283 => x"7080dfe0", 2284 => x"0c715372", 2285 => x"b00c843d", 2286 => x"0d04fd3d", 2287 => x"0d800b80", 2288 => x"cfe40854", 2289 => x"5472812e", 2290 => x"9b387380", 2291 => x"dfe40cc2", 2292 => x"953fc0ac", 2293 => x"3f80dfb8", 2294 => x"528151c4", 2295 => x"a73fb008", 2296 => x"5185bf3f", 2297 => x"7280dfe4", 2298 => x"0cc1fb3f", 2299 => x"c0923f80", 2300 => x"dfb85281", 2301 => x"51c48d3f", 2302 => x"b0085185", 2303 => x"a53f00ff", 2304 => x"39f53d0d", 2305 => x"7e6080df", 2306 => x"e408705b", 2307 => x"585b5b75", 2308 => x"80c23877", 2309 => x"7a25a138", 2310 => x"771b7033", 2311 => x"7081ff06", 2312 => x"58585975", 2313 => x"8a2e9838", 2314 => x"7681ff06", 2315 => x"51c1963f", 2316 => x"81185879", 2317 => x"7824e138", 2318 => x"79b00c8d", 2319 => x"3d0d048d", 2320 => x"51c1823f", 2321 => x"78337081", 2322 => x"ff065257", 2323 => x"c0f73f81", 2324 => x"1858e039", 2325 => x"79557a54", 2326 => x"7d538552", 2327 => x"8d3dfc05", 2328 => x"51ffbfde", 2329 => x"3fb00856", 2330 => x"84b13f7b", 2331 => x"b0080c75", 2332 => x"b00c8d3d", 2333 => x"0d04f63d", 2334 => x"0d7d7f80", 2335 => x"dfe40870", 2336 => x"5b585a5a", 2337 => x"7580c138", 2338 => x"777925b3", 2339 => x"38c0913f", 2340 => x"b00881ff", 2341 => x"06708d32", 2342 => x"7030709f", 2343 => x"2a515157", 2344 => x"57768a2e", 2345 => x"80c43875", 2346 => x"802ebf38", 2347 => x"771a5676", 2348 => x"76347651", 2349 => x"c08f3f81", 2350 => x"18587878", 2351 => x"24cf3877", 2352 => x"5675b00c", 2353 => x"8c3d0d04", 2354 => x"78557954", 2355 => x"7c538452", 2356 => x"8c3dfc05", 2357 => x"51ffbeea", 2358 => x"3fb00856", 2359 => x"83bd3f7a", 2360 => x"b0080c75", 2361 => x"b00c8c3d", 2362 => x"0d04771a", 2363 => x"568a7634", 2364 => x"8118588d", 2365 => x"51ffbfcd", 2366 => x"3f8a51ff", 2367 => x"bfc73f77", 2368 => x"56ffbe39", 2369 => x"fb3d0d80", 2370 => x"dfe40870", 2371 => x"56547388", 2372 => x"3874b00c", 2373 => x"873d0d04", 2374 => x"77538352", 2375 => x"873dfc05", 2376 => x"51ffbe9e", 2377 => x"3fb00854", 2378 => x"82f13f75", 2379 => x"b0080c73", 2380 => x"b00c873d", 2381 => x"0d04fa3d", 2382 => x"0d80dfe4", 2383 => x"08802ea3", 2384 => x"387a5579", 2385 => x"54785386", 2386 => x"52883dfc", 2387 => x"0551ffbd", 2388 => x"f13fb008", 2389 => x"5682c43f", 2390 => x"76b0080c", 2391 => x"75b00c88", 2392 => x"3d0d0482", 2393 => x"b63f9d0b", 2394 => x"b0080cff", 2395 => x"0bb00c88", 2396 => x"3d0d04fb", 2397 => x"3d0d7779", 2398 => x"56568070", 2399 => x"54547375", 2400 => x"259f3874", 2401 => x"101010f8", 2402 => x"05527216", 2403 => x"70337074", 2404 => x"2b760781", 2405 => x"16f81656", 2406 => x"56565151", 2407 => x"747324ea", 2408 => x"3873b00c", 2409 => x"873d0d04", 2410 => x"fc3d0d76", 2411 => x"785555bc", 2412 => x"53805273", 2413 => x"51f5c63f", 2414 => x"84527451", 2415 => x"ffb53fb0", 2416 => x"08742384", 2417 => x"52841551", 2418 => x"ffa93fb0", 2419 => x"08821523", 2420 => x"84528815", 2421 => x"51ff9c3f", 2422 => x"b0088415", 2423 => x"0c84528c", 2424 => x"1551ff8f", 2425 => x"3fb00888", 2426 => x"15238452", 2427 => x"901551ff", 2428 => x"823fb008", 2429 => x"8a152384", 2430 => x"52941551", 2431 => x"fef53fb0", 2432 => x"088c1523", 2433 => x"84529815", 2434 => x"51fee83f", 2435 => x"b0088e15", 2436 => x"2388529c", 2437 => x"1551fedb", 2438 => x"3fb00890", 2439 => x"150c863d", 2440 => x"0d04e93d", 2441 => x"0d6a80df", 2442 => x"e4085757", 2443 => x"75933880", 2444 => x"c0800b84", 2445 => x"180c75ac", 2446 => x"180c75b0", 2447 => x"0c993d0d", 2448 => x"04893d70", 2449 => x"556a5455", 2450 => x"8a52993d", 2451 => x"ffbc0551", 2452 => x"ffbbef3f", 2453 => x"b0087753", 2454 => x"755256fe", 2455 => x"cb3fbc3f", 2456 => x"77b0080c", 2457 => x"75b00c99", 2458 => x"3d0d04fc", 2459 => x"3d0d8154", 2460 => x"80dfe408", 2461 => x"883873b0", 2462 => x"0c863d0d", 2463 => x"04765397", 2464 => x"b952863d", 2465 => x"fc0551ff", 2466 => x"bbb83fb0", 2467 => x"08548c3f", 2468 => x"74b0080c", 2469 => x"73b00c86", 2470 => x"3d0d0480", 2471 => x"cff408b0", 2472 => x"0c04f73d", 2473 => x"0d7b80cf", 2474 => x"f40882c8", 2475 => x"11085a54", 2476 => x"5a77802e", 2477 => x"80da3881", 2478 => x"88188419", 2479 => x"08ff0581", 2480 => x"712b5955", 2481 => x"59807424", 2482 => x"80ea3880", 2483 => x"7424b538", 2484 => x"73822b78", 2485 => x"11880556", 2486 => x"56818019", 2487 => x"08770653", 2488 => x"72802eb6", 2489 => x"38781670", 2490 => x"08535379", 2491 => x"51740853", 2492 => x"722dff14", 2493 => x"fc17fc17", 2494 => x"79812c5a", 2495 => x"57575473", 2496 => x"8025d638", 2497 => x"77085877", 2498 => x"ffad3880", 2499 => x"cff40853", 2500 => x"bc1308a5", 2501 => x"387951f9", 2502 => x"e53f7408", 2503 => x"53722dff", 2504 => x"14fc17fc", 2505 => x"1779812c", 2506 => x"5a575754", 2507 => x"738025ff", 2508 => x"a838d139", 2509 => x"8057ff93", 2510 => x"397251bc", 2511 => x"13085372", 2512 => x"2d7951f9", 2513 => x"b93fff3d", 2514 => x"0d80dfc0", 2515 => x"0bfc0570", 2516 => x"08525270", 2517 => x"ff2e9138", 2518 => x"702dfc12", 2519 => x"70085252", 2520 => x"70ff2e09", 2521 => x"8106f138", 2522 => x"833d0d04", 2523 => x"04ffbbe1", 2524 => x"3f040000", 2525 => x"00ffffff", 2526 => x"ff00ffff", 2527 => x"ffff00ff", 2528 => x"ffffff00", 2529 => x"00000040", 2530 => x"30313233", 2531 => x"34353637", 2532 => x"38396162", 2533 => x"63646566", 2534 => x"00000000", 2535 => x"2d2d0000", 2536 => x"7a776320", 2537 => x"633a0000", 2538 => x"7a776320", 2539 => x"733a0000", 2540 => x"476f7420", 2541 => x"696e7465", 2542 => x"72727570", 2543 => x"740a0000", 2544 => x"4e6f2069", 2545 => x"6e746572", 2546 => x"72757074", 2547 => x"0a000000", 2548 => x"43000000", 2549 => x"64756d6d", 2550 => x"792e6578", 2551 => x"65000000", 2552 => x"00000000", 2553 => x"00000000", 2554 => x"00000000", 2555 => x"00002fc8", 2556 => x"00002788", 2557 => x"000027f8", 2558 => x"00000000", 2559 => x"00002a60", 2560 => x"00002abc", 2561 => x"00002b18", 2562 => x"00000000", 2563 => x"00000000", 2564 => x"00000000", 2565 => x"00000000", 2566 => x"00000000", 2567 => x"00000000", 2568 => x"00000000", 2569 => x"00000000", 2570 => x"00000000", 2571 => x"000027d0", 2572 => x"00000000", 2573 => x"00000000", 2574 => x"00000000", 2575 => x"00000000", 2576 => x"00000000", 2577 => x"00000000", 2578 => x"00000000", 2579 => x"00000000", 2580 => x"00000000", 2581 => x"00000000", 2582 => x"00000000", 2583 => x"00000000", 2584 => x"00000000", 2585 => x"00000000", 2586 => x"00000000", 2587 => x"00000000", 2588 => x"00000000", 2589 => x"00000000", 2590 => x"00000000", 2591 => x"00000000", 2592 => x"00000000", 2593 => x"00000000", 2594 => x"00000000", 2595 => x"00000000", 2596 => x"00000000", 2597 => x"00000000", 2598 => x"00000000", 2599 => x"00000000", 2600 => x"00000001", 2601 => x"330eabcd", 2602 => x"1234e66d", 2603 => x"deec0005", 2604 => x"000b0000", 2605 => x"00000000", 2606 => x"00000000", 2607 => x"00000000", 2608 => x"00000000", 2609 => x"00000000", 2610 => x"00000000", 2611 => x"00000000", 2612 => x"00000000", 2613 => x"00000000", 2614 => x"00000000", 2615 => x"00000000", 2616 => x"00000000", 2617 => x"00000000", 2618 => x"00000000", 2619 => x"00000000", 2620 => x"00000000", 2621 => x"00000000", 2622 => x"00000000", 2623 => x"00000000", 2624 => x"00000000", 2625 => x"00000000", 2626 => x"00000000", 2627 => x"00000000", 2628 => x"00000000", 2629 => x"00000000", 2630 => x"00000000", 2631 => x"00000000", 2632 => x"00000000", 2633 => x"00000000", 2634 => x"00000000", 2635 => x"00000000", 2636 => x"00000000", 2637 => x"00000000", 2638 => x"00000000", 2639 => x"00000000", 2640 => x"00000000", 2641 => x"00000000", 2642 => x"00000000", 2643 => x"00000000", 2644 => x"00000000", 2645 => x"00000000", 2646 => x"00000000", 2647 => x"00000000", 2648 => x"00000000", 2649 => x"00000000", 2650 => x"00000000", 2651 => x"00000000", 2652 => x"00000000", 2653 => x"00000000", 2654 => x"00000000", 2655 => x"00000000", 2656 => x"00000000", 2657 => x"00000000", 2658 => x"00000000", 2659 => x"00000000", 2660 => x"00000000", 2661 => x"00000000", 2662 => x"00000000", 2663 => x"00000000", 2664 => x"00000000", 2665 => x"00000000", 2666 => x"00000000", 2667 => x"00000000", 2668 => x"00000000", 2669 => x"00000000", 2670 => x"00000000", 2671 => x"00000000", 2672 => x"00000000", 2673 => x"00000000", 2674 => x"00000000", 2675 => x"00000000", 2676 => x"00000000", 2677 => x"00000000", 2678 => x"00000000", 2679 => x"00000000", 2680 => x"00000000", 2681 => x"00000000", 2682 => x"00000000", 2683 => x"00000000", 2684 => x"00000000", 2685 => x"00000000", 2686 => x"00000000", 2687 => x"00000000", 2688 => x"00000000", 2689 => x"00000000", 2690 => x"00000000", 2691 => x"00000000", 2692 => x"00000000", 2693 => x"00000000", 2694 => x"00000000", 2695 => x"00000000", 2696 => x"00000000", 2697 => x"00000000", 2698 => x"00000000", 2699 => x"00000000", 2700 => x"00000000", 2701 => x"00000000", 2702 => x"00000000", 2703 => x"00000000", 2704 => x"00000000", 2705 => x"00000000", 2706 => x"00000000", 2707 => x"00000000", 2708 => x"00000000", 2709 => x"00000000", 2710 => x"00000000", 2711 => x"00000000", 2712 => x"00000000", 2713 => x"00000000", 2714 => x"00000000", 2715 => x"00000000", 2716 => x"00000000", 2717 => x"00000000", 2718 => x"00000000", 2719 => x"00000000", 2720 => x"00000000", 2721 => x"00000000", 2722 => x"00000000", 2723 => x"00000000", 2724 => x"00000000", 2725 => x"00000000", 2726 => x"00000000", 2727 => x"00000000", 2728 => x"00000000", 2729 => x"00000000", 2730 => x"00000000", 2731 => x"00000000", 2732 => x"00000000", 2733 => x"00000000", 2734 => x"00000000", 2735 => x"00000000", 2736 => x"00000000", 2737 => x"00000000", 2738 => x"00000000", 2739 => x"00000000", 2740 => x"00000000", 2741 => x"00000000", 2742 => x"00000000", 2743 => x"00000000", 2744 => x"00000000", 2745 => x"00000000", 2746 => x"00000000", 2747 => x"00000000", 2748 => x"00000000", 2749 => x"00000000", 2750 => x"00000000", 2751 => x"00000000", 2752 => x"00000000", 2753 => x"00000000", 2754 => x"00000000", 2755 => x"00000000", 2756 => x"00000000", 2757 => x"00000000", 2758 => x"00000000", 2759 => x"00000000", 2760 => x"00000000", 2761 => x"00000000", 2762 => x"00000000", 2763 => x"00000000", 2764 => x"00000000", 2765 => x"00000000", 2766 => x"00000000", 2767 => x"00000000", 2768 => x"00000000", 2769 => x"00000000", 2770 => x"00000000", 2771 => x"00000000", 2772 => x"00000000", 2773 => x"00000000", 2774 => x"00000000", 2775 => x"00000000", 2776 => x"00000000", 2777 => x"00000000", 2778 => x"00000000", 2779 => x"00000000", 2780 => x"00000000", 2781 => x"00000000", 2782 => x"00000000", 2783 => x"00000000", 2784 => x"00000000", 2785 => x"00000000", 2786 => x"00000000", 2787 => x"00000000", 2788 => x"00000000", 2789 => x"00000000", 2790 => x"00000000", 2791 => x"00000000", 2792 => x"00000000", 2793 => x"ffffffff", 2794 => x"00000000", 2795 => x"00020000", 2796 => x"00000000", 2797 => x"00000000", 2798 => x"00002bb0", 2799 => x"00002bb0", 2800 => x"00002bb8", 2801 => x"00002bb8", 2802 => x"00002bc0", 2803 => x"00002bc0", 2804 => x"00002bc8", 2805 => x"00002bc8", 2806 => x"00002bd0", 2807 => x"00002bd0", 2808 => x"00002bd8", 2809 => x"00002bd8", 2810 => x"00002be0", 2811 => x"00002be0", 2812 => x"00002be8", 2813 => x"00002be8", 2814 => x"00002bf0", 2815 => x"00002bf0", 2816 => x"00002bf8", 2817 => x"00002bf8", 2818 => x"00002c00", 2819 => x"00002c00", 2820 => x"00002c08", 2821 => x"00002c08", 2822 => x"00002c10", 2823 => x"00002c10", 2824 => x"00002c18", 2825 => x"00002c18", 2826 => x"00002c20", 2827 => x"00002c20", 2828 => x"00002c28", 2829 => x"00002c28", 2830 => x"00002c30", 2831 => x"00002c30", 2832 => x"00002c38", 2833 => x"00002c38", 2834 => x"00002c40", 2835 => x"00002c40", 2836 => x"00002c48", 2837 => x"00002c48", 2838 => x"00002c50", 2839 => x"00002c50", 2840 => x"00002c58", 2841 => x"00002c58", 2842 => x"00002c60", 2843 => x"00002c60", 2844 => x"00002c68", 2845 => x"00002c68", 2846 => x"00002c70", 2847 => x"00002c70", 2848 => x"00002c78", 2849 => x"00002c78", 2850 => x"00002c80", 2851 => x"00002c80", 2852 => x"00002c88", 2853 => x"00002c88", 2854 => x"00002c90", 2855 => x"00002c90", 2856 => x"00002c98", 2857 => x"00002c98", 2858 => x"00002ca0", 2859 => x"00002ca0", 2860 => x"00002ca8", 2861 => x"00002ca8", 2862 => x"00002cb0", 2863 => x"00002cb0", 2864 => x"00002cb8", 2865 => x"00002cb8", 2866 => x"00002cc0", 2867 => x"00002cc0", 2868 => x"00002cc8", 2869 => x"00002cc8", 2870 => x"00002cd0", 2871 => x"00002cd0", 2872 => x"00002cd8", 2873 => x"00002cd8", 2874 => x"00002ce0", 2875 => x"00002ce0", 2876 => x"00002ce8", 2877 => x"00002ce8", 2878 => x"00002cf0", 2879 => x"00002cf0", 2880 => x"00002cf8", 2881 => x"00002cf8", 2882 => x"00002d00", 2883 => x"00002d00", 2884 => x"00002d08", 2885 => x"00002d08", 2886 => x"00002d10", 2887 => x"00002d10", 2888 => x"00002d18", 2889 => x"00002d18", 2890 => x"00002d20", 2891 => x"00002d20", 2892 => x"00002d28", 2893 => x"00002d28", 2894 => x"00002d30", 2895 => x"00002d30", 2896 => x"00002d38", 2897 => x"00002d38", 2898 => x"00002d40", 2899 => x"00002d40", 2900 => x"00002d48", 2901 => x"00002d48", 2902 => x"00002d50", 2903 => x"00002d50", 2904 => x"00002d58", 2905 => x"00002d58", 2906 => x"00002d60", 2907 => x"00002d60", 2908 => x"00002d68", 2909 => x"00002d68", 2910 => x"00002d70", 2911 => x"00002d70", 2912 => x"00002d78", 2913 => x"00002d78", 2914 => x"00002d80", 2915 => x"00002d80", 2916 => x"00002d88", 2917 => x"00002d88", 2918 => x"00002d90", 2919 => x"00002d90", 2920 => x"00002d98", 2921 => x"00002d98", 2922 => x"00002da0", 2923 => x"00002da0", 2924 => x"00002da8", 2925 => x"00002da8", 2926 => x"00002db0", 2927 => x"00002db0", 2928 => x"00002db8", 2929 => x"00002db8", 2930 => x"00002dc0", 2931 => x"00002dc0", 2932 => x"00002dc8", 2933 => x"00002dc8", 2934 => x"00002dd0", 2935 => x"00002dd0", 2936 => x"00002dd8", 2937 => x"00002dd8", 2938 => x"00002de0", 2939 => x"00002de0", 2940 => x"00002de8", 2941 => x"00002de8", 2942 => x"00002df0", 2943 => x"00002df0", 2944 => x"00002df8", 2945 => x"00002df8", 2946 => x"00002e00", 2947 => x"00002e00", 2948 => x"00002e08", 2949 => x"00002e08", 2950 => x"00002e10", 2951 => x"00002e10", 2952 => x"00002e18", 2953 => x"00002e18", 2954 => x"00002e20", 2955 => x"00002e20", 2956 => x"00002e28", 2957 => x"00002e28", 2958 => x"00002e30", 2959 => x"00002e30", 2960 => x"00002e38", 2961 => x"00002e38", 2962 => x"00002e40", 2963 => x"00002e40", 2964 => x"00002e48", 2965 => x"00002e48", 2966 => x"00002e50", 2967 => x"00002e50", 2968 => x"00002e58", 2969 => x"00002e58", 2970 => x"00002e60", 2971 => x"00002e60", 2972 => x"00002e68", 2973 => x"00002e68", 2974 => x"00002e70", 2975 => x"00002e70", 2976 => x"00002e78", 2977 => x"00002e78", 2978 => x"00002e80", 2979 => x"00002e80", 2980 => x"00002e88", 2981 => x"00002e88", 2982 => x"00002e90", 2983 => x"00002e90", 2984 => x"00002e98", 2985 => x"00002e98", 2986 => x"00002ea0", 2987 => x"00002ea0", 2988 => x"00002ea8", 2989 => x"00002ea8", 2990 => x"00002eb0", 2991 => x"00002eb0", 2992 => x"00002eb8", 2993 => x"00002eb8", 2994 => x"00002ec0", 2995 => x"00002ec0", 2996 => x"00002ec8", 2997 => x"00002ec8", 2998 => x"00002ed0", 2999 => x"00002ed0", 3000 => x"00002ed8", 3001 => x"00002ed8", 3002 => x"00002ee0", 3003 => x"00002ee0", 3004 => x"00002ee8", 3005 => x"00002ee8", 3006 => x"00002ef0", 3007 => x"00002ef0", 3008 => x"00002ef8", 3009 => x"00002ef8", 3010 => x"00002f00", 3011 => x"00002f00", 3012 => x"00002f08", 3013 => x"00002f08", 3014 => x"00002f10", 3015 => x"00002f10", 3016 => x"00002f18", 3017 => x"00002f18", 3018 => x"00002f20", 3019 => x"00002f20", 3020 => x"00002f28", 3021 => x"00002f28", 3022 => x"00002f30", 3023 => x"00002f30", 3024 => x"00002f38", 3025 => x"00002f38", 3026 => x"00002f40", 3027 => x"00002f40", 3028 => x"00002f48", 3029 => x"00002f48", 3030 => x"00002f50", 3031 => x"00002f50", 3032 => x"00002f58", 3033 => x"00002f58", 3034 => x"00002f60", 3035 => x"00002f60", 3036 => x"00002f68", 3037 => x"00002f68", 3038 => x"00002f70", 3039 => x"00002f70", 3040 => x"00002f78", 3041 => x"00002f78", 3042 => x"00002f80", 3043 => x"00002f80", 3044 => x"00002f88", 3045 => x"00002f88", 3046 => x"00002f90", 3047 => x"00002f90", 3048 => x"00002f98", 3049 => x"00002f98", 3050 => x"00002fa0", 3051 => x"00002fa0", 3052 => x"00002fa8", 3053 => x"00002fa8", 3054 => x"000027d4", 3055 => x"ffffffff", 3056 => x"00000000", 3057 => x"ffffffff", 3058 => x"00000000", others => x"00000000" ); begin busy_o <= re_i; -- we're done on the cycle after we serve the read request do_ram: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if we_i='1' then ram(to_integer(addr_i)) <= write_i; end if; addr_r <= addr_i; end if; end process do_ram; read_o <= ram(to_integer(addr_r)); end architecture Xilinx; -- Entity: SinglePortRAM
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Garfield is port( ADC_CONVST : out std_logic; ADC_SCK : out std_logic; ADC_SDI : out std_logic; ADC_SDO : in std_logic; -- ARDUINO ARDUINO_IO : inout std_logic_vector(15 downto 0); ARDUINO_RESET_N : inout std_logic; -- CLK -- CLK_I2C_SCL : out std_logic; -- CLK_I2C_SDA : inout std_logic; -- FPGA FPGA_CLK1_50 : in std_logic; FPGA_CLK2_50 : in std_logic; FPGA_CLK3_50 : in std_logic; -- GPIO GPIO_0 : inout std_logic_vector(35 downto 0); GPIO_1 : inout std_logic_vector(35 downto 0); -- HPS HPS_CONV_USB_N : inout std_logic; HPS_DDR3_ADDR : out std_logic_vector(14 downto 0); HPS_DDR3_BA : out std_logic_vector(2 downto 0); HPS_DDR3_CAS_N : out std_logic; HPS_DDR3_CKE : out std_logic; HPS_DDR3_CK_N : out std_logic; HPS_DDR3_CK_P : out std_logic; HPS_DDR3_CS_N : out std_logic; HPS_DDR3_DM : out std_logic_vector(3 downto 0); HPS_DDR3_DQ : inout std_logic_vector(31 downto 0); HPS_DDR3_DQS_N : inout std_logic_vector(3 downto 0); HPS_DDR3_DQS_P : inout std_logic_vector(3 downto 0); HPS_DDR3_ODT : out std_logic; HPS_DDR3_RAS_N : out std_logic; HPS_DDR3_RESET_N : out std_logic; HPS_DDR3_RZQ : in std_logic; HPS_DDR3_WE_N : out std_logic; HPS_ENET_GTX_CLK : out std_logic; HPS_ENET_INT_N : inout std_logic; HPS_ENET_MDC : out std_logic; HPS_ENET_MDIO : inout std_logic; HPS_ENET_RX_CLK : in std_logic; HPS_ENET_RX_DATA : in std_logic_vector(3 downto 0); HPS_ENET_RX_DV : in std_logic; HPS_ENET_TX_DATA : out std_logic_vector(3 downto 0); HPS_ENET_TX_EN : out std_logic; HPS_GSENSOR_INT : inout std_logic; HPS_I2C0_SCLK : inout std_logic; HPS_I2C0_SDAT : inout std_logic; HPS_I2C1_SCLK : inout std_logic; HPS_I2C1_SDAT : inout std_logic; HPS_KEY : inout std_logic; HPS_LED : inout std_logic; HPS_LTC_GPIO : inout std_logic; HPS_SD_CLK : out std_logic; HPS_SD_CMD : inout std_logic; HPS_SD_DATA : inout std_logic_vector(3 downto 0); HPS_SPIM_CLK : out std_logic; HPS_SPIM_MISO : in std_logic; HPS_SPIM_MOSI : out std_logic; HPS_SPIM_SS : inout std_logic; HPS_UART_RX : in std_logic; HPS_UART_TX : out std_logic; HPS_USB_CLKOUT : in std_logic; HPS_USB_DATA : inout std_logic_vector(7 downto 0); HPS_USB_DIR : in std_logic; HPS_USB_NXT : in std_logic; HPS_USB_STP : out std_logic; -- Key KEY : in std_logic_vector(1 downto 0); -- LEDs LED : out std_logic_vector(7 downto 0); -- SW SW : in std_logic_vector(3 downto 0) ); end Garfield; architecture RTL of Garfield is component Garfield_system is port ( clk_clk : in std_logic := 'X'; -- clk clk_1_fpga_clock_clk : in std_logic := 'X'; -- clk clk_1_fpga_reset_reset_n : in std_logic := 'X'; -- reset_n drive_pwm_pwm_signal_export : out std_logic; -- export garfield_general_io_external_connection_export : out std_logic_vector(7 downto 0); -- export garfield_lighting_led_external_connection_export : out std_logic_vector(3 downto 0); -- export hps_0_f2h_cold_reset_req_reset_n : in std_logic := 'X'; -- reset_n hps_0_f2h_debug_reset_req_reset_n : in std_logic := 'X'; -- reset_n hps_0_f2h_stm_hw_events_stm_hwevents : in std_logic_vector(27 downto 0) := (others => 'X'); -- stm_hwevents hps_0_f2h_warm_reset_req_reset_n : in std_logic := 'X'; -- reset_n hps_0_h2f_reset_reset_n : out std_logic; -- reset_n hps_0_hps_io_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io_emac1_inst_TX_CLK hps_0_hps_io_hps_io_emac1_inst_TXD0 : out std_logic; -- hps_io_emac1_inst_TXD0 hps_0_hps_io_hps_io_emac1_inst_TXD1 : out std_logic; -- hps_io_emac1_inst_TXD1 hps_0_hps_io_hps_io_emac1_inst_TXD2 : out std_logic; -- hps_io_emac1_inst_TXD2 hps_0_hps_io_hps_io_emac1_inst_TXD3 : out std_logic; -- hps_io_emac1_inst_TXD3 hps_0_hps_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD0 hps_0_hps_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; -- hps_io_emac1_inst_MDIO hps_0_hps_io_hps_io_emac1_inst_MDC : out std_logic; -- hps_io_emac1_inst_MDC hps_0_hps_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CTL hps_0_hps_io_hps_io_emac1_inst_TX_CTL : out std_logic; -- hps_io_emac1_inst_TX_CTL hps_0_hps_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CLK hps_0_hps_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD1 hps_0_hps_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD2 hps_0_hps_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD3 hps_0_hps_io_hps_io_sdio_inst_CMD : inout std_logic := 'X'; -- hps_io_sdio_inst_CMD hps_0_hps_io_hps_io_sdio_inst_D0 : inout std_logic := 'X'; -- hps_io_sdio_inst_D0 hps_0_hps_io_hps_io_sdio_inst_D1 : inout std_logic := 'X'; -- hps_io_sdio_inst_D1 hps_0_hps_io_hps_io_sdio_inst_CLK : out std_logic; -- hps_io_sdio_inst_CLK hps_0_hps_io_hps_io_sdio_inst_D2 : inout std_logic := 'X'; -- hps_io_sdio_inst_D2 hps_0_hps_io_hps_io_sdio_inst_D3 : inout std_logic := 'X'; -- hps_io_sdio_inst_D3 hps_0_hps_io_hps_io_usb1_inst_D0 : inout std_logic := 'X'; -- hps_io_usb1_inst_D0 hps_0_hps_io_hps_io_usb1_inst_D1 : inout std_logic := 'X'; -- hps_io_usb1_inst_D1 hps_0_hps_io_hps_io_usb1_inst_D2 : inout std_logic := 'X'; -- hps_io_usb1_inst_D2 hps_0_hps_io_hps_io_usb1_inst_D3 : inout std_logic := 'X'; -- hps_io_usb1_inst_D3 hps_0_hps_io_hps_io_usb1_inst_D4 : inout std_logic := 'X'; -- hps_io_usb1_inst_D4 hps_0_hps_io_hps_io_usb1_inst_D5 : inout std_logic := 'X'; -- hps_io_usb1_inst_D5 hps_0_hps_io_hps_io_usb1_inst_D6 : inout std_logic := 'X'; -- hps_io_usb1_inst_D6 hps_0_hps_io_hps_io_usb1_inst_D7 : inout std_logic := 'X'; -- hps_io_usb1_inst_D7 hps_0_hps_io_hps_io_usb1_inst_CLK : in std_logic := 'X'; -- hps_io_usb1_inst_CLK hps_0_hps_io_hps_io_usb1_inst_STP : out std_logic; -- hps_io_usb1_inst_STP hps_0_hps_io_hps_io_usb1_inst_DIR : in std_logic := 'X'; -- hps_io_usb1_inst_DIR hps_0_hps_io_hps_io_usb1_inst_NXT : in std_logic := 'X'; -- hps_io_usb1_inst_NXT hps_0_hps_io_hps_io_spim1_inst_CLK : out std_logic; -- hps_io_spim1_inst_CLK hps_0_hps_io_hps_io_spim1_inst_MOSI : out std_logic; -- hps_io_spim1_inst_MOSI hps_0_hps_io_hps_io_spim1_inst_MISO : in std_logic := 'X'; -- hps_io_spim1_inst_MISO hps_0_hps_io_hps_io_spim1_inst_SS0 : out std_logic; -- hps_io_spim1_inst_SS0 hps_0_hps_io_hps_io_uart0_inst_RX : in std_logic := 'X'; -- hps_io_uart0_inst_RX hps_0_hps_io_hps_io_uart0_inst_TX : out std_logic; -- hps_io_uart0_inst_TX hps_0_hps_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c0_inst_SDA hps_0_hps_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c0_inst_SCL hps_0_hps_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c1_inst_SDA hps_0_hps_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c1_inst_SCL hps_0_hps_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO09 hps_0_hps_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO35 hps_0_hps_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO40 hps_0_hps_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO53 hps_0_hps_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO54 hps_0_hps_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO61 i2c_opencores_0_export_sda_pad_in : in std_logic := 'X'; -- sda_pad_in i2c_opencores_0_export_sda_pad_out : out std_logic; -- sda_pad_out i2c_opencores_0_export_sda_pad_en : out std_logic; -- sda_pad_en i2c_opencores_0_export_scl_pad_in : in std_logic := 'X'; -- scl_pad_in i2c_opencores_0_export_scl_pad_out : out std_logic; -- scl_pad_out i2c_opencores_0_export_scl_pad_en : out std_logic; -- scl_pad_en memory_mem_a : out std_logic_vector(14 downto 0); -- mem_a memory_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba memory_mem_ck : out std_logic; -- mem_ck memory_mem_ck_n : out std_logic; -- mem_ck_n memory_mem_cke : out std_logic; -- mem_cke memory_mem_cs_n : out std_logic; -- mem_cs_n memory_mem_ras_n : out std_logic; -- mem_ras_n memory_mem_cas_n : out std_logic; -- mem_cas_n memory_mem_we_n : out std_logic; -- mem_we_n memory_mem_reset_n : out std_logic; -- mem_reset_n memory_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq memory_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs memory_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n memory_mem_odt : out std_logic; -- mem_odt memory_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm memory_oct_rzqin : in std_logic := 'X'; -- oct_rzqin onboard_button_external_connection_export : in std_logic_vector(1 downto 0) := (others => 'X'); -- export onboard_dipsw_external_connection_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export onboard_led_external_connection_export : out std_logic_vector(7 downto 0); -- export reset_reset_n : in std_logic := 'X'; -- reset_n rotary_encoder_0_conduit_end_rot_input : in std_logic := 'X'; -- rot_input spi_0_external_connection_MISO : in std_logic := 'X'; -- MISO spi_0_external_connection_MOSI : out std_logic; -- MOSI spi_0_external_connection_SCLK : out std_logic; -- SCLK spi_0_external_connection_SS_n : out std_logic_vector(2 downto 0); -- SS_n steering_pwm_pwm_signal_export : out std_logic -- export ); end component Garfield_system; component altera_edge_detector is generic( PULSE_EXT : natural := 0; EDGE_TYPE : natural := 0; IGNORE_RST_WHILE_BUSY : natural := 0 ); port( clk : in std_logic; rst_n : in std_logic; signal_in : in std_logic; pulse_out : out std_logic ); end component; component hps_reset is port( probe : in std_logic; source_clk : in std_logic; source : out std_logic_vector(2 downto 0) ); end component; ---------------------------------------------------------------------------- ---------------------- signals for top level logic ---------------------------------------------------------------------------- signal hps_fpga_reset_n : std_logic; signal fpga_debounced_buttons : std_logic_vector(1 downto 0); signal fpga_led_internal : std_logic_vector(7 downto 0); signal hps_reset_req : std_logic_vector(2 downto 0); signal hps_cold_reset : std_logic; signal hps_warm_reset : std_logic; signal hps_debug_reset : std_logic; signal stm_hw_events : std_logic_vector(27 downto 0); signal fpga_clk_50 : std_logic; signal spi_0_miso : std_logic; signal spi_0_mosi : std_logic; signal spi_0_sclk : std_logic; signal spi_0_cs_n : std_logic_vector(2 downto 0); signal i2c0_sda_i : std_logic; signal i2c0_sda_o : std_logic; signal i2c0_sda_en : std_logic; signal i2c0_scl_i : std_logic; signal i2c0_scl_o : std_logic; signal i2c0_scl_en : std_logic; signal garfield_lighting : std_logic_vector(3 downto 0); signal garfield_gpio : std_logic_vector(7 downto 0); signal garfield_drive_pwm : std_logic; signal garfield_steering_pwm : std_logic; signal speed_rotary : std_logic; signal status_led : std_logic := '0'; signal status_led_counter : natural := 0; begin u0 : component Garfield_system port map( clk_clk => FPGA_CLK1_50, -- clk.clk clk_1_fpga_clock_clk => FPGA_CLK2_50, -- clk_1_fpga_clock.clk clk_1_fpga_reset_reset_n => hps_fpga_reset_n, -- clk_1_fpga_reset.reset_n drive_pwm_pwm_signal_export => garfield_drive_pwm, -- drive_pwm_pwm_signal.export garfield_general_io_external_connection_export => garfield_gpio, -- garfield_general_io_external_connection.export garfield_lighting_led_external_connection_export => garfield_lighting, -- garfield_lighting_led_external_connection.export hps_0_f2h_cold_reset_req_reset_n => not hps_cold_reset, -- hps_0_f2h_cold_reset_req.reset_n hps_0_f2h_debug_reset_req_reset_n => not hps_debug_reset, -- hps_0_f2h_debug_reset_req.reset_n hps_0_f2h_stm_hw_events_stm_hwevents => stm_hw_events, -- hps_0_f2h_stm_hw_events.stm_hwevents hps_0_f2h_warm_reset_req_reset_n => not hps_warm_reset, -- hps_0_f2h_warm_reset_req.reset_n hps_0_h2f_reset_reset_n => hps_fpga_reset_n, -- hps_0_h2f_reset.reset_n hps_0_hps_io_hps_io_emac1_inst_TX_CLK => HPS_ENET_GTX_CLK, -- hps_0_hps_io.hps_io_emac1_inst_TX_CLK hps_0_hps_io_hps_io_emac1_inst_TXD0 => HPS_ENET_TX_DATA(0), -- .hps_io_emac1_inst_TXD0 hps_0_hps_io_hps_io_emac1_inst_TXD1 => HPS_ENET_TX_DATA(1), -- .hps_io_emac1_inst_TXD1 hps_0_hps_io_hps_io_emac1_inst_TXD2 => HPS_ENET_TX_DATA(2), -- .hps_io_emac1_inst_TXD2 hps_0_hps_io_hps_io_emac1_inst_TXD3 => HPS_ENET_TX_DATA(3), -- .hps_io_emac1_inst_TXD3 hps_0_hps_io_hps_io_emac1_inst_RXD0 => HPS_ENET_RX_DATA(0), -- .hps_io_emac1_inst_RXD0 hps_0_hps_io_hps_io_emac1_inst_MDIO => HPS_ENET_MDIO, -- .hps_io_emac1_inst_MDIO hps_0_hps_io_hps_io_emac1_inst_MDC => HPS_ENET_MDC, -- .hps_io_emac1_inst_MDC hps_0_hps_io_hps_io_emac1_inst_RX_CTL => HPS_ENET_RX_DV, -- .hps_io_emac1_inst_RX_CTL hps_0_hps_io_hps_io_emac1_inst_TX_CTL => HPS_ENET_TX_EN, -- .hps_io_emac1_inst_TX_CTL hps_0_hps_io_hps_io_emac1_inst_RX_CLK => HPS_ENET_RX_CLK, -- .hps_io_emac1_inst_RX_CLK hps_0_hps_io_hps_io_emac1_inst_RXD1 => HPS_ENET_RX_DATA(1), -- .hps_io_emac1_inst_RXD1 hps_0_hps_io_hps_io_emac1_inst_RXD2 => HPS_ENET_RX_DATA(2), -- .hps_io_emac1_inst_RXD2 hps_0_hps_io_hps_io_emac1_inst_RXD3 => HPS_ENET_RX_DATA(3), -- .hps_io_emac1_inst_RXD3 hps_0_hps_io_hps_io_sdio_inst_CMD => HPS_SD_CMD, -- .hps_io_sdio_inst_CMD hps_0_hps_io_hps_io_sdio_inst_D0 => HPS_SD_DATA(0), -- .hps_io_sdio_inst_D0 hps_0_hps_io_hps_io_sdio_inst_D1 => HPS_SD_DATA(1), -- .hps_io_sdio_inst_D1 hps_0_hps_io_hps_io_sdio_inst_CLK => HPS_SD_CLK, -- .hps_io_sdio_inst_CLK hps_0_hps_io_hps_io_sdio_inst_D2 => HPS_SD_DATA(2), -- .hps_io_sdio_inst_D2 hps_0_hps_io_hps_io_sdio_inst_D3 => HPS_SD_DATA(3), -- .hps_io_sdio_inst_D3 hps_0_hps_io_hps_io_usb1_inst_D0 => HPS_USB_DATA(0), -- .hps_io_usb1_inst_D0 hps_0_hps_io_hps_io_usb1_inst_D1 => HPS_USB_DATA(1), -- .hps_io_usb1_inst_D1 hps_0_hps_io_hps_io_usb1_inst_D2 => HPS_USB_DATA(2), -- .hps_io_usb1_inst_D2 hps_0_hps_io_hps_io_usb1_inst_D3 => HPS_USB_DATA(3), -- .hps_io_usb1_inst_D3 hps_0_hps_io_hps_io_usb1_inst_D4 => HPS_USB_DATA(4), -- .hps_io_usb1_inst_D4 hps_0_hps_io_hps_io_usb1_inst_D5 => HPS_USB_DATA(5), -- .hps_io_usb1_inst_D5 hps_0_hps_io_hps_io_usb1_inst_D6 => HPS_USB_DATA(6), -- .hps_io_usb1_inst_D6 hps_0_hps_io_hps_io_usb1_inst_D7 => HPS_USB_DATA(7), -- .hps_io_usb1_inst_D7 hps_0_hps_io_hps_io_usb1_inst_CLK => HPS_USB_CLKOUT, -- .hps_io_usb1_inst_CLK hps_0_hps_io_hps_io_usb1_inst_STP => HPS_USB_STP, -- .hps_io_usb1_inst_STP hps_0_hps_io_hps_io_usb1_inst_DIR => HPS_USB_DIR, -- .hps_io_usb1_inst_DIR hps_0_hps_io_hps_io_usb1_inst_NXT => HPS_USB_NXT, -- .hps_io_usb1_inst_NXT hps_0_hps_io_hps_io_spim1_inst_CLK => HPS_SPIM_CLK, -- .hps_io_spim1_inst_CLK hps_0_hps_io_hps_io_spim1_inst_MOSI => HPS_SPIM_MOSI, -- .hps_io_spim1_inst_MOSI hps_0_hps_io_hps_io_spim1_inst_MISO => HPS_SPIM_MISO, -- .hps_io_spim1_inst_MISO hps_0_hps_io_hps_io_spim1_inst_SS0 => HPS_SPIM_SS, -- .hps_io_spim1_inst_SS0 hps_0_hps_io_hps_io_uart0_inst_RX => HPS_UART_RX, -- .hps_io_uart0_inst_RX hps_0_hps_io_hps_io_uart0_inst_TX => HPS_UART_TX, -- .hps_io_uart0_inst_TX hps_0_hps_io_hps_io_i2c0_inst_SDA => HPS_I2C0_SDAT, -- .hps_io_i2c0_inst_SDA hps_0_hps_io_hps_io_i2c0_inst_SCL => HPS_I2C0_SCLK, -- .hps_io_i2c0_inst_SCL hps_0_hps_io_hps_io_i2c1_inst_SDA => HPS_I2C1_SDAT, -- .hps_io_i2c1_inst_SDA hps_0_hps_io_hps_io_i2c1_inst_SCL => HPS_I2C1_SCLK, -- .hps_io_i2c1_inst_SCL hps_0_hps_io_hps_io_gpio_inst_GPIO09 => HPS_CONV_USB_N, -- .hps_io_gpio_inst_GPIO09 hps_0_hps_io_hps_io_gpio_inst_GPIO35 => HPS_ENET_INT_N, -- .hps_io_gpio_inst_GPIO35 hps_0_hps_io_hps_io_gpio_inst_GPIO40 => HPS_LTC_GPIO, -- .hps_io_gpio_inst_GPIO40 hps_0_hps_io_hps_io_gpio_inst_GPIO53 => HPS_LED, -- .hps_io_gpio_inst_GPIO53 hps_0_hps_io_hps_io_gpio_inst_GPIO54 => HPS_KEY, -- .hps_io_gpio_inst_GPIO54 hps_0_hps_io_hps_io_gpio_inst_GPIO61 => HPS_GSENSOR_INT, -- .hps_io_gpio_inst_GPIO61 memory_mem_a => HPS_DDR3_ADDR, -- memory.mem_a memory_mem_ba => HPS_DDR3_BA, -- .mem_ba memory_mem_ck => HPS_DDR3_CK_P, -- .mem_ck memory_mem_ck_n => HPS_DDR3_CK_N, -- .mem_ck_n memory_mem_cke => HPS_DDR3_CKE, -- .mem_cke memory_mem_cs_n => HPS_DDR3_CS_N, -- .mem_cs_n memory_mem_ras_n => HPS_DDR3_RAS_N, -- .mem_ras_n memory_mem_cas_n => HPS_DDR3_CAS_N, -- .mem_cas_n memory_mem_we_n => HPS_DDR3_WE_N, -- .mem_we_n memory_mem_reset_n => HPS_DDR3_RESET_N, -- .mem_reset_n memory_mem_dq => HPS_DDR3_DQ, -- .mem_dq memory_mem_dqs => HPS_DDR3_DQS_P, -- .mem_dqs memory_mem_dqs_n => HPS_DDR3_DQS_N, -- .mem_dqs_n memory_mem_odt => HPS_DDR3_ODT, -- .mem_odt memory_mem_dm => HPS_DDR3_DM, -- .mem_dm memory_oct_rzqin => HPS_DDR3_RZQ, -- .oct_rzqin onboard_button_external_connection_export => fpga_debounced_buttons, -- onboard_button_external_connection.export onboard_dipsw_external_connection_export => SW, -- onboard_dipsw_external_connection.export onboard_led_external_connection_export => fpga_led_internal, -- onboard_led_external_connection.export reset_reset_n => hps_fpga_reset_n, -- reset.reset_n rotary_encoder_0_conduit_end_rot_input => speed_rotary, -- rotary_encoder_0_conduit_end.rot_input spi_0_external_connection_MISO => spi_0_miso, -- spi_0_external_connection.MISO spi_0_external_connection_MOSI => spi_0_mosi, -- .MOSI spi_0_external_connection_SCLK => spi_0_sclk, -- .SCLK spi_0_external_connection_SS_n => spi_0_cs_n, -- .SS_n steering_pwm_pwm_signal_export => garfield_steering_pwm, -- steering_pwm_pwm_signal.export i2c_opencores_0_export_sda_pad_in => i2c0_sda_i, -- sda_pad_in i2c_opencores_0_export_sda_pad_out => i2c0_sda_o, -- sda_pad_out i2c_opencores_0_export_sda_pad_en => i2c0_sda_en, -- sda_pad_en i2c_opencores_0_export_scl_pad_in => i2c0_scl_i, -- scl_pad_in i2c_opencores_0_export_scl_pad_out => i2c0_scl_o, -- scl_pad_out i2c_opencores_0_export_scl_pad_en => i2c0_scl_en ); pulse_cold_reset : component altera_edge_detector generic map( PULSE_EXT => 6, EDGE_TYPE => 1, IGNORE_RST_WHILE_BUSY => 1 ) port map( clk => fpga_clk_50, rst_n => hps_fpga_reset_n, signal_in => hps_reset_req(0), pulse_out => hps_cold_reset ); pulse_warm_reset : component altera_edge_detector generic map( PULSE_EXT => 2, EDGE_TYPE => 1, IGNORE_RST_WHILE_BUSY => 1 ) port map( clk => fpga_clk_50, rst_n => hps_fpga_reset_n, signal_in => hps_reset_req(1), pulse_out => hps_warm_reset ); pulse_debug_reset : component altera_edge_detector generic map( PULSE_EXT => 32, EDGE_TYPE => 1, IGNORE_RST_WHILE_BUSY => 1 ) port map( clk => fpga_clk_50, rst_n => hps_fpga_reset_n, signal_in => hps_reset_req(2), pulse_out => hps_debug_reset ); ---------------------------------------------------------------------------- -- concurrent statements ---------------------------------------------------------------------------- -- i2c0 GPIO_1(0) <= 'Z' when i2c0_sda_en = '1' else i2c0_sda_o; GPIO_1(2) <= 'Z' when i2c0_scl_en = '1' else i2c0_scl_o; i2c0_sda_i <= GPIO_1(0); i2c0_scl_i <= GPIO_1(2); -- garfield lighting GPIO_1(1) <= garfield_lighting(0); GPIO_1(3) <= garfield_lighting(1); GPIO_1(5) <= garfield_lighting(2); GPIO_1(7) <= garfield_lighting(3); -- spi for display ARDUINO_IO(13) <= spi_0_sclk; ARDUINO_IO(12) <= spi_0_miso; ARDUINO_IO(11) <= spi_0_mosi; ARDUINO_IO(10) <= spi_0_cs_n(0); -- tft chipselect ARDUINO_IO(9) <= garfield_gpio(7); -- tft data command switch ARDUINO_IO(4) <= spi_0_cs_n(1); -- sd card chipselect -- pwm's and rotary of garfield GPIO_1(4) <= garfield_drive_pwm; GPIO_1(6) <= garfield_gpio(0); GPIO_1(9) <= garfield_steering_pwm; speed_rotary <= GPIO_1(11); -- others stm_hw_events <= (others => '0'); fpga_clk_50 <= FPGA_CLK1_50; LED(7 downto 1) <= fpga_led_internal(7 downto 1); LED(0) <= status_led; ---------------------------------------------------------------------------- -- processes ---------------------------------------------------------------------------- status_led_proc : process(fpga_clk_50, hps_fpga_reset_n) is begin if (hps_fpga_reset_n = '0') then status_led_counter <= 0; elsif (rising_edge(fpga_clk_50)) then if status_led_counter = 24999999 then status_led <= not status_led; status_led_counter <= 0; else status_led_counter <= status_led_counter + 1; end if; end if; end process status_led_proc; end architecture RTL;
library verilog; use verilog.vl_types.all; entity Mux4_1 is port( Data0 : in vl_logic_vector(31 downto 0); Data1 : in vl_logic_vector(31 downto 0); Data2 : in vl_logic_vector(31 downto 0); Data3 : in vl_logic_vector(31 downto 0); Sel : in vl_logic_vector(1 downto 0); Data : out vl_logic_vector(31 downto 0) ); end Mux4_1;
library verilog; use verilog.vl_types.all; entity Mux4_1 is port( Data0 : in vl_logic_vector(31 downto 0); Data1 : in vl_logic_vector(31 downto 0); Data2 : in vl_logic_vector(31 downto 0); Data3 : in vl_logic_vector(31 downto 0); Sel : in vl_logic_vector(1 downto 0); Data : out vl_logic_vector(31 downto 0) ); end Mux4_1;
-- SLCD.VHD (a peripheral module for SCOMP) -- 2009.10.10 -- -- The simple LCD controller displays a single 16 bit register on the top line -- of the LCD. -- It sends an initialization string to the LCD, then repeatedly writes a four- -- digit hex value to a fixed location in the display. The value is latched -- whenever the device is selected by CS. -- See datasheets for the HD44780 or equivalent LCD controller. LIBRARY IEEE; LIBRARY LPM; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE LPM.LPM_COMPONENTS.ALL; ENTITY SLCD IS PORT( CLOCK_10KHZ : IN STD_LOGIC; RESETN : IN STD_LOGIC; CS : IN STD_LOGIC; IO_DATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); LCD_RS : OUT STD_LOGIC; LCD_RW : OUT STD_LOGIC; LCD_E : OUT STD_LOGIC; LCD_D : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END SLCD; ARCHITECTURE a OF SLCD IS TYPE STATE_TYPE IS ( RESET, INIT, INIT_CLOCK, CURPOS, CURPOS_CLOCK, SWRITE, SWRITE_CLOCK ); TYPE CSTR15_TYPE IS ARRAY (0 TO 15) OF STD_LOGIC_VECTOR(7 DOWNTO 0); TYPE CSTR08_TYPE IS ARRAY (0 TO 7) OF STD_LOGIC_VECTOR(7 DOWNTO 0); TYPE CSTR04_TYPE IS ARRAY (0 TO 3) OF STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL state : STATE_TYPE; SIGNAL ascii : CSTR15_TYPE; SIGNAL cstr : CSTR04_TYPE; SIGNAL istr : CSTR08_TYPE; SIGNAL count : INTEGER RANGE 0 TO 1000; SIGNAL delay : INTEGER RANGE 0 TO 100; SIGNAL data_in : STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN -- LCD initialization string istr(0) <= x"38"; -- Wakeup istr(1) <= x"38"; -- Wakeup istr(2) <= x"38"; -- Wakeup istr(3) <= x"38"; -- Function set: 2 lines, 5x8 dot font istr(4) <= x"08"; -- Display off istr(5) <= x"01"; -- Clear display istr(6) <= x"0C"; -- Display on istr(7) <= x"04"; -- Entry mode set (left to right) ascii( 0) <= x"30"; -- ASCII table values ascii( 1) <= x"31"; ascii( 2) <= x"32"; ascii( 3) <= x"33"; ascii( 4) <= x"34"; ascii( 5) <= x"35"; ascii( 6) <= x"36"; ascii( 7) <= x"37"; ascii( 8) <= x"38"; ascii( 9) <= x"39"; ascii(10) <= x"41"; ascii(11) <= x"42"; ascii(12) <= x"43"; ascii(13) <= x"44"; ascii(14) <= x"45"; ascii(15) <= x"46"; LCD_RW <= '0'; cstr(0) <= ascii(CONV_INTEGER(data_in( 3 DOWNTO 0))); cstr(1) <= ascii(CONV_INTEGER(data_in( 7 DOWNTO 4))); cstr(2) <= ascii(CONV_INTEGER(data_in(11 DOWNTO 8))); cstr(3) <= ascii(CONV_INTEGER(data_in(15 DOWNTO 12))); -- This process latches the incoming data value on the rising edge of CS PROCESS (RESETN, CS) BEGIN IF (RESETN = '0') THEN data_in <= x"0000"; ELSIF (RISING_EDGE(CS)) THEN data_in <= IO_DATA; END IF; END PROCESS; -- This processes writes the latched data values to the LCD PROCESS (RESETN, CLOCK_10KHZ) BEGIN IF (RESETN = '0') THEN LCD_D <= x"00"; LCD_RS <= '0'; LCD_E <= '0'; count <= 0; delay <= 0; state <= RESET; ELSIF (RISING_EDGE(CLOCK_10KHZ)) THEN CASE state IS WHEN RESET => -- wait about 0.1 sec (exceeds 15 ms requirement) IF (count > 999) THEN count <= 0; state <= INIT; ELSE count <= count + 1; END IF; WHEN INIT => -- send an init command LCD_RS <= '0'; LCD_E <= '1'; LCD_D <= istr(count); count <= count + 1; delay <= 0; state <= INIT_CLOCK; WHEN INIT_CLOCK => -- latch the command and wait LCD_E <= '0'; -- dropping LCD_E latches delay <= delay + 1; IF (delay >= 99) THEN -- wait about 10 ms between init commands IF (count < 8) THEN state <= INIT; ELSE state <= CURPOS; END IF; END IF; -- all remaining states have no waits. 100 us per state -- write (enable) states alternate with latching states WHEN CURPOS => -- Move to 11th character posn on line 1 LCD_RS <= '0'; LCD_E <= '1'; LCD_D <= x"8A"; state <= CURPOS_CLOCK; WHEN CURPOS_CLOCK => LCD_E <= '0'; count <= 0; state <= SWRITE; WHEN SWRITE => -- Write (least significant digit first) LCD_RS <= '1'; LCD_E <= '1'; LCD_D <= cstr(count); count <= count + 1; state <= SWRITE_CLOCK; WHEN SWRITE_CLOCK => -- Finish write (moves left on screen in chosen mode) LCD_E <= '0'; IF (count >= 4) THEN state <= CURPOS; ELSE state <= SWRITE; END IF; END CASE; END IF; END PROCESS; END a;
------------------------------------------------------------------------------ -- adau1761_audio.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: adau1761_audio.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Tue May 20 11:28:03 2014 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library axi_lite_ipif_v1_01_a; use axi_lite_ipif_v1_01_a.axi_lite_ipif; library adau1761_audio_v1_00_a; use adau1761_audio_v1_00_a.user_logic; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width -- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width -- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size -- C_USE_WSTRB -- AXI4LITE slave: Write Strobe -- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout -- C_BASEADDR -- AXI4LITE slave: base address -- C_HIGHADDR -- AXI4LITE slave: high address -- C_FAMILY -- FPGA Family -- C_NUM_REG -- Number of software accessible registers -- C_NUM_MEM -- Number of address-ranges -- C_SLV_AWIDTH -- Slave interface address bus width -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- S_AXI_ACLK -- AXI4LITE slave: Clock -- S_AXI_ARESETN -- AXI4LITE slave: Reset -- S_AXI_AWADDR -- AXI4LITE slave: Write address -- S_AXI_AWVALID -- AXI4LITE slave: Write address valid -- S_AXI_WDATA -- AXI4LITE slave: Write data -- S_AXI_WSTRB -- AXI4LITE slave: Write strobe -- S_AXI_WVALID -- AXI4LITE slave: Write data valid -- S_AXI_BREADY -- AXI4LITE slave: Response ready -- S_AXI_ARADDR -- AXI4LITE slave: Read address -- S_AXI_ARVALID -- AXI4LITE slave: Read address valid -- S_AXI_RREADY -- AXI4LITE slave: Read data ready -- S_AXI_ARREADY -- AXI4LITE slave: read addres ready -- S_AXI_RDATA -- AXI4LITE slave: Read data -- S_AXI_RRESP -- AXI4LITE slave: Read data response -- S_AXI_RVALID -- AXI4LITE slave: Read data valid -- S_AXI_WREADY -- AXI4LITE slave: Write data ready -- S_AXI_BRESP -- AXI4LITE slave: Response -- S_AXI_BVALID -- AXI4LITE slave: Resonse valid -- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready ------------------------------------------------------------------------------ entity adau1761_audio is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer := 8; C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_FAMILY : string := "virtex6"; C_NUM_REG : integer := 1; C_NUM_MEM : integer := 1; C_SLV_AWIDTH : integer := 32; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here clk_100 : IN std_logic; clk_48_o : OUT std_logic; AC_GPIO1 : IN std_logic; AC_GPIO2 : IN std_logic; AC_GPIO3 : IN std_logic; --AC_SDA : INOUT std_logic; AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; AUDIO_OUT_L : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_OUT_R : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_L : IN STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_R : IN STD_LOGIC_VECTOR(23 downto 0); AC_ADR0 : OUT std_logic; AC_ADR1 : OUT std_logic; AC_GPIO0 : OUT std_logic; AC_MCLK : OUT std_logic; AC_SCK : OUT std_logic; new_sample : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000"; attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000"; attribute SIGIS of S_AXI_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_ARESETN : signal is "Rst"; end entity adau1761_audio; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of adau1761_audio is constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); constant USER_SLV_NUM_REG : integer := 2; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant TOTAL_IPIF_CE : integer := USER_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Resetn : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0); signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0); signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; signal AC_SDA_tmp : std_logic; signal clk_48_s : std_logic; begin ------------------------------------------ -- instantiate axi_lite_ipif ------------------------------------------ AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif generic map ( C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE, Bus2IP_Data => ipif_Bus2IP_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, IP2Bus_Data => ipif_IP2Bus_Data ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity adau1761_audio_v1_00_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_NUM_REG => USER_NUM_REG, C_SLV_DWIDTH => USER_SLV_DWIDTH ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here clk_100 => clk_100, clk_48_o => clk_48_s, AC_ADR0 => AC_ADR0, AC_ADR1 => AC_ADR1, AC_GPIO0 => AC_GPIO0, AC_GPIO1 => AC_GPIO1, AC_GPIO2 => AC_GPIO2, AC_GPIO3 => AC_GPIO3, AC_MCLK => AC_MCLK, AC_SCK => AC_SCK, new_sample => new_sample, AC_SDA_I => AC_SDA_I, AC_SDA_O => AC_SDA_O, AC_SDA_T => AC_SDA_T, --AC_SDA => AC_SDA, AUDIO_OUT_L => AUDIO_OUT_L, AUDIO_OUT_R => AUDIO_OUT_R, AUDIO_IN_L => AUDIO_IN_L, AUDIO_IN_R => AUDIO_IN_R, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; clk_48_o <= clk_48_s; --AC_SDA_tmp <= AC_SDA_I when AC_SDA_T = '0' else 'Z'; --AC_SDA_O <= AC_SDA_tmp; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0); end IMP;
------------------------------------------------------------------------------ -- adau1761_audio.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: adau1761_audio.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Tue May 20 11:28:03 2014 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library axi_lite_ipif_v1_01_a; use axi_lite_ipif_v1_01_a.axi_lite_ipif; library adau1761_audio_v1_00_a; use adau1761_audio_v1_00_a.user_logic; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width -- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width -- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size -- C_USE_WSTRB -- AXI4LITE slave: Write Strobe -- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout -- C_BASEADDR -- AXI4LITE slave: base address -- C_HIGHADDR -- AXI4LITE slave: high address -- C_FAMILY -- FPGA Family -- C_NUM_REG -- Number of software accessible registers -- C_NUM_MEM -- Number of address-ranges -- C_SLV_AWIDTH -- Slave interface address bus width -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- S_AXI_ACLK -- AXI4LITE slave: Clock -- S_AXI_ARESETN -- AXI4LITE slave: Reset -- S_AXI_AWADDR -- AXI4LITE slave: Write address -- S_AXI_AWVALID -- AXI4LITE slave: Write address valid -- S_AXI_WDATA -- AXI4LITE slave: Write data -- S_AXI_WSTRB -- AXI4LITE slave: Write strobe -- S_AXI_WVALID -- AXI4LITE slave: Write data valid -- S_AXI_BREADY -- AXI4LITE slave: Response ready -- S_AXI_ARADDR -- AXI4LITE slave: Read address -- S_AXI_ARVALID -- AXI4LITE slave: Read address valid -- S_AXI_RREADY -- AXI4LITE slave: Read data ready -- S_AXI_ARREADY -- AXI4LITE slave: read addres ready -- S_AXI_RDATA -- AXI4LITE slave: Read data -- S_AXI_RRESP -- AXI4LITE slave: Read data response -- S_AXI_RVALID -- AXI4LITE slave: Read data valid -- S_AXI_WREADY -- AXI4LITE slave: Write data ready -- S_AXI_BRESP -- AXI4LITE slave: Response -- S_AXI_BVALID -- AXI4LITE slave: Resonse valid -- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready ------------------------------------------------------------------------------ entity adau1761_audio is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer := 8; C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_FAMILY : string := "virtex6"; C_NUM_REG : integer := 1; C_NUM_MEM : integer := 1; C_SLV_AWIDTH : integer := 32; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here clk_100 : IN std_logic; clk_48_o : OUT std_logic; AC_GPIO1 : IN std_logic; AC_GPIO2 : IN std_logic; AC_GPIO3 : IN std_logic; --AC_SDA : INOUT std_logic; AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; AUDIO_OUT_L : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_OUT_R : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_L : IN STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_R : IN STD_LOGIC_VECTOR(23 downto 0); AC_ADR0 : OUT std_logic; AC_ADR1 : OUT std_logic; AC_GPIO0 : OUT std_logic; AC_MCLK : OUT std_logic; AC_SCK : OUT std_logic; new_sample : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000"; attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000"; attribute SIGIS of S_AXI_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_ARESETN : signal is "Rst"; end entity adau1761_audio; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of adau1761_audio is constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); constant USER_SLV_NUM_REG : integer := 2; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant TOTAL_IPIF_CE : integer := USER_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Resetn : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0); signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0); signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; signal AC_SDA_tmp : std_logic; signal clk_48_s : std_logic; begin ------------------------------------------ -- instantiate axi_lite_ipif ------------------------------------------ AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif generic map ( C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE, Bus2IP_Data => ipif_Bus2IP_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, IP2Bus_Data => ipif_IP2Bus_Data ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity adau1761_audio_v1_00_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_NUM_REG => USER_NUM_REG, C_SLV_DWIDTH => USER_SLV_DWIDTH ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here clk_100 => clk_100, clk_48_o => clk_48_s, AC_ADR0 => AC_ADR0, AC_ADR1 => AC_ADR1, AC_GPIO0 => AC_GPIO0, AC_GPIO1 => AC_GPIO1, AC_GPIO2 => AC_GPIO2, AC_GPIO3 => AC_GPIO3, AC_MCLK => AC_MCLK, AC_SCK => AC_SCK, new_sample => new_sample, AC_SDA_I => AC_SDA_I, AC_SDA_O => AC_SDA_O, AC_SDA_T => AC_SDA_T, --AC_SDA => AC_SDA, AUDIO_OUT_L => AUDIO_OUT_L, AUDIO_OUT_R => AUDIO_OUT_R, AUDIO_IN_L => AUDIO_IN_L, AUDIO_IN_R => AUDIO_IN_R, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; clk_48_o <= clk_48_s; --AC_SDA_tmp <= AC_SDA_I when AC_SDA_T = '0' else 'Z'; --AC_SDA_O <= AC_SDA_tmp; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0); end IMP;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddrsp64a -- File: ddrsp64a.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: 64-bit DDR266 memory controller with asych AHB interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; library techmap; use techmap.gencomp.all; entity ddrsp64a is generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 8; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end; architecture rtl of ddrsp64a is constant REVISION : integer := 0; constant CMD_PRE : std_logic_vector(2 downto 0) := "010"; constant CMD_REF : std_logic_vector(2 downto 0) := "100"; constant CMD_LMR : std_logic_vector(2 downto 0) := "110"; constant CMD_EMR : std_logic_vector(2 downto 0) := "111"; constant abuf : integer := 6; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_DDRSP, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), 5 => ahb_iobar(ioaddr, iomask), others => zero32); type mcycletype is (midle, active, ext, leadout); type ahb_state_type is (midle, rhold, dread, dwrite, whold1, whold2); type sdcycletype is (act1, act2, act3, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd8, wr1, wr2, wr3, wr4a, wr4, wr5, sidle, ioreg1, ioreg2); type icycletype is (iidle, pre, ref1, ref2, emode, lmode, finish); -- sdram configuration register type sdram_cfg_type is record command : std_logic_vector(2 downto 0); csize : std_logic_vector(1 downto 0); bsize : std_logic_vector(2 downto 0); trcd : std_ulogic; -- tCD : 2/3 clock cycles trfc : std_logic_vector(2 downto 0); trp : std_ulogic; -- precharge to activate: 2/3 clock cycles refresh : std_logic_vector(11 downto 0); renable : std_ulogic; dllrst : std_ulogic; refon : std_ulogic; cke : std_ulogic; end record; type access_param is record haddr : std_logic_vector(31 downto 0); size : std_logic_vector(1 downto 0); hwrite : std_ulogic; hio : std_ulogic; end record; -- local registers type ahb_reg_type is record hready : std_ulogic; hsel : std_ulogic; hio : std_ulogic; startsd : std_ulogic; ready : std_ulogic; ready2 : std_ulogic; write : std_logic_vector(3 downto 0); state : ahb_state_type; haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector(31 downto 0); hwdata : std_logic_vector(31 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); raddr : std_logic_vector(abuf-1 downto 0); size : std_logic_vector(1 downto 0); acc : access_param; end record; type ddr_reg_type is record startsd : std_ulogic; startsdold : std_ulogic; burst : std_ulogic; hready : std_ulogic; bdrive : std_ulogic; qdrive : std_ulogic; nbdrive : std_ulogic; mstate : mcycletype; sdstate : sdcycletype; cmstate : mcycletype; istate : icycletype; trfc : std_logic_vector(2 downto 0); refresh : std_logic_vector(11 downto 0); sdcsn : std_logic_vector(1 downto 0); sdwen : std_ulogic; rasn : std_ulogic; casn : std_ulogic; dqm : std_logic_vector(15 downto 0); address : std_logic_vector(15 downto 2); -- memory address ba : std_logic_vector( 1 downto 0); waddr : std_logic_vector(abuf-1 downto 0); cfg : sdram_cfg_type; hrdata : std_logic_vector(127 downto 0); end record; signal vcc : std_ulogic; signal r, ri : ddr_reg_type; signal ra, rai : ahb_reg_type; signal rbdrive, ribdrive : std_logic_vector(31 downto 0); signal rdata, wdata : std_logic_vector(127 downto 0); attribute syn_preserve : boolean; attribute syn_preserve of rbdrive : signal is true; begin vcc <= '1'; ahb_ctrl : process(rst, ahbsi, r, ra, rdata) variable v : ahb_reg_type; -- local variables for registers variable startsd : std_ulogic; variable dout : std_logic_vector(31 downto 0); begin v := ra; v.hresp := HRESP_OKAY; v.write := "0000"; case ra.raddr(1 downto 0) is when "00" => v.hrdata := rdata(127 downto 96); when "01" => v.hrdata := rdata(95 downto 64); when "10" => v.hrdata := rdata(63 downto 32); when others => v.hrdata := rdata(31 downto 0); end case; v.ready := not (ra.startsd xor r.startsdold); v.ready2 := ra.ready; if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then v.htrans := ahbsi.htrans; v.haddr := ahbsi.haddr; v.size := ahbsi.hsize(1 downto 0); v.hwrite := ahbsi.hwrite; if ahbsi.htrans(1) = '1' then v.hio := ahbsi.hmbsel(1); v.hsel := '1'; v.hready := '0'; end if; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex); end if; -- if (ra.hsel and ra.hio and not ra.hready) = '1' then v.hready := '1'; end if; case ra.state is when midle => if ((v.hsel and v.htrans(1)) = '1') then if v.hwrite = '0' then v.state := rhold; v.startsd := not ra.startsd; else v.state := dwrite; v.hready := '1'; -- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2); v.write := decode(v.haddr(3 downto 2)); end if; end if; v.raddr := ra.haddr(7 downto 2); v.ready := '0'; v.ready2 := '0'; -- if not ((ra.hsel and ra.htrans(1) and not ra.htrans(0)) = '1') then if ahbsi.hready = '1' then v.acc := (v.haddr, v.size, v.hwrite, v.hio); end if; when rhold => v.raddr := ra.haddr(7 downto 2); if ra.ready2 = '1' then v.state := dread; v.hready := '1'; v.raddr := ra.raddr + 1; end if; when dread => v.raddr := ra.raddr + 1; v.hready := '1'; if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or (ra.raddr(2 downto 0) = "000") then v.state := midle; v.hready := '0'; end if; v.acc := (v.haddr, v.size, v.hwrite, v.hio); when dwrite => v.raddr := ra.haddr(7 downto 2); v.hready := '1'; -- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2); v.write := decode(v.haddr(3 downto 2)); if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or (ra.haddr(4 downto 2) = "111") then v.startsd := not ra.startsd; v.state := whold1; v.write := "0000"; v.hready := '0'; end if; when whold1 => v.state := whold2; v.ready := '0'; when whold2 => if ra.ready = '1' then v.state := midle; v.acc := (v.haddr, v.size, v.hwrite, v.hio); end if; end case; v.hwdata := ahbsi.hwdata; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then v.hready := '1'; end if; end if; dout := ra.hrdata(31 downto 0); if rst = '0' then v.hsel := '0'; v.hready := '1'; v.state := midle; v.startsd := '0'; v.hio := '0'; end if; rai <= v; ahbso.hready <= ra.hready; ahbso.hresp <= ra.hresp; ahbso.hrdata <= dout; ahbso.hcache <= not ra.hio; end process; ddr_ctrl : process(rst, r, ra, sdi, rbdrive, wdata) variable v : ddr_reg_type; -- local variables for registers variable startsd : std_ulogic; variable dqm : std_logic_vector(15 downto 0); variable raddr : std_logic_vector(13 downto 0); variable adec : std_ulogic; variable rams : std_logic_vector(1 downto 0); variable ba : std_logic_vector(1 downto 0); variable haddr : std_logic_vector(31 downto 0); variable hsize : std_logic_vector(1 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable vbdrive : std_logic_vector(31 downto 0); variable bdrive : std_ulogic; variable writecfg: std_ulogic; variable regsd1 : std_logic_vector(31 downto 0); -- data from registers variable regsd2 : std_logic_vector(31 downto 0); -- data from registers begin -- Variable default settings to avoid latches v := r; v.hready := '0'; writecfg := '0'; vbdrive := rbdrive; v.hrdata := sdi.data; v.qdrive :='0'; regsd1 := (others => '0'); regsd1(31 downto 15) := r.cfg.refon & r.cfg.trp & r.cfg.trfc & r.cfg.trcd & r.cfg.bsize & r.cfg.csize & r.cfg.command & r.cfg.dllrst & r.cfg.renable & r.cfg.cke; regsd1(11 downto 0) := r.cfg.refresh; regsd2 := (others => '0'); regsd2(8 downto 0) := conv_std_logic_vector(MHz, 9); regsd2(14 downto 12) := conv_std_logic_vector(3, 3); -- generate DQM from address and write size case ra.acc.size is when "00" => case ra.acc.haddr(3 downto 0) is when "0000" => dqm := "0111111111111111"; when "0001" => dqm := "1011111111111111"; when "0010" => dqm := "1101111111111111"; when "0011" => dqm := "1110111111111111"; when "0100" => dqm := "1111011111111111"; when "0101" => dqm := "1111101111111111"; when "0110" => dqm := "1111110111111111"; when "0111" => dqm := "1111111011111111"; when "1000" => dqm := "1111111101111111"; when "1001" => dqm := "1111111110111111"; when "1010" => dqm := "1111111111011111"; when "1011" => dqm := "1111111111101111"; when "1100" => dqm := "1111111111110111"; when "1101" => dqm := "1111111111111011"; when "1110" => dqm := "1111111111111101"; when others => dqm := "1111111111111110"; end case; when "01" => case ra.acc.haddr(3 downto 1) is when "000" => dqm := "0011111111111111"; when "001" => dqm := "1100111111111111"; when "010" => dqm := "1111001111111111"; when "011" => dqm := "1111110011111111"; when "100" => dqm := "1111111100111111"; when "101" => dqm := "1111111111001111"; when "110" => dqm := "1111111111110011"; when others => dqm := "1111111111111100"; end case; when others => dqm := "0000000000000000"; end case; v.startsd := ra.startsd; -- main FSM case r.mstate is when midle => if r.startsd = '1' then if (r.sdstate = sidle) and (r.cfg.command = "000") and (r.cmstate = midle) then startsd := '1'; v.mstate := active; end if; end if; when others => null; end case; startsd := r.startsd xor r.startsdold; -- generate row and column address size haddr := ra.acc.haddr; haddr(31 downto 20) := haddr(31 downto 20) and not conv_std_logic_vector(hmask, 12); case r.cfg.csize is when "00" => raddr := haddr(25 downto 12); when "01" => raddr := haddr(26 downto 13); when "10" => raddr := haddr(27 downto 14); when others => raddr := haddr(28 downto 15); end case; -- generate bank address ba := genmux(r.cfg.bsize, haddr(29 downto 22)) & genmux(r.cfg.bsize, haddr(28 downto 21)); -- generate chip select adec := genmux(r.cfg.bsize, haddr(30 downto 23)); rams := adec & not adec; -- sdram access FSM if r.trfc /= "000" then v.trfc := r.trfc - 1; end if; case r.sdstate is when sidle => if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle) and (r.istate = finish) then v.address := raddr; v.ba := ba; if ra.acc.hio = '0' then v.sdcsn := not rams(1 downto 0); v.rasn := '0'; v.sdstate := act1; else v.sdstate := ioreg1; end if; end if; v.waddr := ra.acc.haddr(7 downto 2); when act1 => v.rasn := '1'; v.trfc := r.cfg.trfc; if r.cfg.trcd = '1' then v.sdstate := act2; else v.sdstate := act3; v.hready := ra.acc.hwrite; end if; v.waddr := ra.acc.haddr(7 downto 2); when act2 => v.sdstate := act3; v.hready := ra.acc.hwrite; when act3 => v.casn := '0'; v.address := ra.acc.haddr(15 downto 13) & '0' & ra.acc.haddr(12 downto 4) & '0'; v.dqm := dqm; if ra.acc.hwrite = '1' then v.waddr := r.waddr + 4; v.waddr(1 downto 0) := "00"; v.sdstate := wr1; v.sdwen := '0'; v.bdrive := '0'; v.qdrive := '1'; if (r.waddr /= ra.raddr) then v.hready := '1'; if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) then if r.waddr(1) = '1' then v.dqm(15 downto 8) := (others => '1'); else case ra.raddr(1 downto 0) is when "01" => v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(3 downto 0) := (others => '1'); v.dqm(15 downto 12) := (others => r.waddr(0)); when others => v.dqm(15 downto 12) := (others => r.waddr(0)); end case; end if; else case r.waddr(1 downto 0) is when "01" => v.dqm(15 downto 12) := (others => '1'); when "10" => v.dqm(15 downto 8) := (others => '1'); when "11" => v.dqm(15 downto 4) := (others => '1'); when others => null; end case; end if; else case r.waddr(1 downto 0) is when "00" => v.dqm(11 downto 0) := (others => '1'); when "01" => v.dqm(15 downto 12) := (others => '1'); v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(15 downto 8) := (others => '1'); v.dqm(3 downto 0) := (others => '1'); when others => v.dqm(15 downto 4) := (others => '1'); end case; end if; else v.sdstate := rd1; end if; when wr1 => v.sdwen := '1'; v.casn := '1'; v.qdrive := '1'; v.waddr := r.waddr + 4; v.dqm := (others => '0'); v.address(8 downto 3) := r.waddr; if (r.waddr <= ra.raddr) and (r.waddr(5 downto 2) /= "0000") and (r.hready = '1') then v.hready := '1'; if (r.hready = '1') and (r.waddr(2 downto 0) = "000") then v.sdwen := '0'; v.casn := '0'; end if; if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) and (r.waddr /= "000000") then case ra.raddr(1 downto 0) is when "00" => v.dqm(11 downto 0) := (others => '1'); when "01" => v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(3 downto 0) := (others => '1'); when others => null; end case; end if; else v.sdstate := wr2; v.dqm := (others => '1'); --v.bdrive := '1'; v.startsdold := r.startsd; end if; when wr2 => v.sdstate := wr3; v.qdrive := '1'; when wr3 => v.sdstate := wr4a; v.qdrive := '1'; when wr4a => v.bdrive := '1'; v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4; v.qdrive := '1'; when wr4 => v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1'; v.qdrive := '0'; v.sdstate := wr5; when wr5 => v.sdstate := sidle; when rd1 => v.casn := '1'; v.sdstate := rd7; -- if ra.acc.haddr(4 downto 2) = "011" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; when rd7 => v.casn := '1'; v.sdstate := rd2; -- if ra.acc.haddr(4 downto 2) = "010" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; when rd2 => v.casn := '1'; v.sdstate := rd3; -- if ra.acc.haddr(4 downto 2) = "001" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; -- if v.sdwen = '0' then v.dqm := (others => '1'); end if; when rd3 => if fast = 0 then v.startsdold := r.startsd; end if; v.sdstate := rd4; v.hready := '1'; v.casn := '1'; -- if r.sdwen = '0' then -- v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1'); -- elsif ra.acc.haddr(4 downto 2) = "000" then -- v.casn := '0'; v.burst := '1'; v.address(5) := '1'; -- v.waddr := v.address(8 downto 3); -- end if; if v.hready = '1' then v.waddr := r.waddr + 4; end if; when rd4 => v.hready := '1'; v.casn := '1'; -- if (r.sdcsn /= "11") and (r.waddr(1 downto 0) = "11") and (r.burst = '1') -- then -- v.burst := '0'; if (r.sdcsn = "11") or (r.waddr(2 downto 2) = "1") then v.dqm := (others => '1'); v.burst := '0'; if fast /= 0 then v.startsdold := r.startsd; end if; if (r.sdcsn /= "11") then v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5; else if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; end if; end if; end if; if v.hready = '1' then v.waddr := r.waddr + 4; end if; when rd5 => if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; end if; v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); when rd6 => v.sdstate := sidle; v.dqm := (others => '1'); v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; when ioreg1 => v.hrdata(127 downto 64) := regsd1 & regsd2; v.sdstate := ioreg2; if ra.acc.hwrite = '0' then v.hready := '1'; end if; when ioreg2 => writecfg := ra.acc.hwrite and not r.waddr(0); v.startsdold := r.startsd; v.sdstate := sidle; when others => v.sdstate := sidle; end case; -- sdram commands case r.cmstate is when midle => if r.sdstate = sidle then case r.cfg.command is when CMD_PRE => -- precharge v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0'; v.address(12) := '1'; v.cmstate := active; when CMD_REF => -- auto-refresh v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.cmstate := active; when CMD_EMR => -- load-ext-mode-reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.ba := "01"; v.address := "00000000000000"; when CMD_LMR => -- load-mode-reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.ba := "00"; -- v.address := "00000" & r.cfg.dllrst & "0" & "01" & r.cfg.trcd & "0011"; v.address := "00000" & r.cfg.dllrst & "0" & "01" & "00010"; when others => null; end case; end if; when active => v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1'; v.sdwen := '1'; v.cfg.command := "000"; v.cmstate := leadout; v.trfc := r.cfg.trfc; when others => if r.trfc = "000" then v.cmstate := midle; end if; end case; -- sdram init case r.istate is when iidle => if r.cfg.renable = '1' then v.cfg.cke := '1'; v.cfg.dllrst := '1'; if r.cfg.cke = '1' then v.istate := pre; v.cfg.command := CMD_PRE; end if; v.ba := "00"; end if; when pre => if r.cfg.command = "000" then v.cfg.command := "11" & r.cfg.dllrst; -- CMD_LMR/CMD_EMR if r.cfg.dllrst = '1' then v.istate := emode; else v.istate := lmode; end if; end if; when emode => if r.cfg.command = "000" then v.istate := lmode; v.cfg.command := CMD_LMR; end if; when lmode => if r.cfg.command = "000" then if r.cfg.dllrst = '1' then if r.refresh(9 downto 8) = "00" then -- > 200 clocks delay v.cfg.command := CMD_PRE; v.istate := ref1; end if; else v.istate := finish; --v.cfg.command := CMD_LMR; v.cfg.refon := '1'; v.cfg.renable := '0'; end if; end if; when ref1 => if r.cfg.command = "000" then v.cfg.command := CMD_REF; v.cfg.dllrst := '0'; v.istate := ref2; end if; when ref2 => if r.cfg.command = "000" then v.cfg.command := CMD_REF; v.istate := pre; end if; when others => if r.cfg.renable = '1' then v.istate := iidle; v.cfg.dllrst := '1'; end if; end case; -- second part of main fsm case r.mstate is when active => if v.hready = '1' then v.mstate := midle; end if; when others => null; end case; -- sdram refresh counter if ((r.cfg.refon = '1') and (r.istate = finish)) or (r.cfg.dllrst = '1') then v.refresh := r.refresh - 1; if (v.refresh(11) and not r.refresh(11)) = '1' then v.refresh := r.cfg.refresh; if r.cfg.dllrst = '0' then v.cfg.command := "100"; end if; end if; end if; -- AHB register access if (ra.acc.hio and ra.acc.hwrite and writecfg) = '1' then v.cfg.refresh := wdata(11+96 downto 0+96); v.cfg.cke := wdata(15+96); v.cfg.renable := wdata(16+96); v.cfg.dllrst := wdata(17+96); v.cfg.command := wdata(20+96 downto 18+96); v.cfg.csize := wdata(22+96 downto 21+96); v.cfg.bsize := wdata(25+96 downto 23+96); v.cfg.trcd := wdata(26+96); v.cfg.trfc := wdata(29+96 downto 27+96); v.cfg.trp := wdata(30+96); v.cfg.refon := wdata(31+96); end if; v.nbdrive := not v.bdrive; if oepol = 1 then bdrive := r.nbdrive; vbdrive := (others => v.nbdrive); else bdrive := r.bdrive; vbdrive := (others => v.bdrive);end if; -- reset if rst = '0' then v.sdstate := sidle; v.mstate := midle; v.istate := finish; v.cmstate := midle; v.cfg.command := "000"; v.cfg.csize := conv_std_logic_vector(col-9, 2); v.cfg.bsize := conv_std_logic_vector(log2(Mbyte/8), 3); if MHz > 100 then v.cfg.trcd := '1'; else v.cfg.trcd := '0'; end if; v.cfg.refon := '0'; v.cfg.trfc := conv_std_logic_vector(75*MHz/1000-2, 3); v.cfg.refresh := conv_std_logic_vector(7800*MHz/1000, 12); v.refresh := (others => '0'); if pwron = 1 then v.cfg.renable := '1'; else v.cfg.renable := '0'; end if; if MHz > 100 then v.cfg.trp := '1'; else v.cfg.trp := '0'; end if; v.dqm := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.hready := '0'; v.startsd := '0'; v.startsdold := '0'; v.cfg.dllrst := '0'; v.cfg.cke := '0'; end if; ri <= v; ribdrive <= vbdrive; end process; sdo.sdcke <= (others => r.cfg.cke); ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbregs : process(clk_ahb) begin if rising_edge(clk_ahb) then ra <= rai; end if; end process; ddrregs : process(clk_ddr, rst) begin if rising_edge(clk_ddr) then r <= ri; rbdrive <= ribdrive; end if; if (rst = '0') then r.sdcsn <= (others => '1'); r.bdrive <= '1'; r.nbdrive <= '0'; if oepol = 0 then rbdrive <= (others => '1'); else rbdrive <= (others => '0'); end if; r.cfg.cke <= '0'; end if; end process; sdo.address <= '0' & ri.address; sdo.ba <= ri.ba; sdo.bdrive <= r.nbdrive when oepol = 1 else r.bdrive; sdo.qdrive <= not (ri.qdrive or r.nbdrive); sdo.vbdrive <= rbdrive; sdo.sdcsn <= ri.sdcsn; sdo.sdwen <= ri.sdwen; sdo.dqm <= r.dqm; sdo.rasn <= ri.rasn; sdo.casn <= ri.casn; sdo.data <= wdata; read_buff : syncram_2p generic map (tech => memtech, abits => 4, dbits => 128, sepclk => 1, wrfst => 0) port map ( rclk => clk_ahb, renable => vcc, raddress => rai.raddr(5 downto 2), dataout => rdata, wclk => clk_ddr, write => ri.hready, waddress => r.waddr(5 downto 2), datain => ri.hrdata); write_buff1 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(127 downto 96), wclk => clk_ahb, write => ra.write(0), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff2 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(95 downto 64), wclk => clk_ahb, write => ra.write(1), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff3 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(63 downto 32), wclk => clk_ahb, write => ra.write(2), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff4 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(31 downto 0), wclk => clk_ahb, write => ra.write(3), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); -- pragma translate_off bootmsg : report_version generic map ( msg1 => "ddrsp" & tost(hindex) & ": 64-bit DDR266 controller rev " & tost(REVISION) & ", " & tost(Mbyte) & " Mbyte, " & tost(MHz) & " MHz DDR clock"); -- pragma translate_on end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddrsp64a -- File: ddrsp64a.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: 64-bit DDR266 memory controller with asych AHB interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; library techmap; use techmap.gencomp.all; entity ddrsp64a is generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; col : integer := 9; Mbyte : integer := 8; fast : integer := 0; pwron : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end; architecture rtl of ddrsp64a is constant REVISION : integer := 0; constant CMD_PRE : std_logic_vector(2 downto 0) := "010"; constant CMD_REF : std_logic_vector(2 downto 0) := "100"; constant CMD_LMR : std_logic_vector(2 downto 0) := "110"; constant CMD_EMR : std_logic_vector(2 downto 0) := "111"; constant abuf : integer := 6; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_DDRSP, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), 5 => ahb_iobar(ioaddr, iomask), others => zero32); type mcycletype is (midle, active, ext, leadout); type ahb_state_type is (midle, rhold, dread, dwrite, whold1, whold2); type sdcycletype is (act1, act2, act3, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd8, wr1, wr2, wr3, wr4a, wr4, wr5, sidle, ioreg1, ioreg2); type icycletype is (iidle, pre, ref1, ref2, emode, lmode, finish); -- sdram configuration register type sdram_cfg_type is record command : std_logic_vector(2 downto 0); csize : std_logic_vector(1 downto 0); bsize : std_logic_vector(2 downto 0); trcd : std_ulogic; -- tCD : 2/3 clock cycles trfc : std_logic_vector(2 downto 0); trp : std_ulogic; -- precharge to activate: 2/3 clock cycles refresh : std_logic_vector(11 downto 0); renable : std_ulogic; dllrst : std_ulogic; refon : std_ulogic; cke : std_ulogic; end record; type access_param is record haddr : std_logic_vector(31 downto 0); size : std_logic_vector(1 downto 0); hwrite : std_ulogic; hio : std_ulogic; end record; -- local registers type ahb_reg_type is record hready : std_ulogic; hsel : std_ulogic; hio : std_ulogic; startsd : std_ulogic; ready : std_ulogic; ready2 : std_ulogic; write : std_logic_vector(3 downto 0); state : ahb_state_type; haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector(31 downto 0); hwdata : std_logic_vector(31 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); raddr : std_logic_vector(abuf-1 downto 0); size : std_logic_vector(1 downto 0); acc : access_param; end record; type ddr_reg_type is record startsd : std_ulogic; startsdold : std_ulogic; burst : std_ulogic; hready : std_ulogic; bdrive : std_ulogic; qdrive : std_ulogic; nbdrive : std_ulogic; mstate : mcycletype; sdstate : sdcycletype; cmstate : mcycletype; istate : icycletype; trfc : std_logic_vector(2 downto 0); refresh : std_logic_vector(11 downto 0); sdcsn : std_logic_vector(1 downto 0); sdwen : std_ulogic; rasn : std_ulogic; casn : std_ulogic; dqm : std_logic_vector(15 downto 0); address : std_logic_vector(15 downto 2); -- memory address ba : std_logic_vector( 1 downto 0); waddr : std_logic_vector(abuf-1 downto 0); cfg : sdram_cfg_type; hrdata : std_logic_vector(127 downto 0); end record; signal vcc : std_ulogic; signal r, ri : ddr_reg_type; signal ra, rai : ahb_reg_type; signal rbdrive, ribdrive : std_logic_vector(31 downto 0); signal rdata, wdata : std_logic_vector(127 downto 0); attribute syn_preserve : boolean; attribute syn_preserve of rbdrive : signal is true; begin vcc <= '1'; ahb_ctrl : process(rst, ahbsi, r, ra, rdata) variable v : ahb_reg_type; -- local variables for registers variable startsd : std_ulogic; variable dout : std_logic_vector(31 downto 0); begin v := ra; v.hresp := HRESP_OKAY; v.write := "0000"; case ra.raddr(1 downto 0) is when "00" => v.hrdata := rdata(127 downto 96); when "01" => v.hrdata := rdata(95 downto 64); when "10" => v.hrdata := rdata(63 downto 32); when others => v.hrdata := rdata(31 downto 0); end case; v.ready := not (ra.startsd xor r.startsdold); v.ready2 := ra.ready; if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then v.htrans := ahbsi.htrans; v.haddr := ahbsi.haddr; v.size := ahbsi.hsize(1 downto 0); v.hwrite := ahbsi.hwrite; if ahbsi.htrans(1) = '1' then v.hio := ahbsi.hmbsel(1); v.hsel := '1'; v.hready := '0'; end if; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex); end if; -- if (ra.hsel and ra.hio and not ra.hready) = '1' then v.hready := '1'; end if; case ra.state is when midle => if ((v.hsel and v.htrans(1)) = '1') then if v.hwrite = '0' then v.state := rhold; v.startsd := not ra.startsd; else v.state := dwrite; v.hready := '1'; -- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2); v.write := decode(v.haddr(3 downto 2)); end if; end if; v.raddr := ra.haddr(7 downto 2); v.ready := '0'; v.ready2 := '0'; -- if not ((ra.hsel and ra.htrans(1) and not ra.htrans(0)) = '1') then if ahbsi.hready = '1' then v.acc := (v.haddr, v.size, v.hwrite, v.hio); end if; when rhold => v.raddr := ra.haddr(7 downto 2); if ra.ready2 = '1' then v.state := dread; v.hready := '1'; v.raddr := ra.raddr + 1; end if; when dread => v.raddr := ra.raddr + 1; v.hready := '1'; if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or (ra.raddr(2 downto 0) = "000") then v.state := midle; v.hready := '0'; end if; v.acc := (v.haddr, v.size, v.hwrite, v.hio); when dwrite => v.raddr := ra.haddr(7 downto 2); v.hready := '1'; -- v.write(0) := not v.haddr(2); v.write(1) := v.haddr(2); v.write := decode(v.haddr(3 downto 2)); if ((v.hsel and v.htrans(1) and v.htrans(0)) = '0') or (ra.haddr(4 downto 2) = "111") then v.startsd := not ra.startsd; v.state := whold1; v.write := "0000"; v.hready := '0'; end if; when whold1 => v.state := whold2; v.ready := '0'; when whold2 => if ra.ready = '1' then v.state := midle; v.acc := (v.haddr, v.size, v.hwrite, v.hio); end if; end case; v.hwdata := ahbsi.hwdata; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then v.hready := '1'; end if; end if; dout := ra.hrdata(31 downto 0); if rst = '0' then v.hsel := '0'; v.hready := '1'; v.state := midle; v.startsd := '0'; v.hio := '0'; end if; rai <= v; ahbso.hready <= ra.hready; ahbso.hresp <= ra.hresp; ahbso.hrdata <= dout; ahbso.hcache <= not ra.hio; end process; ddr_ctrl : process(rst, r, ra, sdi, rbdrive, wdata) variable v : ddr_reg_type; -- local variables for registers variable startsd : std_ulogic; variable dqm : std_logic_vector(15 downto 0); variable raddr : std_logic_vector(13 downto 0); variable adec : std_ulogic; variable rams : std_logic_vector(1 downto 0); variable ba : std_logic_vector(1 downto 0); variable haddr : std_logic_vector(31 downto 0); variable hsize : std_logic_vector(1 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable vbdrive : std_logic_vector(31 downto 0); variable bdrive : std_ulogic; variable writecfg: std_ulogic; variable regsd1 : std_logic_vector(31 downto 0); -- data from registers variable regsd2 : std_logic_vector(31 downto 0); -- data from registers begin -- Variable default settings to avoid latches v := r; v.hready := '0'; writecfg := '0'; vbdrive := rbdrive; v.hrdata := sdi.data; v.qdrive :='0'; regsd1 := (others => '0'); regsd1(31 downto 15) := r.cfg.refon & r.cfg.trp & r.cfg.trfc & r.cfg.trcd & r.cfg.bsize & r.cfg.csize & r.cfg.command & r.cfg.dllrst & r.cfg.renable & r.cfg.cke; regsd1(11 downto 0) := r.cfg.refresh; regsd2 := (others => '0'); regsd2(8 downto 0) := conv_std_logic_vector(MHz, 9); regsd2(14 downto 12) := conv_std_logic_vector(3, 3); -- generate DQM from address and write size case ra.acc.size is when "00" => case ra.acc.haddr(3 downto 0) is when "0000" => dqm := "0111111111111111"; when "0001" => dqm := "1011111111111111"; when "0010" => dqm := "1101111111111111"; when "0011" => dqm := "1110111111111111"; when "0100" => dqm := "1111011111111111"; when "0101" => dqm := "1111101111111111"; when "0110" => dqm := "1111110111111111"; when "0111" => dqm := "1111111011111111"; when "1000" => dqm := "1111111101111111"; when "1001" => dqm := "1111111110111111"; when "1010" => dqm := "1111111111011111"; when "1011" => dqm := "1111111111101111"; when "1100" => dqm := "1111111111110111"; when "1101" => dqm := "1111111111111011"; when "1110" => dqm := "1111111111111101"; when others => dqm := "1111111111111110"; end case; when "01" => case ra.acc.haddr(3 downto 1) is when "000" => dqm := "0011111111111111"; when "001" => dqm := "1100111111111111"; when "010" => dqm := "1111001111111111"; when "011" => dqm := "1111110011111111"; when "100" => dqm := "1111111100111111"; when "101" => dqm := "1111111111001111"; when "110" => dqm := "1111111111110011"; when others => dqm := "1111111111111100"; end case; when others => dqm := "0000000000000000"; end case; v.startsd := ra.startsd; -- main FSM case r.mstate is when midle => if r.startsd = '1' then if (r.sdstate = sidle) and (r.cfg.command = "000") and (r.cmstate = midle) then startsd := '1'; v.mstate := active; end if; end if; when others => null; end case; startsd := r.startsd xor r.startsdold; -- generate row and column address size haddr := ra.acc.haddr; haddr(31 downto 20) := haddr(31 downto 20) and not conv_std_logic_vector(hmask, 12); case r.cfg.csize is when "00" => raddr := haddr(25 downto 12); when "01" => raddr := haddr(26 downto 13); when "10" => raddr := haddr(27 downto 14); when others => raddr := haddr(28 downto 15); end case; -- generate bank address ba := genmux(r.cfg.bsize, haddr(29 downto 22)) & genmux(r.cfg.bsize, haddr(28 downto 21)); -- generate chip select adec := genmux(r.cfg.bsize, haddr(30 downto 23)); rams := adec & not adec; -- sdram access FSM if r.trfc /= "000" then v.trfc := r.trfc - 1; end if; case r.sdstate is when sidle => if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle) and (r.istate = finish) then v.address := raddr; v.ba := ba; if ra.acc.hio = '0' then v.sdcsn := not rams(1 downto 0); v.rasn := '0'; v.sdstate := act1; else v.sdstate := ioreg1; end if; end if; v.waddr := ra.acc.haddr(7 downto 2); when act1 => v.rasn := '1'; v.trfc := r.cfg.trfc; if r.cfg.trcd = '1' then v.sdstate := act2; else v.sdstate := act3; v.hready := ra.acc.hwrite; end if; v.waddr := ra.acc.haddr(7 downto 2); when act2 => v.sdstate := act3; v.hready := ra.acc.hwrite; when act3 => v.casn := '0'; v.address := ra.acc.haddr(15 downto 13) & '0' & ra.acc.haddr(12 downto 4) & '0'; v.dqm := dqm; if ra.acc.hwrite = '1' then v.waddr := r.waddr + 4; v.waddr(1 downto 0) := "00"; v.sdstate := wr1; v.sdwen := '0'; v.bdrive := '0'; v.qdrive := '1'; if (r.waddr /= ra.raddr) then v.hready := '1'; if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) then if r.waddr(1) = '1' then v.dqm(15 downto 8) := (others => '1'); else case ra.raddr(1 downto 0) is when "01" => v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(3 downto 0) := (others => '1'); v.dqm(15 downto 12) := (others => r.waddr(0)); when others => v.dqm(15 downto 12) := (others => r.waddr(0)); end case; end if; else case r.waddr(1 downto 0) is when "01" => v.dqm(15 downto 12) := (others => '1'); when "10" => v.dqm(15 downto 8) := (others => '1'); when "11" => v.dqm(15 downto 4) := (others => '1'); when others => null; end case; end if; else case r.waddr(1 downto 0) is when "00" => v.dqm(11 downto 0) := (others => '1'); when "01" => v.dqm(15 downto 12) := (others => '1'); v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(15 downto 8) := (others => '1'); v.dqm(3 downto 0) := (others => '1'); when others => v.dqm(15 downto 4) := (others => '1'); end case; end if; else v.sdstate := rd1; end if; when wr1 => v.sdwen := '1'; v.casn := '1'; v.qdrive := '1'; v.waddr := r.waddr + 4; v.dqm := (others => '0'); v.address(8 downto 3) := r.waddr; if (r.waddr <= ra.raddr) and (r.waddr(5 downto 2) /= "0000") and (r.hready = '1') then v.hready := '1'; if (r.hready = '1') and (r.waddr(2 downto 0) = "000") then v.sdwen := '0'; v.casn := '0'; end if; if (r.waddr(5 downto 2) = ra.raddr(5 downto 2)) and (r.waddr /= "000000") then case ra.raddr(1 downto 0) is when "00" => v.dqm(11 downto 0) := (others => '1'); when "01" => v.dqm(7 downto 0) := (others => '1'); when "10" => v.dqm(3 downto 0) := (others => '1'); when others => null; end case; end if; else v.sdstate := wr2; v.dqm := (others => '1'); --v.bdrive := '1'; v.startsdold := r.startsd; end if; when wr2 => v.sdstate := wr3; v.qdrive := '1'; when wr3 => v.sdstate := wr4a; v.qdrive := '1'; when wr4a => v.bdrive := '1'; v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4; v.qdrive := '1'; when wr4 => v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1'; v.qdrive := '0'; v.sdstate := wr5; when wr5 => v.sdstate := sidle; when rd1 => v.casn := '1'; v.sdstate := rd7; -- if ra.acc.haddr(4 downto 2) = "011" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; when rd7 => v.casn := '1'; v.sdstate := rd2; -- if ra.acc.haddr(4 downto 2) = "010" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; when rd2 => v.casn := '1'; v.sdstate := rd3; -- if ra.acc.haddr(4 downto 2) = "001" then -- v.casn := '0'; v.burst := '1'; v.address(5 downto 3) := "100"; -- end if; -- if v.sdwen = '0' then v.dqm := (others => '1'); end if; when rd3 => if fast = 0 then v.startsdold := r.startsd; end if; v.sdstate := rd4; v.hready := '1'; v.casn := '1'; -- if r.sdwen = '0' then -- v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1'); -- elsif ra.acc.haddr(4 downto 2) = "000" then -- v.casn := '0'; v.burst := '1'; v.address(5) := '1'; -- v.waddr := v.address(8 downto 3); -- end if; if v.hready = '1' then v.waddr := r.waddr + 4; end if; when rd4 => v.hready := '1'; v.casn := '1'; -- if (r.sdcsn /= "11") and (r.waddr(1 downto 0) = "11") and (r.burst = '1') -- then -- v.burst := '0'; if (r.sdcsn = "11") or (r.waddr(2 downto 2) = "1") then v.dqm := (others => '1'); v.burst := '0'; if fast /= 0 then v.startsdold := r.startsd; end if; if (r.sdcsn /= "11") then v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5; else if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; end if; end if; end if; if v.hready = '1' then v.waddr := r.waddr + 4; end if; when rd5 => if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; end if; v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); when rd6 => v.sdstate := sidle; v.dqm := (others => '1'); v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; when ioreg1 => v.hrdata(127 downto 64) := regsd1 & regsd2; v.sdstate := ioreg2; if ra.acc.hwrite = '0' then v.hready := '1'; end if; when ioreg2 => writecfg := ra.acc.hwrite and not r.waddr(0); v.startsdold := r.startsd; v.sdstate := sidle; when others => v.sdstate := sidle; end case; -- sdram commands case r.cmstate is when midle => if r.sdstate = sidle then case r.cfg.command is when CMD_PRE => -- precharge v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0'; v.address(12) := '1'; v.cmstate := active; when CMD_REF => -- auto-refresh v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.cmstate := active; when CMD_EMR => -- load-ext-mode-reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.ba := "01"; v.address := "00000000000000"; when CMD_LMR => -- load-mode-reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.ba := "00"; -- v.address := "00000" & r.cfg.dllrst & "0" & "01" & r.cfg.trcd & "0011"; v.address := "00000" & r.cfg.dllrst & "0" & "01" & "00010"; when others => null; end case; end if; when active => v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1'; v.sdwen := '1'; v.cfg.command := "000"; v.cmstate := leadout; v.trfc := r.cfg.trfc; when others => if r.trfc = "000" then v.cmstate := midle; end if; end case; -- sdram init case r.istate is when iidle => if r.cfg.renable = '1' then v.cfg.cke := '1'; v.cfg.dllrst := '1'; if r.cfg.cke = '1' then v.istate := pre; v.cfg.command := CMD_PRE; end if; v.ba := "00"; end if; when pre => if r.cfg.command = "000" then v.cfg.command := "11" & r.cfg.dllrst; -- CMD_LMR/CMD_EMR if r.cfg.dllrst = '1' then v.istate := emode; else v.istate := lmode; end if; end if; when emode => if r.cfg.command = "000" then v.istate := lmode; v.cfg.command := CMD_LMR; end if; when lmode => if r.cfg.command = "000" then if r.cfg.dllrst = '1' then if r.refresh(9 downto 8) = "00" then -- > 200 clocks delay v.cfg.command := CMD_PRE; v.istate := ref1; end if; else v.istate := finish; --v.cfg.command := CMD_LMR; v.cfg.refon := '1'; v.cfg.renable := '0'; end if; end if; when ref1 => if r.cfg.command = "000" then v.cfg.command := CMD_REF; v.cfg.dllrst := '0'; v.istate := ref2; end if; when ref2 => if r.cfg.command = "000" then v.cfg.command := CMD_REF; v.istate := pre; end if; when others => if r.cfg.renable = '1' then v.istate := iidle; v.cfg.dllrst := '1'; end if; end case; -- second part of main fsm case r.mstate is when active => if v.hready = '1' then v.mstate := midle; end if; when others => null; end case; -- sdram refresh counter if ((r.cfg.refon = '1') and (r.istate = finish)) or (r.cfg.dllrst = '1') then v.refresh := r.refresh - 1; if (v.refresh(11) and not r.refresh(11)) = '1' then v.refresh := r.cfg.refresh; if r.cfg.dllrst = '0' then v.cfg.command := "100"; end if; end if; end if; -- AHB register access if (ra.acc.hio and ra.acc.hwrite and writecfg) = '1' then v.cfg.refresh := wdata(11+96 downto 0+96); v.cfg.cke := wdata(15+96); v.cfg.renable := wdata(16+96); v.cfg.dllrst := wdata(17+96); v.cfg.command := wdata(20+96 downto 18+96); v.cfg.csize := wdata(22+96 downto 21+96); v.cfg.bsize := wdata(25+96 downto 23+96); v.cfg.trcd := wdata(26+96); v.cfg.trfc := wdata(29+96 downto 27+96); v.cfg.trp := wdata(30+96); v.cfg.refon := wdata(31+96); end if; v.nbdrive := not v.bdrive; if oepol = 1 then bdrive := r.nbdrive; vbdrive := (others => v.nbdrive); else bdrive := r.bdrive; vbdrive := (others => v.bdrive);end if; -- reset if rst = '0' then v.sdstate := sidle; v.mstate := midle; v.istate := finish; v.cmstate := midle; v.cfg.command := "000"; v.cfg.csize := conv_std_logic_vector(col-9, 2); v.cfg.bsize := conv_std_logic_vector(log2(Mbyte/8), 3); if MHz > 100 then v.cfg.trcd := '1'; else v.cfg.trcd := '0'; end if; v.cfg.refon := '0'; v.cfg.trfc := conv_std_logic_vector(75*MHz/1000-2, 3); v.cfg.refresh := conv_std_logic_vector(7800*MHz/1000, 12); v.refresh := (others => '0'); if pwron = 1 then v.cfg.renable := '1'; else v.cfg.renable := '0'; end if; if MHz > 100 then v.cfg.trp := '1'; else v.cfg.trp := '0'; end if; v.dqm := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.hready := '0'; v.startsd := '0'; v.startsdold := '0'; v.cfg.dllrst := '0'; v.cfg.cke := '0'; end if; ri <= v; ribdrive <= vbdrive; end process; sdo.sdcke <= (others => r.cfg.cke); ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbregs : process(clk_ahb) begin if rising_edge(clk_ahb) then ra <= rai; end if; end process; ddrregs : process(clk_ddr, rst) begin if rising_edge(clk_ddr) then r <= ri; rbdrive <= ribdrive; end if; if (rst = '0') then r.sdcsn <= (others => '1'); r.bdrive <= '1'; r.nbdrive <= '0'; if oepol = 0 then rbdrive <= (others => '1'); else rbdrive <= (others => '0'); end if; r.cfg.cke <= '0'; end if; end process; sdo.address <= '0' & ri.address; sdo.ba <= ri.ba; sdo.bdrive <= r.nbdrive when oepol = 1 else r.bdrive; sdo.qdrive <= not (ri.qdrive or r.nbdrive); sdo.vbdrive <= rbdrive; sdo.sdcsn <= ri.sdcsn; sdo.sdwen <= ri.sdwen; sdo.dqm <= r.dqm; sdo.rasn <= ri.rasn; sdo.casn <= ri.casn; sdo.data <= wdata; read_buff : syncram_2p generic map (tech => memtech, abits => 4, dbits => 128, sepclk => 1, wrfst => 0) port map ( rclk => clk_ahb, renable => vcc, raddress => rai.raddr(5 downto 2), dataout => rdata, wclk => clk_ddr, write => ri.hready, waddress => r.waddr(5 downto 2), datain => ri.hrdata); write_buff1 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(127 downto 96), wclk => clk_ahb, write => ra.write(0), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff2 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(95 downto 64), wclk => clk_ahb, write => ra.write(1), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff3 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(63 downto 32), wclk => clk_ahb, write => ra.write(2), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); write_buff4 : syncram_2p generic map (tech => memtech, abits => 4, dbits => 32, sepclk => 1, wrfst => 0) port map ( rclk => clk_ddr, renable => vcc, raddress => r.waddr(5 downto 2), dataout => wdata(31 downto 0), wclk => clk_ahb, write => ra.write(3), waddress => ra.haddr(7 downto 4), datain => ahbsi.hwdata); -- pragma translate_off bootmsg : report_version generic map ( msg1 => "ddrsp" & tost(hindex) & ": 64-bit DDR266 controller rev " & tost(REVISION) & ", " & tost(Mbyte) & " Mbyte, " & tost(MHz) & " MHz DDR clock"); -- pragma translate_on end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: tap -- File: tap.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: TAP controller technology wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.alltap.all; library grlib; use grlib.stdlib.all; entity tap is generic ( tech : integer := 0; irlen : integer range 2 to 8 := 4; idcode : integer range 0 to 255 := 9; manf : integer range 0 to 2047 := 804; part : integer range 0 to 65535 := 0; ver : integer range 0 to 15 := 0; trsten : integer range 0 to 1 := 1; scantest : integer := 0; oepol : integer := 1; tcknen: integer := 0); port ( trst : in std_ulogic; tck : in std_ulogic; tms : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; tapo_tck : out std_ulogic; tapo_tdi : out std_ulogic; tapo_inst : out std_logic_vector(7 downto 0); tapo_rst : out std_ulogic; tapo_capt : out std_ulogic; tapo_shft : out std_ulogic; tapo_upd : out std_ulogic; tapo_xsel1 : out std_ulogic; tapo_xsel2 : out std_ulogic; tapi_en1 : in std_ulogic; tapi_tdo1 : in std_ulogic; tapi_tdo2 : in std_ulogic; tapo_ninst : out std_logic_vector(7 downto 0); tapo_iupd : out std_ulogic; tapo_tckn : out std_ulogic; testen : in std_ulogic := '0'; testrst : in std_ulogic := '1'; testoen : in std_ulogic := '0'; tdoen : out std_ulogic; tckn : in std_ulogic := '0' ); end; architecture rtl of tap is signal ltck, ltckn, lltckn, llltckn : std_ulogic; begin xcv : if tech = virtex generate u0 : virtex_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc2v : if tech = virtex2 generate u0 : virtex2_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc4v : if tech = virtex4 generate u0 : virtex4_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc5v : if tech = virtex5 generate u0 : virtex5_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc6v : if tech = virtex6 generate u0 : virtex6_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc7v : if tech = virtex7 generate u0 : virtex7_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; kc7v : if tech = kintex7 generate u0 : kintex7_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; ac7v : if tech = artix7 generate u0 : artix7_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; zynq7v : if tech = zynq7000 generate u0 : virtex7_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc3s : if (tech = spartan3) or (tech = spartan3e) generate u0 : spartan3_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; xc6s : if (tech = spartan6) generate u0 : spartan6_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tapo_inst <= (others => '0'); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or (tech = stratix3) or (tech = stratix4) or (tech = cyclone3) generate u0 : altera_tap port map (tapi_tdo1, tapi_tdo1, ltck, tapo_tdi, tapo_inst, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2); tdoen <= '0'; tdo <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; pa3 : if (tech = apa3) generate u0 : proasic3_tap port map (tck, tms, tdi, trst, tdo, tapi_tdo1, tapi_tdo2, tapi_en1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_inst); tdoen <= '0'; tapo_xsel1 <= '0'; tapo_xsel2 <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; pa3e : if (tech = apa3e) generate u0 : proasic3e_tap port map (tck, tms, tdi, trst, tdo, tapi_tdo1, tapi_tdo2, tapi_en1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_inst); tdoen <= '0'; tapo_xsel1 <= '0'; tapo_xsel2 <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; pa3l : if (tech = apa3l) generate u0 : proasic3l_tap port map (tck, tms, tdi, trst, tdo, tapi_tdo1, tapi_tdo2, tapi_en1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_inst); tdoen <= '0'; tapo_xsel1 <= '0'; tapo_xsel2 <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; fus : if (tech = actfus) generate u0 : fusion_tap port map (tck, tms, tdi, trst, tdo, tapi_tdo1, tapi_tdo2, tapi_en1, ltck, tapo_tdi, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_inst); tdoen <= '0'; tapo_xsel1 <= '0'; tapo_xsel2 <= '0'; tapo_ninst <= (others => '0'); tapo_iupd <= '0'; tapo_tck <= ltck; tapo_tckn <= not ltck; end generate; inf : if has_tap(tech) = 0 generate asic : if is_fpga(tech) = 0 generate gtn: if tcknen /= 0 generate llltckn <= '0'; lltckn <= tckn; end generate; noscn : if tcknen=0 and scantest = 0 generate llltckn <= '0'; lltckn <= not tck; end generate; gscn : if tcknen=0 and scantest = 1 generate llltckn <= not tck; usecmux: if has_clkmux(tech)/=0 generate cmux0: clkmux generic map (tech) port map (llltckn, tck, testen, lltckn); end generate; usegmux: if has_clkmux(tech)=0 generate gmux2_0 : grmux2 generic map (tech) port map (llltckn, tck, testen, lltckn); end generate; end generate; pclk : techbuf generic map (tech => tech) port map (tck, ltck); nclk : techbuf generic map (tech => tech) port map (lltckn, ltckn); end generate; fpga : if is_fpga(tech) = 1 generate ltck <= tck; ltckn <= not tck; end generate; u0 : tap_gen generic map (irlen => irlen, manf => manf, part => part, ver => ver, idcode => idcode, scantest => scantest, oepol => oepol) port map (trst, ltck, ltckn, tms, tdi, tdo, tapi_en1, tapi_tdo1, tapi_tdo2, tapo_tck, tapo_tdi, tapo_inst, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2, tapo_ninst, tapo_iupd, testen, testrst, testoen, tdoen); tapo_tckn <= ltckn; end generate; end;
library ieee; use ieee.std_logic_1164.all; entity test_bus_writer is end test_bus_writer; architecture behavioural of test_bus_writer is component bus_writer is generic ( bus_length : natural; bus_index : natural; value : std_logic_vector(7 downto 0) ); port ( clock : in std_logic; data : out std_logic_vector(7 downto 0) ); end component bus_writer; signal clock : std_logic; signal data_bus : std_logic_vector(7 downto 0); begin WRITER: bus_writer generic map ( bus_length => 3, bus_index => 1, --- The middle one value => "11111111" ) port map ( clock, data_bus ); process begin clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "ZZZZZZZZ" report "No data should be recieved on tick 0" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "11111111" report "Data should be recieved on tick 1" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "ZZZZZZZZ" report "No data should be recieved on tick 2" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "ZZZZZZZZ" report "No data should be recieved on tick 3" severity error; clock <= '0'; wait for 1 ns; clock <= '1'; wait for 1 ns; assert data_bus = "11111111" report "Data should be recieved on tick 4" severity error; wait; end process; end behavioural;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block axJcy2fKsxnA4CYw7Ax+fouJLOBSFqVbBkouanrKtvAoZ3Q0q9/PEvdJdj3DATpKyjay0CgKBE80 GvnJfM2MfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aCoelcd6Gn1FdzDCIpxIAKmVq6mRdlmrgZ8GINV5DPIULm5W02Iax//EWi6kGy+frdy5x6Z5nfUY GUJOEQgAj+O12EoF0vNMSIysllPtzrNhxC7q2NLM/v/bx5FYlXAwa3exeG9qC94UWNavRdaaE0Gw 6r492YdwDShlK1U23DA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F6uP6OJSnLY+tuqYsA+3b6Iz4DObXCCUuoZ0pF7Tp6xm9Hp5SNWm1VnR21DfY1QG8tlk5jwxkXDJ 896Zm0Ot5tc7QfjQezaRmX0g7gg8yVP5BU8w9CmGUAPRuxkVbDrJOZT5be6k8D6q4OV0Ji/qPzmM ciARFqgvfMequtCd2W/EPjqR6WJ/Th+Xpy3R1HBpr48svFrxrlTgRGkDj2vJlmvNO1X4exUynyN7 XX1nKSWJe/l3eNUaks2/8CIeKzhW3UUlw8i7Fujpt1Qq9PLkGAUPbVBpX6LabyWe4Upw2FSifg72 EmGg+RVtcXarWLpKFMX0RB5exrA5ImF6F0bdkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BZJ/jdbfQLxZAmzz0VxkFfo30HAA02uKSgp0ClRzc+BAeY7tkkvn8h7K3Gx+RgHwT3ZyvIG81WB+ MQn1Ob6lXv74zQc0uLbq8N4WQoPvX9fp+b6wqmXuqEC1BG03wQnBiKNWz5EaDT/WwPrnQzPlyVBO q31bO80euP8gOwo0fUA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QTgZO1RgdaYra/cQE88gCFjGCPJMnXR9ySXtlZ6np8NPEH7SgAgmpDAsZjnzajd/1ar4AUCCCOBf JZKnbEWlHpzYDtIQZxmXfum5vBxM3IgyJhEPy8ysDilBAQ5AYoDmFlCFhQe1mpM2c+hc1G1zmByc vAdj96vEzizO9UcPOXXqFipoZiJCnFrGBbaqfBRD8tocoR/4kSemyyoamW6t5OmTgTLOEyvAkYX3 Pbx/ECm/y+CFHiHgMA4dElOIEA4hgcd0o1PaCn1qJiEQTtD9g1ZpRvsmTWmq8DXTxQ2uAgBQKqWz Ywk+oAA1clT25oQi6UxbdshTWsSCHDx19v5J2w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28400) `protect data_block 4Tz8EQCfURu+KxbvsV6Vf0k7Bs9HmUbf+kVnY2FZqS4HtC97DNgJEKbpOCh3VYZs7Kh0ScBni+7A Q7oRAdukj2DyCSkBlDxcl+xoluZCwGALaaE5kLnAn5qYTEY6dywl+F6eUHEPHL8F9j1bVA9HAlS0 5bOmIiTEGz19lQ8cPPzvPjOY2D48gnV4DS4X36boaVdUzH+iCykgX4JEq7RY0e+raZVHz1ry/cej 6MFXbEu2kr+ZG8+VVdooPHNBk3T4NdvZ9T4GMWBQhn92xmgJt13KNJfYN/zPDucqEAfgppo/tPlP 7/eq3fUFhMDPo/0Efn8+DMoGQBU+hKZds7ZKma/HhMLX2JdgzfR6Xhl+bBnS7mCiX7ISl4NJbBnu AS/uO6ROT85A+Zy7mn4yKifQfBQb3ohbqg9oR8GNV8AYqYvSyNtTefN2sqyhND/AjBZLpFaB3VLC Px/CnZn+IgkFtG143E3xUR1SdRWm/h4WWeikGsbftJaFzYcHcIxeiHgsNf+yupx4GfLs0c+iUDfL 8eA/jpUdh0ytcomkEGrtlfcKrUFR1Sn1Y+iim1+rwzeiSEOoCPTSS+7UkmGCVSgi68s0mNTC6mgK ohZlrvBsSf+5qh/ECSQzo38ll68blxww5QOg9T78ajCmZRk9r6gspBQR+3qrQ54u0lWp9zetZswf 3Y27Qonv15oWsxhYTW6oju9UwvEQN6DCPsMMnyxhwObnz/c6h9N9BY5avfSpS46vgdKY++dkB6Mi 1cVigicfQllUjuLdVpNCzwdTvxT80AX1Cs2hXDvHXGTY9daAld5+BMlHgvF4tusP1bipdevDrX+v 1cb2aTE36iKg1KFZstGMchroERlR9pylCWeW96IsYhP8+mrH0ggeKPGuGlWPcsjCkpHfPp5Z8Izt Yn+sboGXExhHkV4OaC91jZIOo3v3bhxfgXe0WEnI2uGqvWX3up5/tlnpUg4QWGfd+EsBexoHtj1T qiN7DZUgDM40xUVadFtw1C2UEupTaH5xQxh0hxy46LGDWQz06kwRuteJV8h+XBMotHQRir534MMs LaSCBj4l1J3RkOS6jzvQJzg4tNxBJD/nH8d/iyE2iFaUX42zf0He57A3Uuv18bOHW+woyRi3zXrs uYe2HHePqFWT85Kr6yBWFPeEHQ19za4kvhowNwPWfxSVEOEFyZQ9UloieCZkz2ZGQMpPO3+5xkLa ebaoWEe7gU/eBWPpnMYQzPMdlBFPEWv5/8SFNkMcbmBeleJBg6CsENsRw3XbNo+7rhnfSw6V31XL GPdVO5WsCdcUdbmGKvg+c9VSHS9CXXBsj6Bw21Y8zPdsszgYZ/YVKLJYm0KUFq3KsITX1hWvuuwp klbYJwsVRLv2yF8tq/W3mdb5Thjo9EH44QMHfix/xoYme0oZ9ZOElDHli2BNJXD5ciRSc7dJEmGI C3eQt8RHia6tYI83VOysbbp0Eow1WLX8uPkbh5pJxUQ5qy0lWKVu9KpAuYihXFsxDO4GFKW6CLpI /HlEl7Ih3Wm11PM/tGnAoqblXlY0U22KBf9mGLvLhwBvP6QJOm+YzPr9t27yZbyY8s52dnOFGub5 a537x1glx9xhPfR9Ure0v/juhUKm2YIA6ruaWku6pWxkY8FL0nc9yVdwbOLR378eWDCeJODb4rsI cZzSd4W1NxTjT8u9cpEq7bqdpm3sDfcG9+JpSW0v4J3FkugHDLhSxqqT7m6jQw/sfKAD8fzzmC4I Vi33oTiEPRpCFuvLoXvGDusP+sjU8a5mXWhfx93nQbRtr92ddwnG4yKe47bPkyDrM35wAHAKZ8It Dqzns+aP9VfLczUlErQM/EGRiJX6jbnBnYEK0g4MNxk4qXaYVXeBTit0LJE9SjlcLptf//cnAXiv TkA3RHW0/SDbh/rK3nx4f0rHSMWcrWoqfaKRlFvU+2zHkJzqbytvRrmPAgGZDIEPGbnBBCTXJJe8 QJwgI79k2uuVJ/KDuYOcLC2rJuWqI2PI9VdynjnJwpexwG3NEF5f0TuO6jC9PA7bnX9XCRvE8RFj nC1hljuNbcf4csedxnT7oj+4CFuaOteIxtA3tI/CPDunH5QTdspxGDeMoZeWP4+iuOGel9M4mYuc tBCKzkNbW62krFG08zpQvT1q2+TveJ8XbchN/knMlqtZPYRE6ZyWQxXHB0bDwYp6UVOXXrRcinqr nwBVoWgCTzE7b6PQcAGQ2j3elBKjzMmx40GlPiW/ZHBUz9PmXALTtXiZR7NErqGyY5lu8HjfPIJX fF70BbJ7cgZYkFrJnn1pBvBqy0T69egJ0BZRAHKVC1sc974hBL9uKSLGJPHk3oIrwt/gQwV3yoZu D6EMvA+BnxGDY8JggSpJtbRa2NAv21BUYbN6xLLEq3y4AMHUUN1quAcFkhzDNumiTx4R2iBLBvYI cZiG7JmNgXiPtmqfVZlL9yb6s/9VAvXVbOrTTMTI8n6QdX2f4lEyrYJLpU+JCaEVOvexmnWPvt1r llv5mJ3aAywsfQLWQ4xcrwAjbB3PuEv9ggfmR/3VPInL+bR9l1Dk0iveJxFgEuUGDJyHYh9umoYN 9GJ2kNjkOiWI3zkAJ9JbN34iT52e78zMEJjwx4M0kM5J2rNEVqrYT7H0BVXj0twzk0Z3YDbQbeED UvLHsl10fPOP5aOGcQSiWl162NDpIsgArOk68AowRQm9x/YJI9SxbItt2oz3MvtlU3bO0TCYnoYp iUR+BxfOJO/EXeXAWMGQuLALv7PdHKN7vzci95XrmZjwirP9zdibBSQM6H/WZFvutHCpT9TDAKDG XVVSse42F/DhUlwFTP17VcSpEfvYQd654QQ/0+t1A3A7l9vn1eFh7cRLZlJ+B7W/lTmaqvo8I9uz Vc/oofYVlbnQInxhcdeEPUfo+y+1L3JXpH+4S9eBfOoWyQ+HSv5frxQODf79WpQ4rXZk1wPOnEgU DxtGCZIsI8p0dYXbfixchSqnlqIrXBcpLZIHh0KH4fh3UWXNzu1whi9LAPQLNxVRJo3wm/gQexTO pUPI62hFLp8XKFZmvDB4HlzwsBc4jIzlhG6yGgQSAakHwejdGz28u9XQbzFR/ErLOiI5WtsY2D4n QZy2FHjhHiyOh0+MgiGGcfz2CmVXBXIGR1C5Kqp1nU+ORTuZPF3B3BmHAtaEl8z0HhWpWZBRyE7e aJAoRpsYJPc9Eu32zeGj2zBsfL9T1vKQ1R8RR7PcFK9k01gz2FUbleCA1/fvT8UTfs87PfL7L7Ev y5oSetZdAeF0AnZKhUmM55zFo10BWUALDvb/YBXne6HefIhUUIJpggQ8Iv6jgjjA69JqN+/3s+5l G8lX/+pjhD7C7xpjbGN6iEeZHE/lVRsC9Sz0ONYiySW16hWpzE/OZYKuuQlu8nIZ0cBhESUhGUS/ JLV5GSYOw0LM2AGw452bT4QZgi3l1sPIsM1Hzet8WJN9I/fHl50jnnPxa1oW5ivVqr09WGAcV+qz 3dydePJVvPYoSbRe4ul731DOtzbojqop5lO78IH20vwWWAnpbQuqLe/TqJbtkMCYR+dnJBAxQ2XK gQFZaKcvxjeX/1yW1ghJILnEzAcPmjHqknthuLWP9uWGfLoDNbhGzJ0mwmCyzoly+hqtyg1fWAod QxEYgTWbWxHrkE2f3skFzoziU8/un0S1MOt/8ZfocGHz1mZJz0NPPWOMhym4GWKq3dVKgixIibss cC9IfzWgey228PaDdSlCOM/JeX9HmOmu2rFMX0ZfjLYloBzsJQUQ5DLBOGy0L6qDrJ5sFukspmAJ 9aj0zUCrxFLkZGgQ5WZ04GCfYIai3rQ5wk620LZj/bmbFUnA17CuznPn169Vu/xUYDQhQZe5rSCF vQXttKn1tCpFLvzr5sFgKzhRH5dGvXBycMfETAQDQuylaPKVms0rs8zxFVQWC+7y2aSjIB1CKYWk bNdAz25G3bobwfM/DHytWUJjf12pncuAyd0wJQ76uUxw85rM3FVlR28GDsCXZ1CPD0vnTuUcKom+ zjpK+6nSTk970gUJrmEuMkN5qjdJVMFkTOtlxywdXvnwyxdiIoOYE20REU3zVock8F5ATGKOPuar yQpPg4MqnI1dG+hH8O7FXwRyuAotZ0pHFgVrKcugnDzKHHg1pVmPcrUemjxetuSl3xewDExEI20U VbyWH8Gyka3Xtkns7ylHwq9MXAHMxrWqKzo9lxi1iNkCkNqqkAJvjpCxNxZwwUmk896qupab4t0V /7YmxW5qnhSNyAKxEk5fpiEvzRuQM9x952gJn0iM0p56qJtq++dUPuJD6D875Tfx0MuoPLJ6CSPP RLroPu01pExlZaCS1JFFPxQxxWqwwFhrENkrKUMWeoyZKMyI9NEJKH6yYklLAwMFI4267Du9pKhQ RWJouhawQSxq0+oyowpgjcBqDQf6Zbb4Jr/iaO1czUG6fnGNVS9nvT158VsYvtf8tSP2vvW/pBCo Llg5H+1OUwPqIl/2iVm1Ov96/uNaPG3qjSneAgck5gFmjhgbL2ZDfWJMHy//wt3xA5y7AKAu8Ro0 TUgFxnuZWRTLtrDlJeTEW9Uy7yNokhEOnr6X7IpOEPNby4uXLsmt1tiNMT83KiYArHetDHsREGVe axGgmO0mclS7kUbi7SH0l3fCzOvZlzAL+ta+FDW3BS32eCrDQRAIf2nimxrNtbFryQvnIzEndN9T OAlj+IIZfgbKbI3mX2Qv6UUekjN3OINbE1uHVY+R1S5RwMTCdFmBvV0nHAeoLZI+2FoCusSaL5Yq sPRhU0AHSJtofkRenBXQkdjRwSX8ncvPAp6xQq02F0RdH94/vBV05GHceVKLPwgJy1/jr/wui5bI ckQbpiaDOKdWDN0sROLKyEN/oeRwt+wrd3iq12srbYvddL49QopkST0MGiWCRZgtCXrh6x2s2Lqj SoWGbiP6uf9o1mNuFXhYRHh0WeiWL6N7uFmqJ9DMoX7bF4XfHQoBCplynfCnWGjbCQkianqpBqSJ D6B+reJB2oHqFugf0n3eg05hl9Nq9N138e2PPa5n1sh+Bj4KpKbd9+X6PNVLp2MVOqwxJrC6mxUo TqidmJ4Ze2DRhLPxgDcOKSLtQ1fjjvsa8llLasoepiCQlx95EI+FjCjHuzwDxzL0H2VvoGbrT73F fiueer/lVazCvl0WLJrWNGH9wGvOxQQtSQjNED6fe8M1kniD8a6rDSd457ooQtmowplUugenXJGa RR2tgGgOO77Wq77kB8GdXtTzk76+PfgpJuGZG0nw/6reyPoUJmNXzw4vnXChtkI7JcbpoRlPySYb 5tmW7HAW7VO3/Ze3N773T+ecMX5FOJvfR3duKxLMZkhI1qybcLhyVvmTkBdIUZG5kDKZ0r9X+Cv3 dFkhB/NEbIyfctLd9ZltVCq8XZCLi+QiPkKyTPDcKxH5aodfX6qYCKROzPSS+njb1WqbhVT2afht hzDGyIOCHTcVrG/6VazhRqLesIiyBt8J57GFGrpZijRX69Bd/bn2Ri63T5iUXqp7h52e1X8Uh5TH fCYpE5xLU+bSeYeeoIK8OOS/M8ZzwdcDPG14pbkrZqsgUPN/ylhyerGUFUah2+mseLpamvnnFqev 7yJiwY9xJUwDaoFtWlwZPW8hl/4VA6vz6OsskAJyxuMzUAMRY+Rsc9PRLiUJpVz4fL0KzHmXbZgL XrsjIVkgxVDkg31EB2nGVlmuqcIO5gtOPkJ76xZ6N+Pyt/Vyx1NMB8t0d7k7uN8Jtvcn4PPzl3i+ 3sw4US1LsAjC/CKRlDs00QQoQa3Nqn3XKf+ZLUJlpLpeAAswvZeTW24oXcNDediRNRCQtVjQOpWk doRwSofTqxrIl38AxSn8cWFPoU2JaQPl3VTMVCFlm8eL8PmEVwVmCwJA9QeAcx0HqleNnlGtNnB6 +7X7zlwWbwPRbHTiloDOqh/hKRsefNvQSLHwVN5L8OYw+L9WY9ujfej1sSy43QHuZlS1RRenGmz6 oSfXdzevrK0iBDq4+5edb0xvL4CWljlTufRDxPpGOR9OsqeeWD+qaF138Qtx6Yqal8wA/TxQL9Os wtTO71FP00gbvIBzeZpGU6CPJ8M0NvQe9/+jjbvgRriT86PVRV8WtnCTRmRLlObBlIpGJ9ST1kiz b4n3OnW/6UfNsUt4mIFB361DOUiqtUTbtcH2DvmAoZY84SMwhUdNwKp/hJFcY6kWnT81zSlZSJ6D ai1KHFC7TzV7ZVmwqwWE3ulpv/NMKb+en25wKAv78r14r/nWWx0vuxl3R18ZJ5rnWq5vS2PGOrNk XrfGg4Pb8UNTHKR7/l8bzA3drFQUMwNXklUKUSFOaYYprxxSjKhG1J0wKNUZKlm86wVYxWtMTA3L syL62cARhhrSp6/O988Ds2yDdgFig2gbv8TGoQYNX2VEMdqK2jhq1k2yXPfgKQfRrrkGD8f8kHPs 6kXJmoXeOyQge4hJW0kCgdT0lTFLfxYEEV0GaUb2SF5Ho4Kn5LDxlhCJGhPRc6Qts2mMCnVrX6Hb WYLvoDNCW6ZfQ+5qaRg3hGajSqbGyqzwBFzLpPockh0JVFwzcwIYW7CifH/6558W1ukBnHy0+74y 2kEuG19Er7UBXNlL7hszKTbCfUvPAdHTKOQ1o8oiHvN2bULababeovNKqmy4eLeN7WFE2TQ5W3i2 88oWe40nkeC8aZHzbkiLm++Me5GKLae7uBq0sOpZzGxmKxXsM243nlDwpFo+GDc11LIMCCvZYzbW oV5QteZTJSpXAzNLd85szKgU+ATnnkvbpB6XNaGJksic6Gh2ZlzIq1obq0935+gs5kBmCUOJPr6m 1cwZlJkCSkvZZbW7isSYK34XDsckTckEi9gm432Nxe48IbELIqP3MC3+07sDSItUxG/l8TcnBmm9 mQy5PNSS+3+yHbpXCS84RlkcF+MTa2SjHIW0Dc8Y1PMaFrlkVogW1ryr2j17+nFHsaNJMbSgbD2P 7e7kgRvyw2GmK8SGzkfAEriXM0w9ivYJadoR4segl7uhTPyS6Y96rx5eJzc4/brQJpEMRKQMfARh 3a6oxBy888XZINxvful9YgxnRmqyIaL46T0cNlAvkejVHbHpud4gTKmDaBQ9/yRFEKWRF7xBy3Uq TeFbZTIIHhRkiV6Zihjok4ikzEhy6JC/+Vtmde+X8TFsLs4iSP8xcYFU5H0je4s2IQ/S6gDA5mlE BePJL5gl9DBHNoVOjJYlb54/cHy5PwJ0ouDBg0JgjPwtlnKkuuk36pJB9gj2WPl5ym6YInDU/U63 nzBd9Odgb+Zv8iQNo1mI/STxMN2h+ineiP+JZ0mW+vWJo/2XG4ssLoxEf85gfDCEpqdOnF197ZaV +jYdQdxRRtHh+d4tWMTbUxVZwgyqhzcxTdKdDiQCelufv5GrLrfCVLSRPLSDq99aa1gAWaq87Zwt jF+L6s2yomVamqGvLv5mNHgCqwd3rZTGPKxuW4dI0hj1UUzIf3lWYihzheA7fkiPK67VQBgbjVMe LGw4tvWVAb6VW30JMeIPnOxJSjmZKaFRaqelJ+XrFULGkNUmV1JcaiMks7camqOP5f5p1+B76/h9 H1NaPSZBxCK4ASCueLnhCbTj2eB3oC0T88KgTUYH3QBWNPlhrsC5/T98dP9iUGmbCGCxCjmqzt7o rquu1nPD7yrojv6+idzXsgYOXR6sO19+bBEqK942Sd2usUzzn5L2Lu4b60iBtN7pbmOSBjoQegps 22F8Sa5wTEVM3UHJIuCVw7eB/3Svh2vMReDsGGTFVYe/xa7tlWhElvvclTnspCIBk5fek1Kiwcxe kiS/eFNZtLYnAvoDJR/VTuUqTMPx5jjEQrLM2a9H5kmMUXUXgyh/K08sdJVZVEVAJKFsfjpwsS2E XUe065ODVztBpX7CySys5n0lDuIK7Xxlym+zdeRqbQiSe5Hc0DGIC8F/PVL4UxpoUvWVV/k7j98+ impJsHu0sv7c1m+67ppCRS2IsZJXTV1tLkiApkGE+wf1UGzC1ZqMi5TlNLJJW2THCih16Wg0H/eu SdMvjS/Fr3JWAZxoLfA39x9EtKU+p21rjS9EZJymeeh9nhSsdLwqihPQqMBVIuhwbZa6lRi3bnCe L4ouBFzV1Z8JscHQU0N9q8SW2JbmdzlOpNTcRnUmw7P+01k5n4E+JEwBudj3DHmopp1PVSEtQb+0 2NaU5jpiTPg/eF4+WwZuzVh9/0iMkZeUFR4uBNYDvuQWk1ByDYaaerfW3YeBDZCz9YeVVHRa32Dm AW870eLmzfJOSidg1/IwZw7LwXlAUQtuKT/UTYcHQghLRbnM91UU/ZgqcuLPOsePnK9vmIrF0jvg oSt2DmDHA1YZvoF2zXih0gA5KFsIy9QawP2IwdjQkzkRVsVCoytSL6FBApWkPUApc/Qwd9uAqe5M IXsPFymkDOjTXK2UfwYuQGlZyV4wsFmdyb155ekjvwBQYXrzkpHdI0Vhs/ZGlSxCV7xivXMYI5S1 s1gJ3+3Yqmf3+e7gMrTThFIgO8WuOCr7gUj+nsICNGwOTT8wbHuE7AXMZVhdTvun1FMiWXXPjNlw 4OvneyHbDDxrigLs3pSTr+CWZa0DpIqZI6f5Vze7kEsX+ZqDBnidDK5g7Uo5IrVH584Ek2Jl+Wjq nIqS9tk9jKBKo3N+bFrtI3gLw1qyQWOQiYv/UWEbg602rNfYP9yHNStWANTPFBv35fdNpieUGFj+ a9zNvMFLRUA/T6tE+QO8ZGO7qCqZ+tGfbV/Tnu0t6c/ZP1zYoUcb3DyEI+FEt+fISzJolfL+/iUk o75UwwsXCYZgLL7vwli7M8NWSzo9jNllzOpQpLGHtPwIdsENrjYPQRpCImWASMMVqUyuYOEj+X/a 84XFDNgLlBfk0aL86uGFX7roc/WXb03q/Rb2ZSzpmJjhN2L9d5KO87j4T/yBnvlga4DmrOVs2Lq9 eczMckPJ/7Th5s3UJdhQg9MmxfmeybGBVL7EPPYokcQey0ajfFGmf3abFqP7+N+D22067pkwlOIK xRbHJzdFY/Q1Xb6WQBIKTXO6DsQfeutLyjqkpmLUhJWi3nI7dE/57sj/+jEzFwdhu8wXXciFAZsP 4z6Pzn6Xu+GOn5cJKu0fj37HhmRXPsAEyoy4IFHL9g02jCFUabWY8uB5vmBfpk3uxB372g93JzLM QpGoJ5rRSBaV6WdXtVov1T6wSe8+9rljPfOBzkcfwO6+IF4p+mZVxA5XfrfnF2K3ldnqtlw3feXh WrQ8EWAF0GrN6uxcHYPXQcOfSFdEsro+5nBlbIGkPaFeQNCh+Eprz5bxYALBDzugrRJBkOFS9eVS TmCe004muKPZqeIqMRNronRHqYuAO6aaIfsdn1D9L9S/bX9L1IF3UQPm2zhF7qP3mMICFPDNYQMc TbqbTRO9v4E1rA33GVX0PNnYH35jRU92utWAZ5/5fYa1FhDevAne0LFNdp0x9JBx89Ck/c/AlMdn enR/x/vmImPwH75VvrvMhDchdgyrhysi9xEv720802/4l+a/eOuij6/e7j0UxdNY8JMO0FrEqTpO GXxNZKP5bf26H494CiZ0ZhOVv9YuLPWnChGt2MtKb6nNZvIBcoVJ95lSSjQVBefVGQOl2f8S0Rau 8xNX5OmEAug2fuXKd7uzu4Zq9b7g+7bP7F2A/+bIpfr0FQHnAXMaLL7HLfkqeUY8QwJeKr1Khu1M 6GGL+IUijdA+V9kDI9Qy7u+HLWpgdOL3mD11kL64pQXHTMWi2FnQ6PfodTlhplThZ9Rk8rZ9c/zx qWxW1bZMt0fvXbJRGC0bbooA2LoA3LfIcg2pBUQu8CPmiUH4+Zt8976o4OJV8wswnZL0JbJf3v/E tklXh4J/ArlBjm5vW9aDRXxEz6q0Whlzy3aKS9QnJU5nCKovFKxtnOK/vEOgF8OZP/9dEttSNex5 IfC0q2aX/bCgaNX4xX/lqZNpoiaCQv6Dy/lw0bqLutybQYY1gWEQFyId2GKr/7zpJPj6cporb66M KP8CHZCRPImOkSz4jTmlYuHZK8Oh3o4GK5+D+WjumGHSgnrGSmoYMpr+yCr9lpojuuc0AzwUTL1f VLTczNoSkYXWrS/8ggrPIC7pAUw06tGGnrE5cSi6lEmYgrNMyMVwy3sMSUcgX0J22tLYpU/9RW9x ABI+OzVeWaZFf00CFNT+k8I71hliq0eN/MCTeKbvOoFk7kxqDXhepSIsLt39+fRgV+QswXur5zNs SujZzVYibLnUjUH8RKXqMLi9QAtkb+DBAxbpJNnjlI9EZewwpI+601gFbIobP/zm3F0LVqggvzAu wY1aquXr1XiKvprFMPBWlPBHsoxp3vMORuw/mm1Plrp7Rnh3/tIwvKm5rMMuPK0VTOozUOLW5fqP kOf9/ghTqm1qChxiVXdcJPhQ0AkOc0qpPJbhVkNF8aLFmh+Rpah4ZTX5nqaR2m1UTeJUkibs1NU2 17aEelsHO8YBlhyuhn3ya1ViRgvTIA2IJC/JlaDm/tzSp4YEqWns0kk8P0CI7sHPuB2UdSV8jpu8 O5ii9spot8VJa65UDcQj5SfeGjVS6Rsd5+wmWyCpS9itMQrnjzPaSq6XoLE3v2XpV2NEBDb+jc2d AeSRQYa0n1G+zvWAe92YodqWWf+JE0U2/E0JlByy/zol1bV7nEra9iZNoaLuKQiT7SjKJ7D1r3sc Q0yjyqFcTHUqP6bmWWBOlqzeoidrgXrWCeAFtgQJ4eMoCdj7P8fEhPlR0Q7K4mit+/DUD9h5MlvM YT52y4BZhc+kCIknKnfuzwBSxq8atb47jb4dAaZaP80y9ejXK8VYwlSZn93lavAZP6Hra1r5N3gA Z7oZJ+88icXLeYW6OoXMW8LK68rllW6O0qdbCUz/sY6dInGlZxCkMzF8ajnvf2nB1eyqoPce0hqV k5z0CXfigdfVgJaTLbnimnXNgGRc+xvqCry5qNkMnYJJETw3FU0wuFlpyB99szdwVmHIMQPJyIG0 DeM4+uZ7PTZJsu6iRETRcQ2Gw10JDiDvscVjhxYgkD9hgheWgdo/ooRYuC9yn0pLUwRZFCeMukIN VtofQ3GabyP9s4fstm+NuTahmKJ744w+bC9j3WTHx8aix25dYNl5VoZ1UmVHqf2kkrvgqZKHmHs2 crhysD/CaPyTP1rXERwMbPzcmF/tNbr3iTZ/rs2/2E0R/tdj6FwvBzBUyfqZ256ZYtDdJE8vHnfL HLTPeND1CZa+dLIkGe4DXQHXqJwC506XWzobvQENRBGL8qlvyfMyogM9BXbwyOG5Vg9yJoneOofa qLRUw7x3L9Hpq/Yoq4bKpyXKufPYarfDiICViShpXnueiQ4B+V0QXD/670t+YFebn4lWlUWzkrl1 dni91mEWtizMD9+bvNNdaDBLQsNbFjBo1pMUotv+YSZdu+7ev6Vbtj2QL71nXhW2aZP087JkjqUT E889bcnlnKiBzEf/HHmJnsEF3tTE4pTLL4AC0GZgRdn2CYWL8P/AV7Q9KtGrO7m7jpqEjfKK0wQB KtGnrxrjPr13/vLm0Heof+gfCdlD5E4FCrlEzdPOuxEyWZRWs1x/uLGWEZ4mCAgdUDAkSktzphDh denip0URFMFh+oEtAdN69iLMDUSrrrPr3Gf9eKrYFJyfu/kw4yuUuZDAw0tkRmCemcIutrRh8gCT AVLQknhlmVKaTmJ7tofZdpOSOkquVTbaE4LfPThbIYiympJSjZOFrJ/22Wbp0xFn1kMVUbMyY4Hl 7xavZcUNT/InsafFMiDHJarbZDbtf1KYH8KOafdv17MHO5k2DdnTDfDs5fndmEN6nzXPYupVxMQS vIdmS3An7Lo7/bUJn4s2S1YZtD0X7ia3jb6EssX0rWtFr2NEwtnMOsCRNsWwDnG5ZIbL+0T4WGpt dJ06CrSM+vXR5X5KwDbARWAcfWQtVETA5f+GPMlADZDEiENC4kbyTH3iyMZKZVQKKC27nxSTbRIM 28OT1wLFs9nijO+fSdOFqS654BAbXmKXnA41sgQzHkSqBVm6TpBnVD4QUlAdGNMKow72ZHSPv56h KxMbENCsyD6gegrv3b8+dSU4fTruHn/wE+mMXy5BgVfSHr1ZKq/9wMJFbHty+kkwJfqQZLhpyO+n 5gmYkjJ1V5vmmNP3vFB2nU0ccJGCJXQza3U42fPwtvqhH76Kg1HaPYq94wOYqwbvrdGg9MB20Sk8 HvI65Lb0gYkJIw+5JYdzJbRcOFlD54TPbb+Bgi4XkAr/f7i7TUStFZ8DRS1kfW7rCfRa2MptO4Vp pj+g+tDZ5xlqs6w4zDFOO0ij0Yt5cVD6Xi+rC2qwa7gn47HfRXYFxj9GWBOBvpC1yhY2CPrU5b4E zCFYllhDI4d9nIGSbjVmbU79t4HViRMqJgHfM+v4bW9DF5T/DGwnE7Rxy7KXSmHQZUYTuGXw3iJn 6GwMCsYIqqcO6ZCUJMdke+hVK2M4fxtxliW9iLM4byy/zX6IBzX0EcTNsN7wIaEy/jX3oUwbTyWy jrGjhTeu3IjPde5WgUKsJ6aAcsm5/KDaqIc50r68dfEdzaWZfKzguzzvDcJxeVXcnlinQOcCEdmC TJfM4BHVRBXCfXGtEigpxSS6ci97jADEv+07DktDQJyj1NIf25PRpmnZeejHwrmFPFwgHA7uyaeS IX2+idfYrYeegm/Gh9GfeBKtEOXH7SSzTKHWNgQf/O68cfh7QRXZvxXz9dXT7Wzq+6CbaQnBTEIv Sc7+9v42+xzDp+VesBKB71erOsjFgU2dmAfeT/yQ5MM0pUmZmO3tKAmHqqeBo44LFMbWmu9MNPUM EVGZbIqcmVJr1ZSHpQvmh2P3Jb1F2l7dMt3YQ49VmCF5TiF0caKY/2kkt/PhqjVKCmkG26Onh5F8 HOA23Hs5XST1iV+4mISbWY5YZnj5GxXuOzcvInAYjBwDiUt4zs+xjryQ56ZRr+5mddZgTbfnxTmg 58Hdj8uTz4bZreIaWX8Uqdmis1f0YHQBoH20iyb+AaQ/EnrdGp6GVuefbKoshCA5NgNIssppbtXR uTFP7e1dFiYaFuT7jY93VjBcaZYnSLuaVCInfhjYnxEBg1+fdygf+CpSWtGgsAcfSLwK+WsVySsv Zg+jfa6s1KmiasoK0orcex9BRVQx1vYN0UvrQJfHoac5VRUE6g7IgaDSfhZaQ3KBEFyjV8wgic6V yaYsMssmnYw2tmHWj0bHKts4+vlWusDHwrv4FzH17hdwkXglu63aXZZL7VGY6bGcQo3czzaAgL3v WuFaJbQ+vx3ABlRQyFX1o0yetJFmS7jbq7mvTBSm+hInZB/ymcJqgP17Gv8auI5BSEhrcEIX8j66 D3PC2KSaZPUOt+7rwsmmQzYhHb8zwr90dMDsQ3ju8C6Fv4TDY6YfQTEUuSCHuePOiMeN4wxRUbIf Bq57VDAtgARYuZAzuRQW5l46/Y6s31MG0eKxf/nPbE+mdgv7r7JD+/AQJC3s+5E+3RB9/QCh4KpZ l3sSWjrvXIPl8pbJuHG7DKpfxYtjtvuvlkQw3/gkB2rgh6IEDMYNaqYog9OEFJ85D6iKM3klNriJ ocT7T4b1lYUxNRCDK7s4IQLgxL9NtA8wcdrXSvcDhceB5qccDD+weD9s4zHtABJBtjJV7bQcff02 g7xMdPG2dBoVHEbIXmjy3NhzW5THi7TIceU1HHLmK9br6ilTVprLZs6+wz7oOTvLtZSpnA4n/K8A JiFpHQlbvkvnuns3zZCdn93XIJuBw6gjyMHamkb1NPro8GDy785nDjN49GOs7rkULMFzQEySmMWd C3Q0HwNgfG3moCneaxq1ITuQe5BVfc3OvqNBdZQLX5XcYsXpLs+6fDv6ztAclKxVJAXGgBzLJg6d 5d9XwtcMJJWHVEzBo2kpfzVoSzqHgff8e3O/iygtxuc+WwbRO8qcDxbHdIR3UMeuTDfLIYjZHKA7 HOpB33WeHfDUdiri7ZNX3gdbv78cqtBMpjEH3cwq7nKkxu1ISOlfm4QSPHI7HRbOKt7Q6IUwXpMe IeXjLEjK90wFw7oDo6jXO/8jNJYqHimXcb985Hz8rObdSNWioVYTzQPKDP/1BcYytqvIe97x5pQ1 9p13Q5njEHe+tWAIR9iWbThmPSS/nq1jdy1Bx7F+/zWoqjeVI7TSCnKdeDFcr3bHCG6QNIGyrVz4 LEYTbbiDRYmk2KQMkrR8Pm4dU65VzvR1gHN2jwbM3vBiDn+IbE4AOT32bFVekIGLWJJqP5Gyb/DB lnuvkt/RS41rFkGE4jHFYXN0QC/9fYlxNaNG1h0MXv1jSCezDHsdRlcuBGBgQUxXLVRb65AZHrG4 VyCAJxVdoOrvy0vyXr7C1Yk+kJguXY4O9AIEaMLFkz2GjxiNvgR9VDiPzLBEiAGQQH8Gtvm8Hdsv r7wOJT+JWMurkG/6m5MmaIRsXx4CQpNfqrgUXgN5yAbrsK2+95G5PkDDh1rOmOwRISE7jD1nYNxZ e+LvsEndL4OPCwGfXJMfrE3ckGdin/UPNwfTbASnVXVihPXkfICH+7NXrnEMthoZ9RrlCY7WDu0z mJ+FCCPQIKCqAKlsCOWNY600S7rb1nl7UjTONnz+vD9Vdkc9gtT45ASJIN9csnpbTZP8WpZrrtQX 186GEZ5idLBosEc7sNbeu084Y3Y4974aqzrrwHSUX9pg/GdOXBrZUppPGvcSa1WWnrJAj0bF7e7h TkKNerVEtJp18W1ClitZOI8hxPvookuK5NRUDV8o3Dnbc4cU0Dpy2N0mcAfQKnUcoL0W4FOoK86M gV/W6e+ezeWGJfDuIF1UybPyAYK0/fDLyyNuoAFQSkbUN1T1M41ymoc5h2sQD5ZP8fT7w7ewTuLc Y0uGezuwkEM+hjYZms2lJfZD9skmSMpjg9/W1U4ItVYgPjPv3LaTDZmElxhUVftLIUW2SAezFUYd wEQj04vND5wbJ7oLlN15ffgYGKH15AFpG6dX4xOzfj6MA1fATfnxRrOTHOZVjm2hjGx6NOs27R2M i1JDPAa/1mPXWc2Y7iqm/yPirh58Kr7uALdl3f2VoJ80b38hycvm0a06xEwDlcOHUFG+C6C2gLZL 05/c9bBbnPxT4h+KELbgKQyrGNBKEkQK5VcBzUcXZVUXmFuL3ZAfnb4DXKL/TxQF9B+RbzQX2oNf sQvs92SM2++H1Kkbh6wIHSNBBV8qyVdErD+j+0MGvbdv/JDiENNUKNTwRedDNa/8f5ECeLD0oppw tKPQsGgj0oPrCA3bGU/VM7eFnivUoHdC7CJnhpUPHctFjGVjcEvfq7dUQYUybH07H9BmVMTLXMhe x9O06sz5ms8JTX+98PQBzuk1Z7DGEXUUraM2jL6px1k6mEkq0f/7LUpUTATNNeiTPpITqZ8xWIg8 AtOVaBnYbX6TyDZK4I2nyxQo9EdRjZF/x4xVVyv9FeZgJl3m9ogyfZ1tTTE/uuvcG6QhES4o+zUE cfBtU3xJufxvB6ZwFKrvztW/sLlolJ7mgXZDeIb5PPYQJJBBJKC0eDiwrvewiFbEvjqa9ihi2eIn cSmagsu6VYpRgPsNmtyE10QFi+3i85wA2gzb19B6fb5tvHUxwcfmZchm7ZqNrmirtiNG2xfV5gWZ OmqM1XbmvXvjuDgQeVklhxzEnSpQgkdZy9G4BJtab16LRS3Ib/HXiwEB8k8e7G0UzO/L/ywS+4nk MjFBKgByRxci1IbX7eC/kSXLM2wp3lSztL8LqmAQC1ymkfIgKSYrZffoRJmWm6j+NbjuGb+E4JTB Z+CedDrnoWvncmqmt6ZC7Yor0wewwkUwtwZToTia5aswSlkJUvg1NsZnYriN5X9Fh5bON78PwvrK x/t5bkxdt+s51ctHIeEUnxviA+OvzmhLk6Zs870I2oyAMuSAJzWCNS6YtOTHnmzOvZYHMmI3DyP8 i+ALcD1ICjZjwGXI/8Ge1M7ztyt6EYqXR+DvTZ9eQBXIMgJ0scdQ0rQvmZZPqC4OXyaeizCY2UZr 2YlZy/eO3+8cmSxLEnx67PmsKS/Rn8Rx9OT7zhmzWr7XTFsHXlqVcywcqGBouy0vRbXckVMR7wAI whokCarU8riYGooyZ5eVDZg4Pk4OPHs7jRx1FGqAYU3uf06OahbN7U62K6qEKoDyGpBUN3kCi8I0 M0uUBs3BYLdcrXT0hpN0EbxhAeWZsOoXhIybWLCHxavnT0tFkQFfKqN0Pq2L6fH4N9qRdgxvvtSE hnGZFfBaGLKM2K247nzm3+cj/WqO5IEGSQyxcy4kAEnZgM0eCtDWI4x+Ah48LiBvHyr+WmNOB2tD OwrveKaq/1pZ96fDcpsw39DOYC6udrRC2ITkxMDSDiYWXgmWReuWPrUm3BnbfIVuWuahvNpj+6kz HKCs4nrVriSs8eF6td3ZNoIbsoFV07twFEoGIlDR4hu8ynMhoUznxpZLNi1DxgDHGpDdpI6OYmls iReYx3LEG/lz34gcOpR5OpYc2SOZEojA2ZYrw1q2O83cZXMVNr6mP1nw7di/nmwIom0uwBLg93Cx QKl1ohiF+aRN+9qWsXWTZ3ZzYsZs+disOw1fS0NClaAzsDatHWCPTxn0ScPf4ld48MpMsDdz9cKf +tPkAbQ+gceg/T+OAYHHkM07+ZAFA7kRbVg1phYLXsn2nT4il9TTnMisbrRceeIPcAciMEqwcONL Js0LSgRnYP1MI/4EU/6SFeZ5l+ZI5+SII5hZZsdzcbAk3eopzW6kOQxQNsZ1CNJeB1iDPuqbmF1d RJ1cz8gRSpe0s6LpbQMGQ7XkXLcqY/FNxOrzpdCNHDuwWKp5EEmBz/g6TZpjA900VKU9gAZmRXUl TBTUK8iPcrydWn16A7gspUkX+xHWnDtuUjvZYUn1+4Y8xRWaKFHttbci0H9EEkpGMQqsc3Zp5XSa Vo4DRcOiblFzVYEtUbFb2YI2VV+YlBR06t8iz/1kQ6i2VdOxbr3DSxAC75RWEv/rJcD7bisz7A43 Rb3TO6fb/GVJGBj558QHPTlSKq16f8IebB+ecvCOxkBATX0lkDwqfKaj0nwMLt58YNgZYE8vu9J7 aLxbTY5OA2CyWFvwwySWbmBNZJ036GxlmTmJAgFIUTx/yFEZxh96mtWpZKJPfdDtYsJKaDl8SLLH zQ6TvukDrqcMXdBnP/LUjLWAt+yZJnKEDyz6uZiMtkfkU0hPfCNgNaBVOEw0XxFAMfEAK4lOVkyo K392uD19z4yfoRmaTKywShgXGKSg5mOLaHl1thPeAI5RfoMRBAnM2xDm3znU+pnAJjY9RV5VjXtx fdrTjCNAPw/qewsyLCU/r/yv98zup1mY2gaPoUrG6/FN8N3Jaw2TxDAZFD8oiBpKc2k8dVNB/7bY Bigc7vhM8iw90stzJM1iE7Zp2FLx92q3UC96XCZ52a8RtcS9ol3IhVSQ4RLbl+wxdX+4rUBt5901 4sbhRlMWd0BB1EgPIJY/e9SW57E/XHznseC7qpaLC2XdqKg4D4tr/SawAvX936DXSZcwz8A0uF1F zLQ5p7le0cnasZh7J9ZRf1XxFGW3D7TZThkZ9RjZH6QeP+8sg6cDB83goqXIq0s/2qbxwVEvhI3p DbWi906KyvugN9FTUtgI8ne9VkHa+qq/XtbYpsB4hVeHjXmKASuLmVg8ZqzPCfXizORZ5cMIa4C0 lLMruwDJ21xJzIXef585P32WZvL2ocN0jWs8O/lplqbIgPBWHFrNVAc90QqZGnLNixetdIYGtJjD EQo46bU6LLzd+GU2IYZKvNJVWjpNYrAmpox51yn73oX3fOLAMLC6O5AsvOHOCVdrXeww9Pw3tend 7/6+mPBispW4Y3pWIqmmifJaO2nHCuSCAb/tAAQ1DDp7LXNoRsTKoBtG3Ch7lddr9yuuMhUO3ULA Yx0nF2WfgYDpwr3J7F3c10LPSureyASCD+T85WAtVuPvXIDmJEpOGL2ZXwDoNP9rcLMbdodwCnro 8WvQaDbM+CkN9HJyJg2INSFZAbrviX69qJ9PnclYnEfABbc/dplUlddIKxSx/ITw1pxkBOfJq35s VKuHDMJ43JuCmK7VjF7/ckRbkzyqa2yXamVcKOmoeOB3hX8zEuuQQqY1cdoKab4E9Y3i7qauG4R0 C0TxgOn+TmKaupbjbi3QCpf4yYR39IlHLchlXenXRdSiVu9X+bDt2aaaAxZ3epL1ruCglY+6nzAA uHlyMTH31vhU8lM59vJjn0uaGbflU1gyFlq3X1MdbNG0iuAh+8VAgHFiXDC+Zk9Z1qbJgnXtF40m p+YxY/OZ7l4mBL2iFDaJWNEtALpuPwRnSKQYbSFJTqoe28cfZkD/txEjY/8/Qg88WdlivM6hDWD7 rcZkriSNHEo4+gk3fvRgg56v0pu3+NJ3y5UbZpgBAtxazo2dWIjU2QzUOT7ju1DvtH52s0vda/2A DaVouECKjrkEhp3gDJHQ3E1nLcMm6bwoH77wF3Dc2ZAb8oru3jZY5+KQQ44wc4QY2iGw3rNspRAj VE0UbwvQtxd/2nwsc/6jrSYTwPRrE2ZSmHE2K0DU9kx5WIVI8d8s5mgGQMCOEUWCTkbY2HX/fWkd +G63REzJTyWdm4k4Zck1xw239Rn+Qxtosvv4MgCTbtLHzY0yfUM6ZmVL0wGcgSPFb353R9J0FNOL QyN3RDyBMe2IplcRkCWwot/WCMLQDJqOAFkaAzJeSyYpqlh7az/9W9Fm7dn57iNJ1fi7gtn32ohM BJOUC/l5EIwHnHkBqSDoImsjbldt16vyIIJJ+1PKjaXdjA2APjv9F6ObVzh9vpIzt49mUSKihK2m IndmpaN3zTxewST2T0R3iOmry3mctauMYRa5D36Il4eJRzQMR0JDhbmP6al3CTOQMyRs7dH7sIsl asL1kueBpjcCD60VOIHYtJmy8lAvwD8sGlp0FCPim5G67HGn+nvI10SyEOZ/TW9xnkBc0x/Vt7pw 3ANIwVf9YPKD6JixytDwhmh2JU+3HpUZKZz+FKE947RbcIor1OE7ujXxVlANnFlEGVTRj9fmQgcp uTpHwSoOn9CVaA9NWt/uok6lXywKsgw5p3TvBsL2jnWfukOdUYB+YmweD3qcE2YLc8NO+7rzCiDk xA3qjQ2+GlJSYvlRyHX+z7/PXGZpgg6NslejG85qL5dNNXxGQZt52xgFaC3p/Vq6o9Y3gzKXwqvU eyJm6ilXdYoa4SDoVz50uChQ2azeUIE8MF56kFrtbEqw2A4TtyR6kb75QSDJ5Kydw1sfNLM38/1F 7ljK3zQILftEiRMq3r6XiLl2tWf6255MzVZb1EhOpT3viTfte3MW1mNom5iPIknWpxoOJDCjPqS7 KA8XfSv+WUOMYTXei+3u0xbwjHhM+Yh7gllBttFqHHY9XFaHjh03xeIbag6htA1NhoZ2MuuomMRm 8hyDPkfmcgiQV6Eiy60SgPeyPPquYKEntP9++g6mvhtkWWlAbGXee/Xklk+AAaMIWWEpJhAR6d2l qLtQRy3SEFGkbYIT33nd0Qzf7eyK3Xl84SZnIycVrTuGbO2F+KRtB/FCRXLG6Qvx9O1RV6055US9 JT2xUmvXGmbTwQWRqClJlyozEtDFJmoY2btM0QXjTnIkrF8fjs86L8hkQs9UfinpGwYuOYI2p33/ 9n2yVyXBlT8wqDRfjvi22Xws5lWPf0FPZYpxIbFInrFEo+hKWWoByppojZMl8qtvwqSoBlIAW1XH JFT8t2YwS1lA5inhrYmJw0CmWQHl/b2fZiAkqOMeMbCdrIBQJQYG2vYSWZ0kN4RaZDfi3BIGUqyy yNIPwKO92drNPj02N4Dtf3nxgriRvd/FC69VSbp3rN6Xav/oi7dGxqLGvgwfWXDxqPFhcVCWKcow UGtmfPrgew7aOB+NPWQ3ctlPLT2KKN/MraNjuGtW7xaUl2t1lMi6MQCm5hxCn1V+0pxaTVHc8A7T SzSC+jrYm0ghmHStZah4vrOXHAagW5GbaYI79wP5rYJ+VZIS+BoyT68LwgCzLvUkahkSQZvaa0dc WkELH9UgKKrICVLmpbEjSLoQyi3ylGK2oOdhknQ6QgDP56QZ83SKP+77H/QZCg3O4PmnJJoyHHMf AwfqiVyWPFMUDLD99gHTPAn79IKjieM+G0QnKGZT/NW0fahtHHoLIdIWFCgYVu3r4/WAytUnmao3 jcsxSPevJOKi64wp+UukzT2ur25J9P00m98P668EV6fJiyYgGGvMUi/EaM5azQ8Njb+5YoPbxlzk 8Zjgj8fLmtCOfVGhShBzaY92hDA4iQyqo0b0flnhwsa37fI9hhoN2EngMe8WqVbkdQ9GsRZqT3Lz 2EWb8ypSJqpaJM24OgONKjM+j1oRRKxNpWoZ7VZN0Wsr0lkOVISJoOe3nh9LdipDilsaChaRshb3 Yugm67t8BkUeFNyLlyS0A1zXUf48K4IN0q3UmpmVW5p1JBnTQRzqGXg681dD557tipfVIaFBt0Rx mKid6XE0xtaj8mkcYF6VtWPO7KmMH322kbV6WptBnpZcXy97njCM2aAsCOmgK7bQWfxmrh2nPqTM rUqg8FYdlxgNBx0I4HSoWM0z7OdKRMtAAs9wY4wr4jCGCvR/UHyIXLZ1sIEOWhnSw+ooOH9FA1ac 7arxCL2y5SKbgh6Cqz5r2FJzPpAD0tdAjeUFWlWtNifuzJCoQeKB4io09qJIn+VEsWiRHkcCApab RUokUICgkkCjPdr+yugiiF2PujgE8IYbUqSYlkPZYU2IR9CCBNJ/wbvF9t789+dTKGh5ACW/0lxX 6PZCv8CSvURENnJghzyIPNMSMS6svk9ooHjudpoi3/c0e0rlzaRTrO0a0A0GUnP0S5OJ5LnfXK4G QK90WBl+RETY9HOXvf8suOz+W7xyk+zh1gQ1REGE00Bn5KMJDe73UKmxbwiZJj+ceqkfQYRInL6k LO3YqoqPRGMBDjv4TgvPMpP0+BO40WzkUkAX2w0Pm9CIEldkdWoqcp8ZlVSDC+TzO1gH/RJokcl1 EV3jm0tYSP6DMv9yrqPMM7VEL3KgC7PotCwZaUn6wWtfQ0cUHVd3NOE7T7y4o3vVgKul+Uw3F4Vh oTBbWTNf7XR0sHEln33iiObZVZ0ldFnqgS1VVIhTkF73kNkp0mvT59DPUvm1BHF2fBKD3GbPAM2w BNB60GqOQeFq5AXZ6xkNLaLCWPri6YQ0pF4g4xS2LaIP4xvDrVM8lDUKMrU/30LGgfAzX1TuYO1T /kDkW5gDz2YIkOj0GPxMk5Spx8n+ornNak/UmKwvPmsMi6UUNeuQooq2mvyprfZddpoAO6i4tqST p97bajM+5FbYJZccaCqi93niCS0yYKEtY9ocvLO//A/R21jjFE4EHzhjwuxkctxIOWJc/0Set6EC Jk7NysMkFC9kLHcx6x5hbvS6BpkAL0BAtCvQElCcOAM1Ev9QrzODBtb9u+HNiOwN97mJM4peSzaI HRhBwVLg0VrgBVhx06L+2K/vktnA9kdi7XyvYJphldlOolIW2kW0IBilxQcWgI02n4Cas8YvO7wP GUfFdpxTHjLO9VJ6E8jTP6umfTBltN22+QuUQ8uP5xyCxzoMWV5SJvBfIpeNk0IwzbpiTa0+cmTv q+VbNpGSKzc0KGRwrPzcrvUhAnTRpXA9Tf8RTGrtuZBqMSuY6f/mklkt0h+OGFSpu7EbmCQ0+xE1 OArlMibjWoAPuT51oMOpVlD1rnCaa1emCL9R7fTFeOAjX0Vd1C7y4fL3DDed6Abbd57WWEQ+rTnn HO/ypM7gzr41YOg2krxD7tTHhL73dqYlygPT8djUQnAmgUYYvIkABvibiOofZMb9xbyBVzvfltR5 Snvv74ppbXojftbvNJoqBgvT/T3mxs2CcS0V0w6+46p0LcqrsPT9GDyvWe5K0FSuWvwJxqNsDSP9 8F4jrZgRp7tMSGbY4lQ2soAKyB7gRhWzLYfKrPKI6tygE5a+XpPkzLhYrxOuChC8x3Fq+aVRVdec SV5SXds2tejZ8tMkspW9VqMrc1xZAnJj1M/XoahUIz7M8S2N1wisFlPh1mi7bjTQGJo9GVl8XHJb 0qz4NCWC03P/vd3VkLqntWOpS/86mCZkjcsbLaXMVbMZD1L4UQKEkfuyUQoJaXLxqjazHLJrwaKj GcRkMmt3/3zSf635u2UX4XLTPIr53p6glZS4LMBDHtziBvcrtl+uS3W7j41HcpzRJeIt2ztani0s 6Zy4CgL9f9G/jKU49XkNm4u79uEPrcU1KYiwBtjEd8itTFurhLChRoIilb8F6g61H2Y93fy72Bvt em/FHY55q5xdB7dPPObm5XGz6+lUn6TdhDU+GQSC4yI3gwq4blbjpabvfhrGaUfBhI7XSdprFo84 NILFuPJ+hpzb3x944B+7S7plPa5RW+u/IltY76HOKemeJQSvvYIuAC9O11l1um39wKVgPPa3HM/B KuA0Ap78olA3FVCBV2w8emRubiYOusjPaHx4uX7zJEf2QdrE1sQZfDXXdpt3PrpTtcJEjqszTKyo 1+N/8O0qLYyOpr2BmNrgQyMyMErLuZbq0OH9tPPHp27r05vnsglv1jzptQ4NSH9ZCKweravKiaV+ zkDP5IUn8bqb3AL41cmPANMviLsF6xbzOHx06rAtRVlIZk/3UHpF0SxkczJXgvu4K9MFfVF4j2v2 LIkqQC2bajspP6nlg3GAm9uG2QJD+LgxkiQaw6gkVyvJKYUiwfcBlNqv3VRhmc+Lwxn2YtSo89wf /afuCAtSTSPtZUb+MbrgRxR6am6XXewj+Ubj7iz4KGhF25WwFPpB+9Sxz2WJwv8IFFsLBbLQpPj0 xwJgaEuWPuD9Pq8zNrE2/kMBpMEL6TjqTdsiyfX8Oz3rhiieHB6by9zW0+q16159+E/eN5xC18sb T5TNThHxBTQai9ss+rgHrHEy/Gjm5Zo8Ea/8dZgRXaeqnxnu5TYWtv2ZuQYAKr28nCQwW8s7Nxl4 I79N2ywEXdDKYlCOJyZcKCs4VfTZpSIEm9m6V+TjVTqWYJKwOCc+o7HepHFLcs3MLor+7cD3tGaq 13b/FXkH+TyDeUblsPOsLddoxAhyz3vPUHWRTq+AygGj6kVZ5hcquiHqNfAT6msacXroXGsEMdpz SpX3BdD1hkQQpvdNGxLh/rx/a1q30GOQsha1/8OOoG683IUdYFLiOdvFECjbiougdbrG7gx2RZXg js6ua5yJ04HniPNmXU04tLW0nxGdLdqxKXzY2k2CnG2yJ21feOIadKxvoUHxFAk4NfJSlxicT6GR 3XmkiYJmQo2q2jiI6ih1QfGbg2ke5/NYTPTsJET9zIqB1G2ru5i6fghvwja9P8CUPnvCltWvpfGD axq/MtKbFdXyW2cY/DjIJ2sOw4cZK0r4giZDz2MxhOr4el8M1bFc/u/p1DJaWHuJ69kYgU947Gy+ VfTE2s1H+On8FRH1y/123TCJum59ZV6Kxm9eQ0dbI+ZxiU/SE2bo2eSvwrwC580VGowOfIxoUl+B 4cnuYkW9cf067lHRRXrQTNqVg/xP4H/WhTBcK5nlFbSzrYulTJz5021zjUnlGTvwf0q2vlIV9nR3 oIHcw2BCL78rk4IaamyNKLF9z5/cv5RH5AvdTSl/I+KKv1G7IvJrw5MuRhagoYBdp2YzQp6U5wtT yH/MTAIe/YTT5yGWFi9VET78xtz1bwxeGIqvhFxA+k7n0Ahanv/r0JC6MdRs8VOBu0WggfIWwL9d GEALMEdtExIamRSgfz9bODis1I5XhGo/1zYRiwB5vmMIM9YpFvCSI3nYhSYnFcR+Gzms85pRGsC8 F6/jVLVxqjvrNhuHMKSlWkONnODY44TjGOJDhBobS0g1CdbVWPbIAMLkPyUFeWQcjSwUZoycnqR0 wiw4ZxN5eUIsDFNXZaDeevJhiuZAg3XeivW8Y/DkNMAGw19Z0EwxMrk6bDDJP36HME3J+3Hq6mC5 hE2BL4c0ghj1ZkV8+7WGNDsGX7cARvobg7rjusStfj+u4ODNQk1hApLkX/i6yE8Rle3IMz+MM/wr 6YHzjnkLkMTv/WWCFyxYECPsSLgS5owtF/JpCjpjfyCMaO9ZLsIRr/8/v/b3wJb3epgJVfik8No7 2i6gZRJ13+F+Atr+GNw+XYnGWyBPEfpAaMnd9XLuBjNRGxVVjXwH9UTgR12YfWxQ30ohAusMnKUN yvVQ+tIpdeNmOuEHAclDuXz7bZt3K+7qRFcyxN0zgZHQk7V/0DXiJecpYX4Q1hdhN7C23JkgIaOn z7LERnWIiQjf4WOcx3p37ruS7FuXdDIokRhQe1wkx3yigpyxA7t78YOPbZWgWvFyVfJwrnl349El TgFwaTLP8QuNrXIQ/3/SldXBRNhVonoTd2IUMhJEGf1yNNbU+qQd8UcN1BDDk5MnuRJNgm5V8HXF 9wJIL95mpSlIxRgaVoBUuiBX57J4IG7dY1hvswNlwkUJ7SDHajFa2QyudxO9iABS0Ir1v9kLx+ov 6s2uc4CFfaBg3Ceh0twJE/JRFqZ4NNLMolyD3ebdPs6QvaQn3TLs+VfPV0HXGA5Wu//Vtzb2eNL+ OqLwrBGmq3yp1YwvfHMRLFll68+rjiUCT52WHoONLPXMBdu3ScZ40jMmqv68tSzYkUGt5OAosFdI O/SbNbm8X+yGOTSUBqirX1iEescEhHcmYe1e5dDCaNmfPnqbhAZ+L/FRRhkf8vIIa5XxkMZ6h22L fUR0w5Az5wSvaMLjBqzRh7JRgP4ggLOyJwEr7JHUF8YX1tZjgGnaWGKh+EZDJR8IGffuvx6lYyiU ACutF0VmPqFJlD5DwgkDiKijVSh+PGbt1of+cLl00n0TugYCW9BBHloYd9laLqg9J9FnbeoFOgbc khSZMrMVmBMdQHi/5WY7Fhu1l6H9jgA3S2NFSC3Mx5EayPUKXvdKPBQDqB7+dox18mR5ZARc+2z7 sKvhiGukaH1uprwAFuYKUtuTi3l+XB1PNZ+qI96+VDDTKYfCdQkD50Xk+CifASan9BRTwuysfdI6 ILx+ItOLydXaWlo7SMm7L3y3Ns9Ux0NShQivNbjLRHdrbbhXRNgy4JlOOT5+g747kTM1gdOq7AcE xXk8frxe4NjScJb7UhBU+J6CknRJDH6UmW2TRjRVE6JfxR89LDuZwxn6H7HHTR7qYJtWCGb4KvS3 LzU3IJ27/BOk5YB+0mk6xdxc8CpXp5i/6x9zbwnC2HLeAmqykyhHvn84Tq2rWHrfzIDRnw/STy5w 5sEj82QwBO/BQH0mv6bvFodg2WItE3PWEbM218FHhL2Eaa53hxfdbZ8Wqjqi/GqCqlg3FEgZZOJP sJ6Jz4JkqVCH2lbCr5VkH5JP3Vd3DPILfjs6x01eXTdid4coWiXpZCE63AuXcmu1Z+85Hl1SbFvr qv8fdekyf+u7SbA45tvJiGfq44WL0kPU5E+3u7q4vnaFqyLjs4njaiSpx86mM6jmdVk659egzm+c QxytC3k9lbFMr7r3Ci3ZhNd834HliSZK4GF3OrqFSsPG3pEPnXw40P8HdK8b57jZbI64naQtza3M rsANpYx/+l3d3hHBQQZfnviXN5NgwGUaZtzvyCs4OpbMLv01F3NWxXHe/oX39vO9/b1X1Htcvl0+ 4h9Ep5MD9T9HVU8ML6e2Sgy+3GYO8ieFxEcG/W7Wvxmot6oUZWtblTmHvI/y4tZaDkcAZ5lR/OKA 9sTosfvZ1ET1+7NxJ6AMueHAmhZIJVlDwsptTu6JJM3st6DtiMLB3J2eH9p2JrNwPblmLD5B7Xa6 M04qMlIgCjH8U20vAGnCeH157CXCdIXyH54xqqXt29r1vYxMnuF1x18YAEjnDt0b03R5j34JNXr+ SdmoOOG4oR/SKnkn/BOB4u/wHS/Z6MM+G9AjkMqom1MdeLhJvxgkRrnffnY9l2HcdNhRn/Zv+S36 TZBFaqPL+R8SEOyZ046MeUqzMJea2AtuO5MHLiT82TYvBhdvTcdhGiCl0/4Sl5EDxQ/F11WOZJ/l zbVHn4EI/YcC9A4uTuEwZir3MmShBxZBXZC+v9nsTjlx6UZHX/LAqXX2zLo3q4V8n3ZZgELNlOjv NFfSVlr7WuMxLczxftIUki1UxtwFqVlVztnM33KkU0jGry9W7+uBJTJ+NVafyKIZFbmBUlzpoQbH L8qhHVbTSNwmxw8PHZmdpl43jjRHdMnZWwF4aag77C8mMANjs5qiJa1SESb7nimBNQxXrKKQmRgf vrqaB1TUkILKVDtJbge9ehbrP4ttn4puy0HZBkOR5V5tquHDU5ZC7KKxGnKJuR3WgUMucxmK2cY9 pGDIBlXiiFrl/2J6rr99wjewSGKAqBCBI7vrIQJWR2zjdYv+lngkAXdhId6LQU/+z1E5LILBVRNB 0w+B+jHsYrTygx4QDwcqIpu8L689tRmgf5KGCE4Ae6/tqdG/U9TfduGJqHuCw9LUVm5O0VG2im7G uOc6NlfGUocJo5+G7lupH8fy6qA4ZYfRhltcprQF7OpENynk2MA51Zm82WT9zULyKAOivtmFuZ/m o+RllJcUZAaAclKIFGXa0f8aUeuAJhXPAxA65AVWS5wwEYievbf90S76gOgCfpI/uEBkKhVabXe2 pggagJ+DJffGpD3zmkEaFtE0Arl1gOeP657Dha8LHx9Pbms5i6Bu5R2yFV1vM4JfYkG3PL3BEIm/ ZGRrUTU+32xl/3ISXWTy8HjdzpIY4r2HQfUePeW5nP0BlrP+oFFenRUllrF1ddSGbYed+M0xJRbh JX0IAbaOBtxJ2Osh2ClB0AcHECUIhuATdAXiqQhS0zAz/sU5W5umv2RLdwHBlpbUiuptroYaFfeX FO3DBoO+zO7QacQfRB5wmm2XGfiT+vC9/AZ9kqA/q32pX5g0rgGnyIf/FwSNYegUzpai4WJ0A1qH BKM8Z4JARojWuQAdDgyqv3qs/sAxOWHotorhTsjoyoedjOBVmmcozY4WDgy18HAAfYpwaiw4a7YD h9ZWbM7cFvcS8mIZybWh2GzenEoPjIvl34eyGqFQpJvTV3xhTRzOR58Lvj8QG56y0G3gMNx71qbj viS4MUI2Li1AXRjg4MTDuwNcTCoqtUeZnrrFvkxY98y/rdvBEyJsOdGqSEwpLq4vl7Oi+e7xSMRE hAV8+V4LrWFn2NBpd5MKWY74V8d/5sK0nF3VCQE4plSmCthJxbzLQWtS/HMdW08Rz0H3iveq6Ljc YFkDNtpuGaXG/ZCm2gkgWvAzOS7N4/gGiApDZUg3pcNupBiJSieRiavUVT6LgyPD6vctMafwbYLM Sz5kxXFocobYMfP05YAP72bBwO70CSD1YLZWtP4X1Q80RqGFCKeu0D7kvMsXUzEWS1du8gk/2vyd qAsndxqn3jTK8L8ARMFIYQTowCaI30NpW8/c7eOs1DBMeRo2EGCQjZ/SzTvAPXQOjHq/G9AgUDHq WtQeJDXRogvjSfyQMxZ5UTAaYq0C/pOngykspVfmxijRCOiEMQWYnOcGYU+7WUAHslgM7fVd9SWn SgeznCmuRc649kHfRkmlVMI/quMWz/zcbVLE4CAvVfe13YHXBgmy6gt+Iialvcp1kDWdsL7BwNj5 10NcWC/Vxyg3ag3koyZ+oxQX+e1rvDjquZCzpA5Aj0iZuf+ke98sMuwHejq22Xt3DiWqgHuH87dZ VcjpX/0QhWizqazklWp9kQpj6nY1vF2qE9Wo6TiK0SP9gSRl746T6vOoWKcd1hmrhSzACdT67D7u 6MilWNCebBy3lFaNaNscT5ij6ACnqLnd8U9mghzQLdxC7bb4wRx6H1mpLtCZMQOEuxIfDq1/VwfD c8J1a43xYiNHHfA8oSQ9qgcdHKkDwRQ7IR/gLBQ4oE5w9WjgxpI7jYOrAtOg6O8u74ud9HQqHCEA I234wEbyRSFDvtG7v23+cmcSak9N8WQo/6H2rd0TZW/FbqcKs2X7RVp458TMDKqtJ0WeUnJhQYh0 L6YjJZstHm5y5iSChMoUJ4L5nuGMscxzugK0Yc5pd/F70PbQG4sEZbkLcYi1s1IZZHt24rpB4zr7 /19OKav2gbZe24grPt3JiSYUAM++dNG6jWoLmUTuJ6+g3/NvzfoEtoiqPNiXevkE4Lk+b9kz2Bam S/BXncSW6r0rJatRFlCP/JLsx13dMbUBCLq/iQKD7oF55o/iIppmMwovm6XLu8p5M7HCvhYUhbD5 SNbsNoMFqgw9IemNKrf6H3gVOt2EWrTUMgrwl77IDhsjdjiFDIlBDPL2U3XD7Y1Clj3x4O6ecEaJ Tl1fFBsXmHykDOd3kVT7uJPBUzU8/TmTSm+kM01gyZIw+I5IufZQGsFlV1BLnVTkb9rzRK1UoyAO lZ91JIxV58uJlVD32ZIqb0zSkv2NawSxIihiixJCvOvVimdQMa07NvpLuUz4dk4o6eJlURM+PibG wMEiDqEezcAJOSANwylgTU7E1kVTh3mWenSlWcX+Lc6ltF2iSQo1H9vnjARXctg12CbNjLiFNEzl 1I7YzGlC7QrTuYh4IuKnDQ+ZUQ7iXd6p/KLG7aYcrtLdu9DuXztodpdTqn2dx9PI0c+l6f3jHTgt o9UCwPcRDXGoou+0VyneYMJv4lymqswFl0FdVQciKitKWrnKBoGntfqC3f/sfg3NN6yrF7MkIYrM M2pEWtuAtLSaVLCJN2WQX/dxLI7oxSxjZ03wylAp9HAGBqwp2xe07ETfY4OngebqbpXgP9TK6Ozo gfH+7XCiEVrBqv6G0J0NjfCP2WhF2R15SDnZyvYFQQc7T3DyTqdGn4Vt6W6Mhmi+snpSZJJhxrrl dhytDXshLFWxMB+4fHVEUxI7L1LB06t91tIpelLyQoTMPBFt3K6/rYRmyzTIQdzOiul/QyM9ONBe SCDWyIowrZk5+vDZVdhr58WPPujd3PhyvCQHDyUqDeey4/2zhx8Trzc66wKX4JPgMlM2i0/Sx+KQ Nt3Ixu1FX9ia3BvQ7bOusvMvauMFxvRAkdIEiIH33p7miAgF+gmo8/CtbYIvTbsIukLfHB4l1qkB BmfHW5vALerZ1/4iVx2pnYXldZfnXeYhyH9LjNu+GIjPI6Eh7cFJoUtwAJUxzQpH34+vaj6N01T4 a/XxaVRf6weVL0/Y6EP09doSd18FVzjOh7JmdRWag5RuFb8SnB0BQrWsYcTXlR5oaTeqEjOxeOYK FR61gJyH765E3XIUUtEkqSylXF318PWOlEKK59To4zg2CgYq1kO168IiwQub3WCYZxffqUPAtkFp X7KedgYuyCfh384ZW8+bwLudVFcZeizqDxzZ3G9SR2sJBUTGnXaoVWGPgCtspn2FdE0b7WUMzAXV jMPvqp51zxBJnwEXYnITEwzc9RqGaDL8gFEpmg7cq859k9uszPtpM8KoWlVVdwbxqqrk8G5EkmrS mBdSsIE+DkZLdOBM5ENQQSpn/DCg4ME13f8Y5AK2IOVAF4jx3WXz56m3IvqnPXrW7NW8n43Hrpg+ hITte5GvSnckhDVWqxlKcFS/X7LBzshRHmALimWT6jSNrFt/G07oPPEQL3Ot+IPlH5N1lRXfyy3I EHabb2BrqxZhXnuD0xC/uUnHZge6xmqz0T4m2y+rWClO0qb5DwhHnLDPbz1xuS3AY1oOecpAI3fR oKEoAqHPlOjXaBa1pO47HK6xgB2TeSRE1lPBpEkAvcqQE5dXHHdQubSAOI6nE0W1xtixmzPTEzwb GhDBRWWY8xTHNPvIB5CyqSHEEjl09VnEiJ2g10YyOnupA9vX1FWezW96wvymiRS2lNf7m2g2O5xT 9dn9vea7kKFqPX/Ptasb/ECh3fNd96kRsOHzYzgHgfMxgOPJT51YQXmlZFQU3ZigAOAi485Kh2Lz E92XxeByOpnzZjTEvPtRpa/1rLM659WPxbKasDz6pK7DmoCnKwrpoQUs9vL7+3X1OmLruEPvv3ny QWNzFVGjTaiHeMTWshksaMpod4bO7s2KYIx2jXebag5VEUiVRz/EbWxteW/COYqagQk7i/SHtKw0 8xvirmPT+/c909j6REC+V2/cc8naHmyhnaoxda+fllSKTEOt/OXc369JUj88E4umdH7sxnR0rck9 5jzZ7pWfj/R5/u8bSIpcLaIenZn1akufWQQYlfZaQk1KkntDtIbW2dL/KtDj41yS7QSNtD5vbpvH Lt6PHk7mVkS/fn0W6j8LDD1AjhwaPK7Ut0OuW845dHXn2rzCmK/5wo8ti6WpOTlnNWjv1Rc0wu+w 6wM4x5AZemXqCPEY6MmzR8yg8i4CupEcjVdhGwg1lgsC58MGeye2wQ09r9SbkLZuGTFMUjFlrAtx 8Sc/J2FMoMW3Zad3eaTZkJP7de0RhRKp9eXLGF1/ygNDp3uCHwU9X8kPvxzL+yk3DdZZIJtckhx9 hr7em0ElbNtrg9kzXnOkLC1+qIsR1J36ZuufyiT6DBbbqd5SqhcTpqCPDadIQ8i7EFTy7Lb3cbxk 0dc6+GuHY7nuXmwQqNxjCR23j+KhrxlB1D9LVTD4CuaGPNLcfZ9Zr3S5trakfwIvrfr9KuPWqh9V t/zt9S6O/I8KXlCbmqo4QTAD7mlpKLl5QFN3Gb3qzpL2T1kCekSSzxaU3dHJFS5+NMSrOp+wRqod 1MMQKE/k0lIUPbfQcaA2G0wgongPZOEmjnyhYXjeG/ChYd610Jma7/9RYu90Xk4atcgOAIHL4ZLR 110CWnYDZ1ctVnRloV6ECeyn0/+6tagFUbbOHmKQPpe/Ca1D87t6db7vG5upYZrc1ekiArxBAzaE yfGO+NIqmGKyuS/PA0XvoE4/yXc/maF1rRDOpRgQeSFQ185Sw8bfju+XH//xQNJrRDo4GebW2xp/ RaV93ZtHAGI4iBxXB49gYysdBMpwV6nHEhSO89K+v8+eLQviGfcWWaI8VHX6Nx3kB0iQm77h7G3J TCLMCPfeIKWtzw6+snewa8DaJTZprMOwK5hdNcAo5gcfIGe2gG+UWILdZkH3SAytsRW8qPhAqgxs I7EQ/OazDSxiY54yUr/3sPsUOXTXlF/LaB1mMchAU1ch/2BgGWzsUPNqRt+zVUxN2tv8Q6gTmsQT e27k25Hlqc1uNBfx7qXWdUUOTRo0KkNUw0zgcIEijOx6pblHIkBILVXVA6S7qAvCYnQ1E/ukziW1 +vS4vRqeHBqAhMWPgEjH6IPtOqEebgx7vpsV56vElHtgcitV8Yuxr4sjemcklKJA+UyT7ojfAKm5 ZPvwYCU+z76C33RoA4FvivdjEk5W48zwBeep32twzp4YkSDhbepNT+DPhIPU8yyoBSz13vU9TdRa H2uOwovS69SjZTJryv5xAa74eD7aIB3iSTav6gcAGxDkanSRSMtFRkER/0V0GFCzuQBcTTYOYDPW 9JW7YW57d2QM5U1+3nQotcSmCcvzh4WaMhIi9+8AxhszZpNoSa190kY5ldhMuGKI24qZ5rw0LOJp DAL/MeYtLb1TCTSocJcIrmy2earcW5dBP9M0BYt1+bYrJvex9IqNbs3PfamI2i+KcairF6gBdvHZ kMFumIJadV0lTIbrlxAr3B8RPP7Bz74Syw74ac/pIPw7ITyGXk0kjlQjY8JDbPuQdFAXaB8ShWZ3 EMm43xwE1m6C9ttelja+APOwVP9XYNcuGqnGpENG1Js8dPcNyYGqHiqQ1XHggR62EcJXK8gihs1A TdHW6uTqtW0sTEfgHWEO/LxePTh8QvJwfVx/p0QsPWozdN8UWbUFlIpdgxH4axRfJtAPbasOkIlZ WU7BBpYP9GWFxPjfnorHCI+NTcGnETOlKf1Khkby+bFzJQaZ8QwmQoZTTvTaFZ48zQGx1ED531lr v/DVk4o90pL+D8KXQa5CxF9cD7g5UB4kvrR9AAR3PIi+0gk9dHUrteU8n5qg1HuGz81VoJqFtvKa +WXAMRvg2Jw8vTOWs0tWzXlZ0Y7fxlJs+1aUDR/TIUq9BRucDAkdUMje5QRK4M7/txgYUdbg72Fz 8ui+rG8BuiCdFSMk/rvh0mvdjt1iLfGav9/bncanRU6rryjH/cov531uwai4xwoYEn8GQIIl5akN WEW84joUROJ3IWd9c5VGkmtH0OZyEvLP79sM/Snw5GCQMyaOHa149OfS+2rpB4gEMx03waSt8vyi F6aNGT3edR8ojyA7tNr+VN4PxCbFKCi4ZT3AwkxgD0kwsVGNbcW17Um7ucD3jCotV/YGCmbi6Kb2 bv8D1/cNuD8k/nGGOy4EVv7rrScBZ/5slqlNCwRiaC05VADU99YkqaVnKhduJLTtrWAIToCUTdXS E9sOgoMMbI8nMe+g7OBWRRa6yPXSsyhsdO4LUpBHVbt0n2IaqNtwodKah6iHfHT+V4+6jJBm+zcq sjuiIdbzGBhXm+xWBT403jiDTK9FqhqQZfNEy68E++8ONMsOQVdAe2DRKjvzCsjuI9udbbAYSedw r/ccJTmJhKH9aL0xIuR1HFrZo6YFhX2PDhh671c78JyfCI3zQa6MSDjtCZkS3NbiSwmPR+cwjQ8H J7R8HyZ+7T0A9ExqkNgv3T3vrOSeXTSEV/a8GYnnk91PJlmhIZFwC/17MG3GBqNtfpmDzg/d+XcM LSbmSQ61AA8+RYYdILLiJBn45Z85jM8N9Oj22mQ6gJ/WQdCB++7yMvZNJI7RjBiV65Ux/I0E5tbr 6iN1ri04JSf9mjudJ+abGDD2tqg5ff9ti7+OIiTp1/zz/f2U/htkZh7dtqKknLr+RNI/BvwClxiC 8+3PX00y8URoPrQUQRnhTNgzu7Cxektj/Krr+qBLIsaxBf97BEugaAoKHBSj5Vrj2UrEG5hdtqea TA9KWf5YN+z9yjOZSowbEB464SV/mSJnYFCJlLc0UxrJedSFkEKR6BxBDrcZicjfg7+eLWR5J6jp B22kQww60+MR7UR1IbAIoUYOZF6RUwxQFHeC4POdgEyjhzafNKQSX+B4Q1FIx0aNxg3MY7Zb35hO E/BVht+x1sQj2gYaZ3zIqtIW0bqOc0IsiRvkvjI2y2ugrjkzHbWH+fPoeIJzkH5xvP8nxUmDFvih kDTQfsO9Fag41zEh16RN4NROciOjtotzZT5xYk580l8tjNFQ7NVFBKBO8AL5MpdKzaQTh4F9ep4s gtM6e26g/u3b5Ccb7QswlmCtiwmBd8HdcW1PdunvvUABxHSlzvZ73U1F1ss1fZXNHXo0HHNk6qOR BX/0CfcG/jVHCHpLhgobY8bZ8in0DYTqTvslKhcAZv5jDVeBtyuswf4NDha/cot6z11BeRCeaG1J ciOZ/XWhEktOc4gZMIM9ocXNiyMbQAYuCzdVWyIGoNg5g4gdRqc3ONDdJhs9i9c1yraStu4Y6quY 5BxJeUipMgMMkbXRt3C//SBLKry0sPoWuyR7kKBniOeZwVAwmdelXEm9UCSycdptBDzW2AigPDj7 1FTdxpgaBOyBpnU5+ar5mt1uSj7V9zhu9B/c6XkvLuQAWlvpMpMTO39Qa5XPZxJeHLq/gNENl9i1 GAdnkI7aemAR3U+bxhl1laae1RWigZF17WjXj7c5LkGRNKg6lZ3Pev01Xz90sTzmrcKZ2n8cIZAy oq25xU2hwciWtQXxk9zgPalWV+MHKfE9BJwYIQoHteh+Vr3Kk808c+AOWDwjUn7QQQgcHlQDODg5 pvmXJVio1ArnNCPUMTdQ6SUx9wm2cBS1HPGpoq/xiY/h8buhWCRBE+bNA1Saju9iPY/3qpR5vDyB TbwEkWb5GRJy3Xa/0JhD5GcWUzjdy7MOWKWmgSt13VbgsFF32NpZClJkQSFVHilAh7juxsvhPlU+ JEqlmuj/kfew4C6HiQx0D0mAT9i1naG6Xocr3vpnqeG5TExfqmGopDfEoebWOjkwALd2K2K89MdX ut3dTw8JElFr7GEnxGfauPyyl15JCxJAiX1Ih1vb6qnqE6402HuBOXp4IJuHRxeNQ6U0r+4VdgL5 myTqZDS9XFBXAe9OZx5+g1ri77++5ifGnSnAhH6stEHjBAmXziYTEO3tFLPaYFeEVQ0eY9IBkhDN nkTfzzsQuGontiOV2+sKSpxRdNpnsh/aKXbqCiN/ZJ1TeFeQHJgHrwP3ob0dBk/1VRRqfqRHvQT6 Wom9aiC7nWewbQnxbswfcZYDHLL39i8az//7c9J1Wc2XSkrneG+MCNtfKOyHsBp8lsc2XWsQuwIp 4Gb6X1jpnVdf9pgSlguvHEeCl270lwe/QNube2ygtfza4R9CfOrMoR8rc0xYapHtzgCDUoBpr2sc DX8bHRx2gDhrlfoZQM7426b7jlWkzkiR/O1Oy25v5cJwUZZr/VJ8Do2Dm4QX9e1NPwN76Hlz0iJ1 a2CFdihKhGiKMdDE/vfkM8BHftYL/VANL5wHCQZJDHZeztGxezjCzMsHJs1XmSqHC511RfpEG+jf ObBCJxT13HMtJ/3AKpjrSfdoHR86F5jwl9OOXkBHpxL75ESiQK5GYma9Id9/ic9BgfZh/vAME8Xa hkb+ELd0AsudJfK4z2pOYTMoK5coaOiJA12x5bg2q1Ve/OTIZlOQusSQoMhXw5Klbrf16o2vab// ZgHL2BYhA3OeqsW7OcYh3goP9sZ9zFXYE+Q5orxsYF39YcbjE7dfmZqv4Rni6u9W1nQwibx9NSTI DStVsy10CirmrcHLHjO4KUfqim+SQ1IOELUICzfufOFMTGHe+dGlbCJI4HR65quXuwxHuTD2zJH3 ka8FW8KC6zuJxpKkjyK/mykObduMglKLzUlBcmGP7cge/TOWWUMOYFEy1BWnkAQ3Csy7lOUy9kKP MkNQV6DLS82zpBhnH4CA3azTuvuyGjHS95obJuztZM1oYouAamE8nuaEA3qCRgLQiy3yuGn+zoIH 1Tu/po/itLf13qgjWvqTLMhomqDW36H+qnM0hDoEe3oBJjrMC1AQBbVBV0IgahvMAXy7S7Rbp4Co W4z8iqQQ2eLdUE/tmFJ4J77eV9/AOdrD8vwH3vnQwY7TjYpbtTdXgJBG1IdNMbnZ/rNIrASoB0fh ZdS5J3HAdsuED/SUw2Kj/fYazjdp9xCNW3P4OxywsUl0N/NBpUScbA3hTYHX6t9rW+X9MaOhqxR/ oeifugzJ++Se3EmAAgG2RcxjYQTncnAZfuRaugLn4FsX5dx+2lAo5Q2ALVAk0isB32EKf2I4pr+J w7ERnvBmG7IXdAK1wpskjYstb/nxF73F1uAcl3lf8EAaOmBM8qGaRItOXHdTcElJnAUQTe/kSOwV DTV1w+YTioJluvGsozSZq1NP2mTXfZW3ONbdzaf/i2JvMUMIcEv1/sRCrDEAna7OkwtvaCdTKOUL q/2kidny9pB8du4dpWCh3c/QAdZOw622V5PPrWy4p6jSbN9JuelOnHsGphlUtDjLLcw+pVYUhiQT myg5h8ybzGvlmAMQ5rqFlVRh92kUQcnH7uG9DTMwbiGGC9QgOu8pBRBqLwBY27e0nhy3FCaKCPvj rYSmVURFHa9O+gvEkptHcAQeMgmjs9rutjO1BvngrxuXx5/omyzptl1nZ2LI1oZZtrAN0hBJu2c/ HcmKQ1OWRPPRDiMLY5JG7iaqMatDJjKAeU9Lm6yl6DpUKoIFNMwmJWHbtGNp2xMjnIAIGfTmg3OE dqMyX26Ah5ySpXpOI/XDn7Wi/c+3Q6eRreBNIlUfCp2lx5wyeBIz2YgNHNV9oO/KiXeImWDKYS4O j4SWyjKTEnWlM2XQAWyZNCZoYaq4yChlIshy4Mm4b9qTTUXU3/hQsIsEU3pdC9AQb3YfYwTZCyrJ 3c/EL+f3qfqj0oQ9kLgcS7AVWQFXQhxypC2dTLBdU83TjUEb7yxjius+Y4eJubBt1Be1DFMiVWKf 6yYBAksYJqwhZ9mASfr/5xJxMy1SeNiujQ2BHrA0cZECvuxpbj9dgmdipqVz2ydVerMbVGgypLYx FfggZfNKQCGsRhoiNmvWMf5VKPPvnohVGT4q4C3+zLlLiDOM1OWdgv4igub+QyQfYfrdsbIsf7R9 JEw0C+IEc25CTx521P1HS6F/CMfmkr8eNB95Ag1V9rvgHZd49UqGXdBnVshKj6m7/Pj+pqmvWXKq YeQSKIlB85uvZ7ixdiCv/2pySkHTg8A6MHodeZvmrx87VNMO1/+YSH2JI7Xc4OejY5BDUaRQqfZK OMAcii4auS9LmtenG5lfjCDbKcbzl1v4qivwoUO9WsShWsNXyyjtl5NQoBxHMEhrxbmLjMQuZVOi MjUoXRjLBR6czj1ZZojKbs0DsmxTcadPzBsG1fG4wLnkA7R5VpR+3b/0XnbPSxy6PDawhCqFTeA2 hsegGVrtMGa2IuqL7J8pymvlUYJusGT2KQ5CEX0Z7Bi5sJeuCU6m4lkCfWHdESkEtuSxFht0ArEP Yckgqu66M1IjsQVCYVPNe3LDjja3mUeHgx0W4fMxxuhfgViVlQFeeyjiNLlMuuWbPa6JT2vHre6Z sxNoOQWjF2g8YPBTZ1gSQaYGsybgIyutScmwnihDiG+deXTesm7qSs+2MsNTqvuTjnPt3Vi8EviP ZFXG7w0hZjOSWKeuD7NyS3iWJTirt2GXtIWvB/WwKLAFX/jzGmh+4cweZRRl7ITGLJGuUb7qnu/w J045gpZPUdUBc7JPq5EKECmS/w9GwhTsL+IP3fGS1OSXKggSYOBdDB+JczeP39Z4iW+uS0eiD+9U rCxrWfT9GPmtSjO9gWDHq3Aub1DGbGTw79OwiuTfRe0WHvH2uDhBrREUzh0rAEz7bT3ZHtRZk/dE nqeOIKDJtEp3NE/p1rw5I4/iagF5fJgWEdd+TiBfvd7ZTenHUWj7rN9MFKyCR4cCwhX0Cx1uSqjI iGHQqQccaeJ8LNTz33VpszeegPT9CMNPCFXjOntnAGMq15IDKVM45xASBXtCGN8e+mbBbXfFULEB V0OdwUYSbYBYPnL8/QtJUz24VpmwMiW8MxJqby9RUpqVDeYrn7Ylxlpsy5HH6ugz9Vmfn5Z4SxTg Lfnmyb1Ml41ke0/2ApToWG9tkgzKkfc+Ap+fLxiaLKWZSSqTU6LQOyhxvCZ0EGm+HVJHfG26bbcI TV2Qxnk9UKs2q0B3noVU0bxi8cJ1/Fg+WyzKVymBImBe+DyM7SxJ4c7/vUI6basFx+Sgs6qoCDUt Pce4Ekd09/tpLlKQPCG2VOtwicf7Cu8gV6D7GIdYQLX1X9OdhJxLOvUZvhPUtdIeVblWGErh2Bpw MNdErFkGPDS5yozJ7FonVK4Pp+gnD2VwjBpb1uJlZlrkEoXWVV8GJL9+8nIV0WviEIAwgGA6uxd8 U7sfL/ztrLrb6cyOh0iEUdCWGe4SnYfpzQHAZs3xDpJM9xUbN9g4/NY9B+pv0x/67Bp3PbN24YCj pcqGkS1c8JTw/dp5iHslzhhidKivLLvo6pApQiY9iltAmmfWVHggySaRr+pez4ScN/RLMB4phTGO MQI8AEL/GHxXCX8u0ZxhcjrmnoYSCCIWdhA00A8MXYtV31faxC6LT1xIypr+dXIlHbINTALuPJp0 8gthHwI8PqI+X7JbEUjXEHcZGoL85QnHj1dYwpKY2XiOIR5EilCkxdmr9bd7K+/XxVDgwXWImfaa mYq/B5vAxfm/RodjowNf3VmWc1pocpintHuc9q4FmPIAtjEajxU2uNb04fMhtDS6ssLXZnA2PZbX WX9jmL/xzMrrRRpGd+qgNF8HudSDuOGMRL7R3jUhj+pyYwvPA/epdAA+XTEyltOQHHbZ02msllRy 2nySnfl05ePoGD/ZCEM= `protect end_protected
-- This file is part of the Omega CPU Core -- Copyright 2015 - 2016 Joseph Shetaye -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as -- published by the Free Software Foundation, either version 3 of the -- License, or (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.std_logic_1164.all; use work.constants.all; use IEEE.Numeric_std.all; entity MemoryController is port ( CLK : in std_logic; Address : in word; Enable : in std_logic; ToWrite : in word; FromRead : out word; Instruction : in word; Reset : in std_logic; Done : out std_logic; SRAM_addr : out std_logic_vector(20 downto 0); SRAM_OE : out std_logic; SRAM_CE : out std_logic; SRAM_WE : out std_logic; SRAM_data : inout std_logic_vector(7 downto 0); Status_Debug : out std_logic_vector(7 downto 0) ); end MemoryController; architecture Behavioral of MemoryController is constant LoadByteUnsigned : Operator := "000"; constant LoadByteSigned : Operator := "001"; constant LoadHalfWordUnsigned : Operator := "010"; constant LoadHalfWordSigned : Operator := "011"; constant LoadWord : Operator := "100"; constant StoreByte : Operator := "101"; constant StoreHalfWord : Operator := "110"; constant StoreWord : Operator := "111"; -- outputTest (absolute jump) --constant BootImage : MemoryArray := ("01000001","00000000","00100000","00100100","00000000","00000000","00100001","10110100","00000001","00000000","00000000","11000100","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); -- outputTest (relative jump) constant BootImage : MemoryArray := ("01000001","00000000","00100000","00100100","00000000","00000000","00100001","10110100","11111111","11111111","11111111","11001011","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); -- ROT13 --constant BootImage : MemoryArray := ("00000000","00000000","10000001","10100100","01000001","00000000","00100100","01110100","00001010","00000000","00100000","11011000","01011011","00000000","00100100","01110100","00001001","00000000","00100000","11011000","01100001","00000000","00100100","01110100","00000110","00000000","00100000","11011000","01111011","00000000","00100100","01110100","00001100","00000000","00100000","11011000","00000011","00000000","00000000","11001000","00000000","00000000","10000001","10110100","11110101","11111111","11111111","11001011","11111110","11111111","11111111","11001011","10111111","11111111","10000100","00100100","00001101","00000000","10000100","00100100","00011010","00000000","00100100","01110100","00000010","00000000","00100000","11011000","11100110","11111111","10000100","00100100","01000001","00000000","10000100","00100100","11110111","11111111","11111111","11001011","10011111","11111111","10000100","00100100","00001101","00000000","10000100","00100100","00011010","00000000","00100100","01110100","00000010","00000000","00100000","11011000","11100110","11111111","10000100","00100100","01100001","00000000","10000100","00100100","11110000","11111111","11111111","11001011","00000000","00000000","00000000");--(others => (others => '0')); signal FromRead_S : Word := (others => '0'); signal NeedsInit : std_logic := '1'; signal BootImageIndex : Integer := 0; signal Done_s : std_logic := '0'; signal SRAM_addr_s : std_logic_vector(20 downto 0) := (others => '0'); signal SRAM_data_s : std_logic_vector(7 downto 0); --:= (others => 'Z'); signal SRAM_OE_s : std_logic := '1'; signal SRAM_WE_s : std_logic := '1'; signal SRAM_CE_s : std_logic := '1'; signal AddressOffset : integer := 0; signal Status_Debug_s : std_logic_vector(7 downto 0) := (others => '0'); begin -- Behavioral SRAM_addr <= SRAM_addr_s; SRAM_data <= SRAM_data_s when SRAM_OE_s = '1' else (others => 'Z'); SRAM_OE <= SRAM_OE_s; SRAM_WE <= SRAM_WE_s; SRAM_CE <= '0'; FromRead <= FromRead_S; Done <= Done_s; Status_Debug <= Status_Debug_S; process (CLK)--(Enable, Instruction, Address, Reset) -- procedure initialize is begin -- Memory(0) <= "00000000"; -- Memory(1) <= "00000000"; -- Memory(2) <= "10000001"; -- Memory(3) <= "10100100"; -- Memory(4) <= "01000001"; -- Memory(5) <= "00000000"; -- Memory(6) <= "00100100"; -- Memory(7) <= "01110100"; -- Memory(8) <= "00001010"; -- Memory(9) <= "00000000"; -- Memory(10) <= "00100000"; -- Memory(11) <= "11011000"; -- Memory(12) <= "01011011"; -- Memory(13) <= "00000000"; -- Memory(14) <= "00100100"; -- Memory(15) <= "01110100"; ---- Memory(16) <= "00001001"; ---- Memory(17) <= "00000000"; ---- Memory(18) <= "00100000"; ---- Memory(19) <= "11011000"; ---- Memory(20) <= "01100001"; ---- Memory(21) <= "00000000"; ---- Memory(22) <= "00100100"; ---- Memory(23) <= "01110100"; ---- Memory(24) <= "00000110"; ---- Memory(25) <= "00000000"; ---- Memory(26) <= "00100000"; ---- Memory(27) <= "11011000"; ---- Memory(28) <= "01111011"; ---- Memory(29) <= "00000000"; ---- Memory(30) <= "00100100"; ---- Memory(31) <= "01110100"; ---- Memory(32) <= "00001100"; ---- Memory(33) <= "00000000"; ---- Memory(34) <= "00100000"; ---- Memory(35) <= "11011000"; ---- Memory(36) <= "00000011"; ---- Memory(37) <= "00000000"; ---- Memory(38) <= "00000000"; ---- Memory(39) <= "11001000"; ---- Memory(40) <= "00000000"; ---- Memory(41) <= "00000000"; ---- Memory(42) <= "10000001"; ---- Memory(43) <= "10110100"; ---- Memory(44) <= "11110101"; ---- Memory(45) <= "11111111"; ---- Memory(46) <= "11111111"; ---- Memory(47) <= "11001011"; ---- Memory(48) <= "11111110"; ---- Memory(49) <= "11111111"; ---- Memory(50) <= "11111111"; ---- Memory(51) <= "11001011"; ---- Memory(52) <= "10111111"; ---- Memory(53) <= "11111111"; ---- Memory(54) <= "10000100"; ---- Memory(55) <= "00100100"; ---- Memory(56) <= "00001101"; ---- Memory(57) <= "00000000"; ---- Memory(58) <= "10000100"; ---- Memory(59) <= "00100100"; ---- Memory(60) <= "00011010"; ---- Memory(61) <= "00000000"; ---- Memory(62) <= "00100100"; ---- Memory(63) <= "01110100"; ---- Memory(64) <= "00000010"; ---- Memory(65) <= "00000000"; ---- Memory(66) <= "00100000"; ---- Memory(67) <= "11011000"; ---- Memory(68) <= "11100110"; ---- Memory(69) <= "11111111"; ---- Memory(70) <= "10000100"; ---- Memory(71) <= "00100100"; ---- Memory(72) <= "01000001"; ---- Memory(73) <= "00000000"; ---- Memory(74) <= "10000100"; ---- Memory(75) <= "00100100"; ---- Memory(76) <= "11110111"; ---- Memory(77) <= "11111111"; ---- Memory(78) <= "11111111"; ---- Memory(79) <= "11001011"; ---- Memory(80) <= "10011111"; ---- Memory(81) <= "11111111"; ---- Memory(82) <= "10000100"; ---- Memory(83) <= "00100100"; ---- Memory(84) <= "00001101"; ---- Memory(85) <= "00000000"; ---- Memory(86) <= "10000100"; ---- Memory(87) <= "00100100"; ---- Memory(88) <= "00011010"; ---- Memory(89) <= "00000000"; ---- Memory(90) <= "00100100"; ---- Memory(91) <= "01110100"; ---- Memory(92) <= "00000010"; ---- Memory(93) <= "00000000"; ---- Memory(94) <= "00100000"; ---- Memory(95) <= "11011000"; ---- Memory(96) <= "11100110"; ---- Memory(97) <= "11111111"; ---- Memory(98) <= "10000100"; ---- Memory(99) <= "00100100"; ---- Memory(100) <= "01100001"; ---- Memory(101) <= "00000000"; ---- Memory(102) <= "10000100"; ---- Memory(103) <= "00100100"; ---- Memory(104) <= "11110000"; ---- Memory(105) <= "11111111"; ---- Memory(106) <= "11111111"; ---- Memory(107) <= "11001011"; -- --for I in 100 to 4095 loop -- -- Memory(I) <= "00000000"; -- --end loop; -- end procedure initialize; variable current_operator : std_logic_vector(2 downto 0); begin -- process if rising_edge(CLK) then if NeedsInit = '1' then if BootImageIndex = BootImage'Length then SRAM_we_s <= '1'; -- SRAM_addr_s <= "000000000000001101011"; -- SRAM_oe_s <= '0'; -- BootImageIndex <= BootImageIndex + 1; -- elsif BootImageIndex = (BootImage'Length + 1) then NeedsInit <= '0'; -- Status_Debug_S <= SRAM_data xor "11111111"; SRAM_oe_s <= '1'; else SRAM_data_s <= BootImage(BootImageIndex); SRAM_addr_s <= std_logic_vector(to_unsigned(BootImageIndex,21)); SRAM_we_s <= '0'; BootImageIndex <= BootImageIndex + 1; end if; --Memory <= (others => (others => '0')); -- initialize; elsif Enable = '1' then current_operator := GetOperator(Instruction); case current_operator is when LoadByteUnsigned => if SRAM_oe_s = '1' then SRAM_addr_s <= Address(20 downto 0); SRAM_oe_s <= '0'; Done_s <= '0'; else FromRead_S <= "000000000000000000000000" & SRAM_data; SRAM_oe_s <= '1'; Done_s <= '1'; end if; when LoadByteSigned => if SRAM_oe_s = '1' then SRAM_addr_s <= Address(20 downto 0); SRAM_oe_s <= '0'; Done_s <= '0'; else FromRead_S <= std_logic_vector(resize(signed(SRAM_data),32)); SRAM_oe_s <= '1'; Done_s <= '1'; end if; when LoadHalfWordUnsigned => if SRAM_oe_s = '1' then SRAM_addr_s <= Address(20 downto 0); SRAM_oe_s <= '0'; AddressOffset <= 0; Done_s <= '0'; elsif AddressOffset < 1 then SRAM_addr_s <= std_logic_vector(unsigned(Address(20 downto 0)) + to_unsigned(AddressOffset + 1,20)); AddressOffset <= AddressOffset + 1; FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; else FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; FromRead_S(31 downto 16) <= (others => '0'); AddressOffset <= 0; SRAM_oe_s <= '1'; Done_s <= '1'; end if; when LoadHalfWordSigned => if SRAM_oe_s = '1' then SRAM_addr_s <= Address(20 downto 0); SRAM_oe_s <= '0'; AddressOffset <= 0; Done_s <= '0'; elsif AddressOffset < 1 then SRAM_addr_s <= std_logic_vector(unsigned(Address(20 downto 0)) + to_unsigned(AddressOffset + 1,20)); AddressOffset <= AddressOffset + 1; FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; else FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; if SRAM_data(7) = '1' then FromRead_S(31 downto 16) <= "1111111111111111"; else FromRead_S(31 downto 16) <= "0000000000000000"; end if; AddressOffset <= 0; SRAM_oe_s <= '1'; Done_s <= '1'; end if; when LoadWord => if SRAM_oe_s = '1' then SRAM_addr_s <= Address(20 downto 0); SRAM_oe_s <= '0'; AddressOffset <= 0; Done_s <= '0'; elsif AddressOffset < 3 then SRAM_addr_s <= std_logic_vector(unsigned(Address(20 downto 0)) + to_unsigned(AddressOffset+1,20)); FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; AddressOffset <= AddressOffset + 1; else FromRead_S(8*AddressOffset+7 downto 8*AddressOffset) <= SRAM_data; AddressOffset <= 0; SRAM_oe_s <= '1'; Done_s <= '1'; Status_Debug_S <= Address(9 downto 2) xor "11111111"; end if; when StoreByte => -- Memory(to_integer(unsigned(Address))) <= ToWrite(7 downto 0); Done_s <= '1'; when StoreHalfWord => -- Memory(to_integer(unsigned(Address))) <= ToWrite(7 downto 0); -- Memory(to_integer(unsigned(Address)) + 1) <= ToWrite(15 downto 8); Done_s <= '1'; when StoreWord => -- Memory(to_integer(unsigned(Address))) <= ToWrite(7 downto 0); -- Memory(to_integer(unsigned(Address)) + 1) <= ToWrite(15 downto 8); -- Memory(to_integer(unsigned(Address)) + 2) <= ToWrite(23 downto 16); -- Memory(to_integer(unsigned(Address)) + 3) <= ToWrite(31 downto 24); Done_s <= '1'; when others => null; end case; else SRAM_oe_s <= '1'; SRAM_we_s <= '1'; Done_s <= '0'; end if; end if; end process; end Behavioral;
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- Design unit header -- -- -- This is the top level of openMAC. -- It instantiates openMAC, openHUB, openFILTER and other components for the -- MAC-layer. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- 2011-10-11 V0.02 zelenkaj ack for pkt was clocked by clk50 -- 2011-10-13 V0.03 zelenkaj changed names of instances -- 2011-11-07 V0.04 zelenkaj added big/little endian consideration -- minor changes in SMI core generation -- 2011-11-28 V0.05 zelenkaj Added DMA observer -- 2011-11-29 V0.06 zelenkaj waitrequest for mac_reg is gen. once -- tx_off / rx_off is derived in openMAC -- 2011-11-30 V0.07 zelenkaj Added generic for DMA observer -- Fixed generic assignments for DMA master -- 2011-12-02 V0.08 zelenkaj Added Dma Req Overflow -- 2011-12-05 V0.09 zelenkaj Reduced Dma Req overflow vector -- 2012-01-26 V0.10 zelenkaj Revised SMI to use one SMI with two phys -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(29 downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : boolean := false; mac_time_width_g : integer := 32 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; endian_g : string := "little"; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); begin ---- User Signal Assignments ---- --assign address bus and be to openMAC mac_addr <= s_address(9 downto 1) & s_address(0) when mac_selfilter = '1' and endian_g = "little" else s_address(9 downto 1) & not s_address(0) when endian_g = "little" else s_address(9 downto 1) & s_address(0); -- when endian_g = "big" else mac_be <= s_byteenable(0) & s_byteenable(1) when endian_g = "little" else s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout(15 downto 8) & mac_dout(7 downto 0) when (mac_selram = '1' or mac_selcont = '1') and s_byteenable = "11" and endian_g = "little" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else smi_dout when smi_sel = '1' else irqTable when irqTable_sel = '1' else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' else (others => '0'); --assign writedata to input data mac_din <= s_writedata(15 downto 8) & s_writedata(7 downto 0) when s_byteenable = "11" and endian_g = "little" else s_writedata(7 downto 0) & s_writedata(15 downto 8) when endian_g = "little" else s_writedata; -- when endian_g = "big" else smi_din <= s_writedata; ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, mac_time_width_g => 32 ) port map( addr => t_address, clk => clk, din => t_writedata, dout => t_readdata, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else dma_dout; dma_din <= dma_din_s(7 downto 0) & dma_din_s(15 downto 8) when endian_g = "little" else dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 2) & dma_addr(1) when endian_g = "little" else dma_addr(iPktBufSizeLog2_g-1 downto 2) & not dma_addr(1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst, dma_ack_rw) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, endian_g => endian_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( 29 downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- Design unit header -- -- -- This is the top level of openMAC. -- It instantiates openMAC, openHUB, openFILTER and other components for the -- MAC-layer. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- 2011-10-11 V0.02 zelenkaj ack for pkt was clocked by clk50 -- 2011-10-13 V0.03 zelenkaj changed names of instances -- 2011-11-07 V0.04 zelenkaj added big/little endian consideration -- minor changes in SMI core generation -- 2011-11-28 V0.05 zelenkaj Added DMA observer -- 2011-11-29 V0.06 zelenkaj waitrequest for mac_reg is gen. once -- tx_off / rx_off is derived in openMAC -- 2011-11-30 V0.07 zelenkaj Added generic for DMA observer -- Fixed generic assignments for DMA master -- 2011-12-02 V0.08 zelenkaj Added Dma Req Overflow -- 2011-12-05 V0.09 zelenkaj Reduced Dma Req overflow vector -- 2012-01-26 V0.10 zelenkaj Revised SMI to use one SMI with two phys -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(29 downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : boolean := false; mac_time_width_g : integer := 32 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; endian_g : string := "little"; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); begin ---- User Signal Assignments ---- --assign address bus and be to openMAC mac_addr <= s_address(9 downto 1) & s_address(0) when mac_selfilter = '1' and endian_g = "little" else s_address(9 downto 1) & not s_address(0) when endian_g = "little" else s_address(9 downto 1) & s_address(0); -- when endian_g = "big" else mac_be <= s_byteenable(0) & s_byteenable(1) when endian_g = "little" else s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout(15 downto 8) & mac_dout(7 downto 0) when (mac_selram = '1' or mac_selcont = '1') and s_byteenable = "11" and endian_g = "little" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else smi_dout when smi_sel = '1' else irqTable when irqTable_sel = '1' else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' else (others => '0'); --assign writedata to input data mac_din <= s_writedata(15 downto 8) & s_writedata(7 downto 0) when s_byteenable = "11" and endian_g = "little" else s_writedata(7 downto 0) & s_writedata(15 downto 8) when endian_g = "little" else s_writedata; -- when endian_g = "big" else smi_din <= s_writedata; ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, mac_time_width_g => 32 ) port map( addr => t_address, clk => clk, din => t_writedata, dout => t_readdata, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else dma_dout; dma_din <= dma_din_s(7 downto 0) & dma_din_s(15 downto 8) when endian_g = "little" else dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 2) & dma_addr(1) when endian_g = "little" else dma_addr(iPktBufSizeLog2_g-1 downto 2) & not dma_addr(1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst, dma_ack_rw) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, endian_g => endian_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( 29 downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- Design unit header -- -- -- This is the top level of openMAC. -- It instantiates openMAC, openHUB, openFILTER and other components for the -- MAC-layer. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- 2011-10-11 V0.02 zelenkaj ack for pkt was clocked by clk50 -- 2011-10-13 V0.03 zelenkaj changed names of instances -- 2011-11-07 V0.04 zelenkaj added big/little endian consideration -- minor changes in SMI core generation -- 2011-11-28 V0.05 zelenkaj Added DMA observer -- 2011-11-29 V0.06 zelenkaj waitrequest for mac_reg is gen. once -- tx_off / rx_off is derived in openMAC -- 2011-11-30 V0.07 zelenkaj Added generic for DMA observer -- Fixed generic assignments for DMA master -- 2011-12-02 V0.08 zelenkaj Added Dma Req Overflow -- 2011-12-05 V0.09 zelenkaj Reduced Dma Req overflow vector -- 2012-01-26 V0.10 zelenkaj Revised SMI to use one SMI with two phys -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(29 downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : boolean := false; mac_time_width_g : integer := 32 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; endian_g : string := "little"; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); begin ---- User Signal Assignments ---- --assign address bus and be to openMAC mac_addr <= s_address(9 downto 1) & s_address(0) when mac_selfilter = '1' and endian_g = "little" else s_address(9 downto 1) & not s_address(0) when endian_g = "little" else s_address(9 downto 1) & s_address(0); -- when endian_g = "big" else mac_be <= s_byteenable(0) & s_byteenable(1) when endian_g = "little" else s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout(15 downto 8) & mac_dout(7 downto 0) when (mac_selram = '1' or mac_selcont = '1') and s_byteenable = "11" and endian_g = "little" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else smi_dout when smi_sel = '1' else irqTable when irqTable_sel = '1' else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' else (others => '0'); --assign writedata to input data mac_din <= s_writedata(15 downto 8) & s_writedata(7 downto 0) when s_byteenable = "11" and endian_g = "little" else s_writedata(7 downto 0) & s_writedata(15 downto 8) when endian_g = "little" else s_writedata; -- when endian_g = "big" else smi_din <= s_writedata; ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, mac_time_width_g => 32 ) port map( addr => t_address, clk => clk, din => t_writedata, dout => t_readdata, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else dma_dout; dma_din <= dma_din_s(7 downto 0) & dma_din_s(15 downto 8) when endian_g = "little" else dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 2) & dma_addr(1) when endian_g = "little" else dma_addr(iPktBufSizeLog2_g-1 downto 2) & not dma_addr(1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst, dma_ack_rw) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, endian_g => endian_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( 29 downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- Design unit header -- -- -- This is the top level of openMAC. -- It instantiates openMAC, openHUB, openFILTER and other components for the -- MAC-layer. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- 2011-10-11 V0.02 zelenkaj ack for pkt was clocked by clk50 -- 2011-10-13 V0.03 zelenkaj changed names of instances -- 2011-11-07 V0.04 zelenkaj added big/little endian consideration -- minor changes in SMI core generation -- 2011-11-28 V0.05 zelenkaj Added DMA observer -- 2011-11-29 V0.06 zelenkaj waitrequest for mac_reg is gen. once -- tx_off / rx_off is derived in openMAC -- 2011-11-30 V0.07 zelenkaj Added generic for DMA observer -- Fixed generic assignments for DMA master -- 2011-12-02 V0.08 zelenkaj Added Dma Req Overflow -- 2011-12-05 V0.09 zelenkaj Reduced Dma Req overflow vector -- 2012-01-26 V0.10 zelenkaj Revised SMI to use one SMI with two phys -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(29 downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : boolean := false; mac_time_width_g : integer := 32 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; endian_g : string := "little"; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); begin ---- User Signal Assignments ---- --assign address bus and be to openMAC mac_addr <= s_address(9 downto 1) & s_address(0) when mac_selfilter = '1' and endian_g = "little" else s_address(9 downto 1) & not s_address(0) when endian_g = "little" else s_address(9 downto 1) & s_address(0); -- when endian_g = "big" else mac_be <= s_byteenable(0) & s_byteenable(1) when endian_g = "little" else s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout(15 downto 8) & mac_dout(7 downto 0) when (mac_selram = '1' or mac_selcont = '1') and s_byteenable = "11" and endian_g = "little" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else smi_dout when smi_sel = '1' else irqTable when irqTable_sel = '1' else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' else (others => '0'); --assign writedata to input data mac_din <= s_writedata(15 downto 8) & s_writedata(7 downto 0) when s_byteenable = "11" and endian_g = "little" else s_writedata(7 downto 0) & s_writedata(15 downto 8) when endian_g = "little" else s_writedata; -- when endian_g = "big" else smi_din <= s_writedata; ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, mac_time_width_g => 32 ) port map( addr => t_address, clk => clk, din => t_writedata, dout => t_readdata, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else dma_dout; dma_din <= dma_din_s(7 downto 0) & dma_din_s(15 downto 8) when endian_g = "little" else dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 2) & dma_addr(1) when endian_g = "little" else dma_addr(iPktBufSizeLog2_g-1 downto 2) & not dma_addr(1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst, dma_ack_rw) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, endian_g => endian_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( 29 downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- Design unit header -- -- -- This is the top level of openMAC. -- It instantiates openMAC, openHUB, openFILTER and other components for the -- MAC-layer. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- 2011-10-11 V0.02 zelenkaj ack for pkt was clocked by clk50 -- 2011-10-13 V0.03 zelenkaj changed names of instances -- 2011-11-07 V0.04 zelenkaj added big/little endian consideration -- minor changes in SMI core generation -- 2011-11-28 V0.05 zelenkaj Added DMA observer -- 2011-11-29 V0.06 zelenkaj waitrequest for mac_reg is gen. once -- tx_off / rx_off is derived in openMAC -- 2011-11-30 V0.07 zelenkaj Added generic for DMA observer -- Fixed generic assignments for DMA master -- 2011-12-02 V0.08 zelenkaj Added Dma Req Overflow -- 2011-12-05 V0.09 zelenkaj Reduced Dma Req overflow vector -- 2012-01-26 V0.10 zelenkaj Revised SMI to use one SMI with two phys -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(29 downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : boolean := false; mac_time_width_g : integer := 32 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; endian_g : string := "little"; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); begin ---- User Signal Assignments ---- --assign address bus and be to openMAC mac_addr <= s_address(9 downto 1) & s_address(0) when mac_selfilter = '1' and endian_g = "little" else s_address(9 downto 1) & not s_address(0) when endian_g = "little" else s_address(9 downto 1) & s_address(0); -- when endian_g = "big" else mac_be <= s_byteenable(0) & s_byteenable(1) when endian_g = "little" else s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout(15 downto 8) & mac_dout(7 downto 0) when (mac_selram = '1' or mac_selcont = '1') and s_byteenable = "11" and endian_g = "little" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else smi_dout when smi_sel = '1' else irqTable when irqTable_sel = '1' else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' else (others => '0'); --assign writedata to input data mac_din <= s_writedata(15 downto 8) & s_writedata(7 downto 0) when s_byteenable = "11" and endian_g = "little" else s_writedata(7 downto 0) & s_writedata(15 downto 8) when endian_g = "little" else s_writedata; -- when endian_g = "big" else smi_din <= s_writedata; ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, mac_time_width_g => 32 ) port map( addr => t_address, clk => clk, din => t_writedata, dout => t_readdata, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else dma_dout; dma_din <= dma_din_s(7 downto 0) & dma_din_s(15 downto 8) when endian_g = "little" else dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 2) & dma_addr(1) when endian_g = "little" else dma_addr(iPktBufSizeLog2_g-1 downto 2) & not dma_addr(1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst, dma_ack_rw) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, endian_g => endian_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( 29 downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
-------------------------------------------------------------------------------- -- Company: Lehrstuhl Integrierte Systeme - TUM -- Engineer: Johannes Zeppenfeld -- -- Project Name: LIS-IPIF -- Module Name: lisipif_master -- Architectures: lisipif_master_rtl -- Description: -- The master attachment of the LIS-IPIF may be used by an IP to provide -- a simplifed interface to the Processor Local Bus (PLB). -- See the LIS-IPIF specification for details. -- -- Dependencies: -- -- Revision: -- 7.3.2006 - File Created -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library lisipif_master_v1_00_c; use lisipif_master_v1_00_c.all; -------------------------------------------------------------------------------- -- LIS-IPIF Master Entity Declaration -------------------------------------------------------------------------------- entity lisipif_master is generic ( C_NUM_WIDTH : integer := 5; C_ARBITRATION : integer := 0; C_EN_SRL16 : boolean := true; C_EN_RECALC_ADDR : boolean := false; -- Not Implemented C_EN_PIPELINING : boolean := true; -- Not Implemented C_EN_FAST_ABORT : boolean := false -- Not Implemented ); port ( PLB_Clk : in std_logic; PLB_Rst : in std_logic; -- Read Transfer Signals M_rdReq : in std_logic; M_rdAccept : out std_logic; M_rdAddr : in std_logic_vector(31 downto 0); M_rdNum : in std_logic_vector(C_NUM_WIDTH-1 downto 0); M_rdBE : in std_logic_vector(7 downto 0); M_rdData : out std_logic_vector(63 downto 0); M_rdAck : out std_logic; M_rdComp : out std_logic; M_rdPriority : in std_logic_vector(1 downto 0); M_rdType : in std_logic_vector(2 downto 0); M_rdCompress : in std_logic; M_rdGuarded : in std_logic; M_rdLockErr : in std_logic; M_rdRearb : out std_logic; M_rdAbort : in std_logic; M_rdError : out std_logic; -- Write Transfer Signals M_wrReq : in std_logic; M_wrAccept : out std_logic; M_wrAddr : in std_logic_vector(31 downto 0); M_wrNum : in std_logic_vector(C_NUM_WIDTH-1 downto 0); M_wrBE : in std_logic_vector(7 downto 0); M_wrData : in std_logic_vector(63 downto 0); M_wrRdy : out std_logic; M_wrAck : out std_logic; M_wrComp : out std_logic; M_wrPriority : in std_logic_vector(1 downto 0); M_wrType : in std_logic_vector(2 downto 0); M_wrCompress : in std_logic; M_wrGuarded : in std_logic; M_wrOrdered : in std_logic; M_wrLockErr : in std_logic; M_wrRearb : out std_logic; M_wrAbort : in std_logic; M_wrError : out std_logic; -- Shared Transfer Signals M_Error : out std_logic; M_Lock : in std_logic; -- PLB Signals PLB_MAddrAck : in std_logic; PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); PLB_MBusy : in std_logic; PLB_MErr : in std_logic; PLB_pendReq : in std_logic; PLB_pendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); M_request : out std_logic; -- A M_priority : out std_logic_vector(0 to 1); -- I M_busLock : out std_logic; -- I M_RNW : out std_logic; -- A M_BE : out std_logic_vector(0 to 7); -- A M_size : out std_logic_vector(0 to 3); -- A M_type : out std_logic_vector(0 to 2); -- I M_MSize : out std_logic_vector(0 to 1); -- C M_compress : out std_logic; -- I M_guarded : out std_logic; -- I M_ordered : out std_logic; -- I M_lockErr : out std_logic; -- I M_abort : out std_logic; -- A M_ABus : out std_logic_vector(0 to 31); -- A PLB_MWrDAck : in std_logic; PLB_MWrBTerm : in std_logic; M_wrBurst : out std_logic; -- W M_wrDBus : out std_logic_vector(0 to 63); -- W PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdWdAddr : in std_logic_vector(0 to 3); M_rdBurst : out std_logic; -- R PLB_MRdDBus : in std_logic_vector(0 to 63) ); end lisipif_master; -------------------------------------------------------------------------------- -- LIS-IPIF Master RT Level Architecture -------------------------------------------------------------------------------- architecture lisipif_master_rtl of lisipif_master is -- Control Signals between Arbiter and Read/Write Controller signal rd_rdy : std_logic; -- To arb: Ready for new transfer signal rd_init : std_logic; -- From arb: Latch new transfer signal rd_ack : std_logic; -- From arb: Transfer ack'd by slave signal rd_rearb : std_logic; -- From arb: Rearbitrate transfer signal rd_retry : std_logic; -- To arb: Repeat the transfer signal rd_abort : std_logic; -- To arb: Abort the transfer signal wr_rdy : std_logic; -- To arb: Ready for new transfer signal wr_init : std_logic; -- From arb: Latch new transfer signal wr_ack : std_logic; -- From arb: Transfer ack'd by slave signal wr_rearb : std_logic; -- From arb: Rearbitrate transfer signal wr_retry : std_logic; -- To arb: Repeat the transfer signal wr_abort : std_logic; -- To arb: Abort the transfer begin M_MSize <= "01"; -- Arbiter arbiter_0: entity lisipif_master_v1_00_c.lipif_mst_arbiter generic map ( C_NUM_WIDTH => C_NUM_WIDTH, C_ARBITRATION => C_ARBITRATION, C_EN_SRL16 => C_EN_SRL16 ) port map ( clk => PLB_Clk, reset => PLB_Rst, -- Control Signals to Read and Write Controller rd_rdy_i => rd_rdy, rd_init_o => rd_init, rd_ack_o => rd_ack, rd_rearb_o => rd_rearb, rd_retry_i => rd_retry, rd_abort_i => rd_abort, wr_rdy_i => wr_rdy, wr_init_o => wr_init, wr_ack_o => wr_ack, wr_rearb_o => wr_rearb, wr_retry_i => wr_retry, wr_abort_i => wr_abort, -- LIS-IPIC Read Qualifiers M_rdReq_i => M_rdReq, M_rdAccept_o => M_rdAccept, M_rdAddr_i => M_rdAddr, M_rdNum_i => M_rdNum, M_rdBE_i => M_rdBE, M_rdPriority_i => M_rdPriority, M_rdType_i => M_rdType, M_rdCompress_i => M_rdCompress, M_rdGuarded_i => M_rdGuarded, M_rdLockErr_i => M_rdLockErr, -- LIS-IPIC Write Qualifiers M_wrReq_i => M_wrReq, M_wrAccept_o => M_wrAccept, M_wrAddr_i => M_wrAddr, M_wrNum_i => M_wrNum, M_wrBE_i => M_wrBE, M_wrPriority_i => M_wrPriority, M_wrType_i => M_wrType, M_wrCompress_i => M_wrCompress, M_wrGuarded_i => M_wrGuarded, M_wrOrdered_i => M_wrOrdered, M_wrLockErr_i => M_wrLockErr, -- LIS-IPIC Shared Qualifiers M_Error_o => M_Error, M_Lock_i => M_Lock, -- PLB Signals PLB_MAddrAck => PLB_MAddrAck, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MErr => PLB_MErr, M_request => M_request, M_priority => M_priority, M_busLock => M_busLock, M_RNW => M_RNW, M_BE => M_BE, M_size => M_size, M_type => M_type, M_compress => M_compress, M_guarded => M_guarded, M_ordered => M_ordered, M_lockErr => M_lockErr, M_abort => M_abort, M_ABus => M_ABus ); -- Read Controller read_ctrl_0: entity lisipif_master_v1_00_c.lipif_mst_read generic map ( C_NUM_WIDTH => C_NUM_WIDTH, C_EN_SRL16 => C_EN_SRL16, C_EN_FAST_ABORT => C_EN_FAST_ABORT ) port map ( clk => PLB_Clk, reset => PLB_Rst, -- Control Signals to/from Arbiter xfer_rdy_o => rd_rdy, xfer_init_i => rd_init, xfer_ack_i => rd_ack, xfer_rearb_i => rd_rearb, xfer_retry_o => rd_retry, xfer_abort_o => rd_abort, -- LIS-IPIC Transfer Signals M_rdNum_i => M_rdNum, M_rdRearb_o => M_rdRearb, M_rdAbort_i => M_rdAbort, M_rdError_o => M_rdError, M_rdData_o => M_rdData, M_rdAck_o => M_rdAck, M_rdComp_o => M_rdComp, -- PLB Signals PLB_MRdDAck => PLB_MRdDAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MRdWdAddr => PLB_MRdWdAddr, M_rdBurst => M_rdBurst, PLB_MRdDBus => PLB_MRdDBus ); -- Write Controller write_ctrl_0: entity lisipif_master_v1_00_c.lipif_mst_write generic map ( C_NUM_WIDTH => C_NUM_WIDTH, C_EN_SRL16 => C_EN_SRL16, C_EN_FAST_ABORT => C_EN_FAST_ABORT ) port map ( clk => PLB_Clk, reset => PLB_Rst, -- Control Signals to/from Arbiter xfer_rdy_o => wr_rdy, xfer_init_i => wr_init, xfer_ack_i => wr_ack, xfer_rearb_i => wr_rearb, xfer_retry_o => wr_retry, xfer_abort_o => wr_abort, -- LIS-IPIC Transfer Signals M_wrNum_i => M_wrNum, M_wrRearb_o => M_wrRearb, M_wrAbort_i => M_wrAbort, M_wrError_o => M_wrError, M_wrData_i => M_wrData, M_wrRdy_o => M_wrRdy, M_wrAck_o => M_wrAck, M_wrComp_o => M_wrComp, -- PLB Signals PLB_MWrDAck => PLB_MWrDAck, PLB_MWrBTerm => PLB_MWrBTerm, M_wrBurst => M_WrBurst, M_wrDBus => M_wrDBus ); end lisipif_master_rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 186656) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127prTGJlhrJ62mH2JjCG26WJBK HxA6K1Dzo3i1lTfxb4a1EiwmPUNi97n3B+BKDRuVZeQMEvWlwOsH8PLDOJs57d6rdpdYwVimkD9A rK573wdZJGoS+LANRjyP72s9cNlnCwXToLHwPLDW2Bxv28cvXVznk8xboxg1JzyoCW6MdmTkxlVL Wf7PbfbWD2qZLnpuYxnoK6GZASW1JlZoxSNfKozNGaYzzaYhdjg7V32QjjwGsHcXP0Yv7yHTMbhK UmCatjjdxK6qu7lzaYdzWQJsR2gJk0IDjhIyw3ZkstigRVKYlNj2rodpOSiygbzgHSn5eMbhh8ca zDzcSQGY78APJQp0xH4afJMppRLwCzFzzT9Sov6gF5cGkfAxsY56tlyRS87wcGgpSXimopk0Rneh VCFMkaKeLMzYFML5z9FKe6gLWiyvhN7cj54H0JQXDdCn1PYFjICOKTejwWpY3kZ0sDF4y5xld1Cw k5RhFkctztMXWvdhufeChHyJFZ53kO7L+C0dZ9Zp8jozYItpRf85rWuhcsa2y5zkmoM/nRa/vfTO OBXcxJyyn5abkWe0PPqOFEpaRI7CmBOZivmxGEom8E2L1XXEbktK9fTpATbu21yi1UbdSJV4UvqB Pzwe1JwyvJIQRb7c27xHyoRzE+9b1OmSa+R/bg8AfSMiZ2/dH2H873WQl9YJ7ZF8RF/IqESs26aG qKD/FN2msOmxkN1IK2LJ2QF+W+Zgf/1jiftR2eE3/hDKnV6ZMSAs5uff1OoenBD58SehhS/ZY6vp MbfVuEeG3blFkds/dBbMGwwsldG+TSLIEavg4pi6nwgW1dVhiJGNQf214eb2ecapV8AuQPx65UmG Gvtj6/XeYWDHyLer3EhZHeEyIbVPbbmQuz/79qXoRRrMwdEsP2jASKY32MHxrtuiHMBoM86j0iNG TG8hQ4IcBHmMdGWTWjKfmbnhETDBMd9PjrUhz0yqRuQ9jN8pPJ43nOgENcrewFAXqohP/e8HI+c4 2JB9GzqplHRo3mn0KuuEBpSI5MxszQ++DlwiZoTiLwlLxjKpNS0cyW6PeF1wrvq5TwPzvZJzQqGX opCxyZadVqI/P20IVCg3sttj4plyu1y+dQGa1BLVI3jbZFobFitEnPpjsz6MYBeW1DHIT1psLbSP WezUwzcFZFmwJnoTZgZHCEiVGnETLSxNdo30GMjJvf5GeU5gIn/pZsjE03/cRRtzxpsQquGhtfiF HDL/Bzf3HoPYEiwImr4sqYPaxvwv8FhXgPJoK+aNAXqx06QM8cey+0kov/vwDPtHHZvvdpf/opEB tnGEjcwzJNG6L+kzNs42NmE3JhPIdTjhySdBuxTk/qkFpZsVS9muy6QAkzUlemmyw+5Pn09c65IZ EzN/ZxrBieckfgIclOHwXhaaD0gANOxYQtL3mOc5jsUz9MG/gVY7vQxDIGlOQVNz7Jv1QT/Id3Cn U1Wfbs/3b4ZdeA5uRP6geTAG9yiaZgbNY7OJvKFmve5/XB8d0z+TM/g5SI0ZZSXs96miPu5rarYS 9ieSK+NhwepLNJ0+102m6ta5Tx5RdHdk2yIg/Wks7kmBp5JYaxXviUBdU6vd8y1EcKu3hBMI4xmS iXpjuxqlPW0opGtppYrb2qumbNm/BFLJWaTP/hHoZ2gO85U4Q63/h41hTv1bNO3iXkgY/1YUItgr Y9gpD3D7R3XztKfCyvIZnd3IGb/VCRVuRSiZffpHR9Cbs8Yz1C4OsVffG2MIAn3MgoXPMffMJQSk Jrh0oewcZo9Gp36XE6jdBAC8f2EWWPe38X+0zzqYXV+XrMG5Cf+z04q9ObGIxhwIPZs8wseZTwac h6Jcm1dzsYlD/Ix/9m9Z1DoFS2qbjFIWiDBDYkIaYvP0u3wgWtK1C7SmRNIDiL1je54oDQFxDw6G 9TuZ1gOtblE+wj8c8vl+46mZDBUfPXKTfbyEWh4iZBWUuQvc3xwjeFNSXLHsA8FCYlr4BWXBJT65 5/mI+NrIb9SkI6wQzFWjAQxNCsuXG3tIP47Nv4EmViYlvc6EWdgaey1gy8XcKKmPDNCLL9PTYbFV 0u4LxzcGXVBdZAzqCULupBlQB03KU1ogV+wKEjYRo0qdtpFJrlgoea6IGwKe6MTNnPwKd+5mJN4b NKxZcuKMQcvctGbNYgP/h0Q8JkxNip0Rghc2H2s7F98JLAG4aJlY3laes8sJGmiVzKCPeFDKP/4E EsCQOyNn4uvuOStPaiaLRhdNGUfAxZz8juIfFkb08eiDRF+R3IGFen95hDGS5TmQU26HLqansghQ 0K1SkJCopviAx8+r3G1naoAg7zOSttBSg1BVs5jYyadJzvSD+uMwmYrLs6W/gDNsFfsi+hsRotkH BX8IvATCfu85zmlvsf+y/kLEAeYyqOFFvuUxKRk4ywkNXacOnR20JbJUImS13HiBz+v84zUYZtS3 EyE7wt4kvWSBlcmq5gdjdWD1x6OA/CrEjrdpoYiWgTqHXCSp3DxFuspZfzoAKFV98ijBF0TYXdLA hPtI9tXabvZflUNaD1CNiqD3qTmIXtVZQpqdXnaQKMl48IZX+E7MCWWPRynjWjtMAMnsdQFLbcID U1a2miYaS3I9OJM5LxyVFD8Gkq7pOdPrhGve/WIaifvV5HqsM34phjQmqEcf2JP18mxupkg3NU5u Wv71o6t0DHAuQob4j1R2Jx3XCMK3BgQx5oa6OfGeOO/kT4Zyq8rDBLaNfubhDFZtfFQrE0m87oLy dpwvD55Ah/HT26avqs3VKg93lCTmGCic/64dxndOdxOCjsDCOF4Vno5sbEeKeU7D+sOBcijXH+PA tpxZgFxPXw5F6LeHs+qRDG+TjPb7jk2ILBr0klazG0GS1NFrqLvKST3jQRSWbsVIicJmrIAmkyc1 zApqBGlXcCSzt2tFLoSsS5a/P37sFRaPB4o8XGPmOxyvrObmrbgjVv8P/dfDh9aw4jk5iU1nY/Wj BRrNIkB4rqBHvTQUS5W/AVaxLqPlszeNogOiPg8Fam28HHkpijlgkLBBV8Q0mcYC1BNod3s4svDr BPCNdNjt7Td0Y0UfzImXoxtTTGQ1FMB46YmjkrgywbLFGERFgHAq/44pFfqOYeNYeA3euGIcPD4Z e1BYoOxtvXTN58LOoUYGy5PwutKMjjJS0vQWUkflEdO/SU2sz3jNKIYSTqx0wsTHVXX4Zg3E8wec S1og8ReIt4zePkrS+mK32v4ItteGV1NI01CaGzVz8lJ0pKuNSn51l18YPnOg8O1JtwGPneKefuvL TNrrJSwY5oe01b7MZ/Ht+ITBDqUZH5YqWYdFV5MqcxCXXwFzisTwE8osVZQ+JLWvndn6yhQotL6P 7m+m/6DgHogNhlhn9WQvm9XytoGaLq55OcqWRJW6VFU7m2p71fN040iVN2hf2tVGwALXDHt9wWqy 24lWUcjX41A294n2UTSMNLLNuQGMMYhkVuM3deYovyurxrGydAa2IIfhQN6uyaAdptWM1ezkEj8C hZE6QG7Lybqxyc+uYM1nHfzvj7EyID7O/HgwxD90qh5IDUOZ19B6JhakTfqNiaMtdUz7oozZYQz/ IMoVlBhPQyVg7vUNWUjDrWBhLtRveEJvcigVV3U9Ix7CzAYLuOtjU0pj8Mu26x76/VTtLyN/EX+m 4IUIn0075PzAGLJR8j+WA5xDdquFqbJK8QxT488mw1z53TmMSPAqzzMBqzgas85kxx9ONpstYhFl Ghk9e2x2MFHP7kNvvKS78zYemTjjssv1DJO/eoNDHNppTyK4bhipM2B3JVA2SOat3sMQPiGmx9Rs 4+1ZC2vOKH7K03OiElhf7qCFd2jKW5VOhP/mqs6Vhu7jQeR4FSKhNGWC7ka3OcCkoxUwVXG1lCTp A336/do9x9XJEl7z5CvsuqP8CGLACuGLxuwP54AerWqEHMlfA1UwhSv5hBbnstkc8bTilV9/mDle T5BbK8z/TFsNBIVkF5ea/CL0N4nbSyWsFThDOAah4jJZDXLV2L10HajExlB/1jXB1i6T8/OTK1k5 3535oTly4MAgUHVIryt80QtTkHdYwpBD2hvfvTxQjuqEdyaQE7QgMK/MRUm8IAARY/0V5nYP1I6v VVAp3gqzPE3cI7zD1feOwtp17qUmTHXSidCXMgWTLRuzPZ0KjCmuX0xHvz8z78otKhgZl9IDH3j+ PtG+Akzq/H2XJJCYM6n95mi3MnMRCnEEVTAnixCLCZPvuQtb8mvZEJx/o3JKW2/nKaaMnUmooL65 fyWQ1j3EyqZjT0rBu29eDtLwMD/GwEQTE3PcFv597lCCBfdAtTqVKw0AEGWxI+cii4g1Vn6TRZ2r XlLDXFh50JC9pt7tYNqU6ZwzFgEe1d+QU2G/nziXrl5Auxh1Rye0/PH0dk2VwxBlo0PWHZxbZnVN MUerFZKo3s/jfXddSo72rF1VFcX2RS5fYbAKRISiYk/GhMOVkP3hgx4FmPXpAEBHaxU0xkaAaF5t TNkdKqnLI0qxHxX8u3T+XhSik3ctZwCCYaSaPETYyJNqZmWJGZpdOfR+FBSp025AFFvXnhSICSMX A9dfLgvNslcQYIP4qtrPy2QW5b3wbo9xOlbIm8Zn6a7PJERaVARFX/AtiNKeOHUCmh63tYh3N2sw nKppkctX7yIgTaEO80gL/usuEhdh0KVi4t9kkPBAUGThRe359r8pv8HdYQQRZ/N0cebqMwriJTqj uVZ5G6fGqhnxLALedhovpHG6kYdxuynbJ8GQc6g9V/Clh6lL357J4ASirKSrUqiyXvUnbWTiTQYq Pnx05xc3n/dASngv5qdF5gnCinhM9Vmbmt86KA8fTuxskHYdkbn1np/Eyi9uAL7L4JNVy6FUkfdS 5aMLY8JvQekF6FY8FeA+iYireBwPhQpMV6Gz9LT4RFy7aplUo7H+uhizBxWbBOpGYAszrV1ehWU4 TUHdMLspeyO91RpWCRCqpPH/b5uGFiL85ceudUx94tV7ClssPitV8fIiljKkCNjHPqCxFvtXmu0k snhwdo0dvRq30gnF2JZDTOrwsnuXh7D7TpRXew0ocqxp6qU3vvpQ0hsIm+4bZ/bDVo8ktIGSTFUe NVh9xEHK9gGz1NNJe6Jko+1cngpYVwGFBu/miysC/02qpCoAnbSA+Lfr2fcp0SakN+76mmad4uQR KPpvkEJOHJeFNIkkLgu0uZ5RkwII5vwNnL2jmZJJrJhjKvlpn20tNpQxnD2BIahWY1ZPJHxiWmBy t4g573ovlh69urzSqsnd6PEUf9XRevVV3ZC+ZwM0cCkFuEeMerZvLJH9w9VyiTL9VK3OO0vhNP1L S6GuRCmwRWre5ygjbZDGKWlBTIZLEpoeBYbQLDiGw/DR9/g/dQRoZTaMrXn5S3b9JyyZkzaPz4sA c5E4Ynxl+9z9yPmWuWqIQ2UPMmjQoq9ndGPb7FPfmq+UGw4A8V13oVdfMLX4CztOwERvpzx1+/+U W/2IU9RXIcbLkxAq445IR5KS5Pz2BBRpZ1RbJSfrWWfEzg87XxHRimz66wPHDefKO1SOYzkoCp3E gzP41gmnkTTDMwrBaIYUN4zjJ/JY/lVubKwgyKY9lMX0tuRxYC1qJlsb3/hu7fa1gB5kEsNrAjKs g5iEeFuV3ZNLxR3rJWR/u/dOZI6z/NTVm44B1Dv+z4J7XFZvlm2m6G2DMEVOSuXLKAcBSZYmqr8n WUT3WIIKo5LFNyhjecwsPAU9f3BWyqfY/aozvSu6pJTTFa3KOGIeDTS2w2hIuZlQvs8Ey28rrkR6 31z17Iacvx6AYU5UjbLrKnRtLD5BDMzxD/IOSdH8XxJ5QqRglETi4EehTnPIIoTkFPLIdK+qa0hd GUBR8nExdkcCoK1ETDggpf8FkMttJAagy2eqm1V/alrGymy4WmbtXxHrjSjedHP0zXxLsDZH0Db7 cIklPjP6cIK8VMIIlyfuQRKHdKtmlE+hU0qsG5rbUNqm3rPBR4YVGFLrF0HaNKrNshvLscNFLQmT pDarIABO3vukVEN6HQ2BqZLRapBp1aV+Tzey1PGr8XrfXN8zIETAUpUljXtp7W+njj2jRoeyC6LG +l2zZQf9LRoNk5PobR+0YiM9+mjyJAG1xEI3V2JixTqgvC47i7+dUL0RUddjzHkWheXZDUvqV30m g0hOGrYpydH+EOvJzK/Wzo+fob/FjYern/nTNL22CZZgcBd7kVwe8qsyB5FCAipPGTZp/nM8BWCC Uodg+MlhnM70AR6RNeFqLwx1qWe+p+IWZpaow4Ez5sWoBLc7BmxpV0sEOQaEC5vO0c2V96FTownS c5CsKiC6aE/b7S5sMD7nN4a2IQHNs6ySHaTKqp0aHHjq2hmvXLnhcRmYtnpQMM6623cm/kn9CZkp J3k8QfoLsqD8IpF+0VerGYBC47Qb+I4k2KyON5tFX6K0EECZVfpdA5zmvJHFnhiCkXqmboIqvaiR 0NxUl6+U8kBxP1K+9+dQ00WmKFclfAPuYy3fkDcp4l99dmBo3AGaIpCQrixhz3fZeN7DMkivR06b mfHaqGEmHSx5mZTHC7qjTcPpf3qd5Gvoxpj8gPdHD5eoR62u5COIDXAAnctJjAl5SkCSJ/arfJCQ xGVfgJa0XSfRP8a+sCelKU+Gyldw9LD5VfpcRkf6BNI50L/q4GSBCtikiAUVqx7oghscfvHADP0/ PkzRe2V8gIp1FXMzvQpVsdcykHEtJHijCT09FYqNkVCP6ztPKhdkb8hxOzA6NOxTuUxWYIbYHFI/ k1CJL42ixFI1rwf0kXOZh+O+WIE/z7VQEeQ1zLkzlfhz8A0NDvbwCgIU4IpHIIhWeRINezV5UEP6 Y+tA3S/oSMqg1W+LW7Mp4RQVQ4v3F4saTK29El8ffHPaEI18h6a+I0u1NHLs7VBGaV01qKs1ecnb siK+Mv61yPDIHfsZhDfGdelPtjV5Lw3iQrRFc7OmyoC+wWYGc/qn6XEjER1uooR//ohgDIyXBYq9 f7YRAtH1+Zc6sQkDnSJ+IyEEkZgWNU9lO3rXi91hFKHtCzxqj+qZiFYsfJ3+G48are3UTcNMicKc hBm6NEuA9BWATIEiH/v3CZhynXxrNcI1NpE2hrN96f+WQZJSSOEoN67AmZxpdOCbClZcxtHwVBi1 yQ8M8LeNVYtNhZG8pITaDmIT0hotcTw4KpyKv0TTZTmbnTgcMO+b6wU74r1RO/eM5Wc1HWtzBnrT RaXfg7w2m0aQ3UStelCy1G0xVK4xspnueVejqMZLZVePb4bsS8XQxhIeBKdodXE1Gm0JIXL463oj yxn7EEIuN+kAmTu9k/AkX3POAu1wa4rOMQEfJ5ifZ4vjJ+xuhtoHDlbtsL7NjuggonImG3Tlh1kC 0rMcZjjEQWNUjc6IGHwAyhA5F452nI8NraDllZyvzLJTpFAKEfbATgJ2LJThlvGYo4Lwbu9q7dWU g8TNEYvRlWWRUNmqvsVwiUPDsoYSuoDXxRbbhEf0Mv/qFoE0CWtNkSQ6lcH0gatdlJH70wTwy9ro DsTmXPIWhzKeCz25sSLGvAx6UEucs8Xc3OdrybvnH4aWRhOltOpkX9ZmF/JUKd9KEU8bE50ejwa2 pF2rj6SJf1PQyQUyJwJnOOg0jEIA9G2D/epr/sFCwdBhzvKXOvUeqwRNpjENxCfz6LwRanlxDdM6 RHTRyKYiJH1oNtE+EAIpjyZfnlgQrwkAhyEXugP7bzH83q7HACc+7vylUR8b4ZvKkW5MvWtgipm7 j6DCVTKibsx6awTgKdb2RkCBig2r0czTeGqRDKY14rHYHwSfYHjjC7ImosfW4DLBNlS8uzBZoF6Y Df4rKuSv+frXIvDYfwYJV8jRT+6XWAQ+VyucovsVLP/0zjvN4oieNiFVtNphT/HjPePjQN86RgSt D+AUrFnUHEeYti8zK5JZUWcm1YRFKtvnttfAz+Cfcux0kykjHrEg0q5G/rvCgkMA9Kv7XzDKP21v p1ezPuJkAqKSq+VHSLYElLKPIrvmOBDvXz+fOFPIy1kNeuq3OY7Yo1zWJb28g3KC7AR/lWiw/grc JFXyQ9++W0ZOqazzBe/Xr6STxt1fyyggfcAHA/L//3QjIHmTiF8hWI4sqE7BHWOrHhZ7nfyoitQJ 0WM6YK9/FoNLFFO3mClw5FshZgmgE/oWMOsQGmQD9IrYwIq0YIyRZ0TDCo9In5GZ9xe28UC0U+NQ fPrIyGc8Irz42GzrtdYKFb/ChPWS63LTrZPowwub94mbwbDdDTX1qzOLexbFmeLeaygIqcABWkF6 V/bPer1MjP1gLBylx6MkhUSF2WFJ5yO9zx8wXN7KokkIK5fPrQs1mwUnhJ5l+VNO2zzIsm2H3KR0 JewtO4aHqvS8synKqT0X7dqnJ+Ar20pzoPbIfHbB8uKQLcQFCKAhiYgRp7eLWSYVgsDPVz+1x163 HEXUtNf5ln+pHxuXcXPCh8lOU6JBCx0SBAMNY6lVVVi6zldr5IhQKbA05nW2I8sIq/qx8Kpob6zN 7x55Nb7uuhvO1wYWEt0touYaENka2Kh6HNNAwN8VDX9+XIstW9GZyzPtJHvzdFJPjMBgGoca2WR1 UjpoaVLXts7M/7nZX1ySJm+DaE6nP8iR6E7Y3nZN3iDv8afM1P6yba5gGSDgkLXD0dgSqOQkIfUB ay+MReZVZA/FMJzynUZCa0gFKd/Cw2EtZ6r3JvJP8eLF8qXe7ecSEU4TekjW040AszAQDn18NQ43 3A/7Ud6DI1vlkzgeLwZ2rqmmav34O7ypUrbgomYuDzyaFxQunvJSpyygyg720SnZxi/ainm6iMMB QfNOLQ80PIWC3qzQWrz0e29uTSAw725sMzvNzq+w7+quaQBQ7SrGyh+FAmtrfOfUNvaUXAit6uKC ZymtTHAQxOxiLQqRsQQnLaH262mPl6lluWEfhe28B/HG8D/chuKgs74cIsnvj97DTh/h/qGUJW74 wgX/r3rBrNG+z5B2/cctnqhywuCc4gOZtiXerk0WdSSo0Q+OO//XEX1pqqb3mgOqKvU5icIOErod G7Dfhd2T3TTOBLuO/1FCG8l2OLXVBRCOHsjbdI+fesde3rMyxrUfKWXJ8mz0dTYj6vkD1PHi08pv QhO9Xp8pf7uYxYm+f/YLdTtoJwKj5QsWlXiv6X0pUzj2blBdqDSdm2tSR8yv0WsGRLcl6+wbTjbf 4gfAm8AHbIj4OD5jKWyW5F/xf62dgGO7Mdhxp2BSEf/SZmH/7O/azg8LXDnWegZIh+/iwoYrEu3D 9RPqdvhYd9LxyfMwq4WJ8w4+vwY+7ctGQieKmElxP7qEoc5Ahl4bExmhT7VHpB4zc+M/VUyB4g6Z NEY3ltqdlB/dOgTPGRLlI7lzJzCzgpswOlhVu6vwCMYmJbwVn+OWp3BPGXRoHteKRf4BFrmlA9v6 aaBIUP4X7nhxZ9ZjzGkASFWaN1m5N8wrcwj1IEG4pT0CjgBrSx/xOWUTRigzJKYs8IbVV1lc6s45 UOUfulMLrvZVYJcKHFJtAUduNan1bgfhXqyhB22sEPU9E+A9qUpo1/WqFfm8M6POm+yEcbRxeb8M vL3oJkCtuVWL2tjqXUfcOQW9YPhCCppnhGa5Igq1Km082Kyn3H4D4QGGABi1aOtw5WDvzCu3Dgp4 jNg00PjyVL2/5Z3OVI2l9F+EUiEL30P5GqWuCiEBYKQB9yLwsXL9dgJ+8wYHULnjDiUIYhkJvoxh 7aSowoJi1EwZwbsOOzRI/lRBArmiPRZNgXxd6WRqRcxDFJOkvjeZvhoyAMSOTAIWZ27LWXWPyM8q e1pkQZdO9u1MaC0qwOcu/d1gfUvdnsr6NFUmD+IkV6F128S6l+v7XdJcYqFe6sbA29iY+VuQYzB5 7OZGaIooHkR95LUXXTsqtwGOiR2iJJrIesdEZZd0ph689881Ybd+XeMeb9/4wIM3xiwsbWQ9qswM NJDzL2msXjt4XQrnD/atRfcdOWYVMyH+Fq8BnouEsK4w0Oi3JPvU+wTlWkeZmWB3idp5xiDvmZDB D9wPyeiH3F2U0Fvc4wiEJ2CPWDkEANZXYdrpjyA6WtC2dUnuA8+DgTxjgJ3Dt7RBZBuvFoSyBJD+ 6nyua65qTEpwVt1bLH0w308aOgU5Yrs+ZSFIO2Tp8KPBvj7zInmKgNBl1Feal1VV+PtZqAHC3lnm up2kk6VrcSl15dDy6YOhOxnOfanu4kl39ymuewMmUOsmRLQ3mNwwQqG03zWbfxBoHlMUNu0oVC8V BFLa8wlkHq/LYWe5ItGBepUA52jjy+8Pd9+TcdLuKco1rZYNEafoPUrgDqrgMVwflDO6/x5rIq8I UIbRcttOXtItNHUGXoEPRVv9h+vm0RH1UqNCwve+prJuZJc40PAIgprxQT1TMzUoNR5ofnvNtBV0 5VRRxpSYBvmoEpgs0yd9nnvj+43g/XKWDOUsWcUksExYHN2dkAvkqVZs7ZnhYEYRbO3n/50pLA3Z tbUVhwU6WxSZTmaCzrpDzPOMC/Y0PRRYTPcAadFVNfLJYm/FN4x52GwOMRWHN0lBqv0nFstWccY5 8pcm+hNfBONWv5/hswhAdVcKKzZL+OZzbrJVPoWRd/NBWcfV8dVXBDuJaanFGsb2FeHuRHi6X/rW wYvtTzuW0inYeVsYHPGGE5qIfniWbBuPti2Fzxrz/LTGP3marqTHMnMo52AQq/07L6k4PeiHk6hW uQrOMIffKuyOjGQ69/DAWcOL5OiOxtJUKVi39TWgaZ5f+7ziOKpvpILbDoEGSFoM2LRyJR5mVgMI OHeIpT1ZQDo93r4W5Ux3HDnCUKMjhnWCX39qkI5818h8gXGV2B5teiLpHStfHkHKUvhoYAyLNYun l44leB6hFp729gfI5aNtb6Wk670nOmSC8Kr0leDXuwgfhfwiYN+7dRT1crAb0/Icde9pYloVa4T7 OJl8NlAiLMs0jz4bAG3w5FFt+G6eEhn1ch0N2zVJSJfzT+ZMPJUGAtMcNCYk+BHsKXlrG5Q66abY iu0J8Y+vH6ujvcNc9YrDwL71pGeoB7UAwseQJmeymys8MoOzOv/oI7rDzIxTpemq8RRAjlmQluwW j+4sC8A9lutC2D0JDV6VCmUkH4i5cEsWqq/QGUum51FHgLLB98KdXADZJtzor31L6pRZvQt64CcJ c2Ab4wiGwDdRKRGc9U6bdEd0hLyt1yfW5+lM7mMxFTXZUOfSIFdw/wijKwwR+JVrsOYHQDedCaUs DWwqvbsb2h8yDWydSxrTjr3N6V93cDH5ki55EoWqvRreJ4SmvTXtIDLe+fXfEzdz8mmt7LSHvo+w 4BLC3IHbANMhwBzbANSkUnPuJ6UapGUOCj/+hMIpoPV3a+3v4oAAUZz3xrqTGxT4k3dWxBAQrdWs /DO2peDV6kra4n/ly/3LQi4QRvbuSWrUx2MGed+lzRrS5Ik3HMSx1fUM+A54RDe3SGljFJII55sk OJb1A4LOHTziQnimZWYcQJG5hM35K3AH7pqrGkpC2FyT8FUrcQV5TqUJezzdCSANTnZ6c8lFRBOU TxXN7edw8zluBpXQDGAahT2lYFRU1hANVAb1MMU3SU56K3z75H/mz0fP4VXWZiVVPULArK9N8dC/ bEH/NmUUs/0kzYgdghG9u88OWOUXCanPCuvVRS/eodRV9MGRePCvojDnr0Lhs0MVZfNmRlbWMPUd WKVP0XwhTnfblIG6+yZMRTaRyesrp+odmyMQfC1pyoMEAUkZmvHKrpTHGyRjK9dcyqgXiFs3CecS uqK6ttY2gioJpfFOwmTZVGryihoU1BT+Swu71y+4+BELMNB8NsE/ytIvufT/WDFhH4m2rvIxE2yz rKOvuUwNHrjHHT5ABCATxdg7KT4j3hyRYsR2muCuSV1guphZ9krJ86tCqNqlBAW2SJLX0VRtqyea CWBFmQpUXqtz6YJOk2NwV5ZZo5S6g4lYzpgVx1A/wQPEDpPyhMtnukG9tcvQnmmQQpLTt+AiSe6C vm1E26+xa3+3rC1PKuX+NZeR4DsyNmluqFYrqcZ4C1LyUvrIKRIijvwXYAHzXXkzubFyX6zwTboL n0OTU+f3EigCzco73ZUKU/aDczHcp8C77dva7aHNs6ZQuTDi1bo4ZmCQIJLy1UZgcq4tbBNo+lLn UsN2up74HWGYqAYpj6cCwb/jHqPsVq2eKsfAhsvw6U4Dm0QuXc2v6hhB+w9CiU8IatYH+9or/uUl GghbOg44JMVdI6qHaLWPdHFdADMOXLSHsMZE83bg4/rZfmFRRusa4DYRHumq7XBjW85g0Q4ANHcB D7V2c00nwOZzwXA1mkW7d1DCCxJvNabO4IJTVVbD9B7kHDqkvRU1K8YvSLD3jWlHQn65k0ZW+n// XxgKGBwQNlOtHGdEsrHcTP/r6F9iueYeegeZqFvi91aPZ7qDcjVTMZu9Yw7lY8SsZ4AZANVvfNwI 34G27/SWa0qj9/JbTqJoYoX49mzMURAig9rYoBtDItdwFssj8xYdknZ1+xiid04i5wPtDDJcziJJ 7YPDqEef07GaMbcx/v1V1+YUs2F2S8Dw9ATHHC5LfduGnPcPv0oYDkz5NpL89KUmcGMVhijsad85 ppLXc3+i842H6TcVhPRMlFhCCGtFOw2eEL3d/mnk6ue9bsXgOj373RBcUtpWYNk2goYtKEIdCAzO 9FOqIqr1VYBGod6LfydjR+WAcm6PLwIqSiK+GoIfA30ZMS2pqu+QnLGVQ3z995G+BKOSV+9GGW9d MVEY9vECgYSCPsxBdR6jhxE4xMF9jywzq7Y3j0C+EqqEbhYPpJc/uPkk+lZVg5jFDyCoVXErDpNu QqRQP48QjdyX0RWTfK087p4JTKjCnLtADwKCzcT9Ann53JfAiBmaHI/jSlc6X8QrnYgOmcEKzuMB ZYRCn3mQUAIOABY0yq++A7EG3JZ5X9y5qjTM+MAOtcu/RqBwVL4eooq2zuSPoU5p9r3CGUno7hUH 2aY5kvUfkEZ3a8TP1ydWB/F5/B8FagUQBXtEbqchsreVlDlCBGorkc0I2d71gxOKs02/yny46hDy Ro3v68oQ2hrMZAcKKL1AQbtfGNefg10gUvaGws+eNmLEQFfYFDGRjhzSNseFAmk9YkUdIcMpTEHi jMn9nD4AFy2jQIdvIXXE2cLeOfPIcp+c4JH+9VLz8/DvrkrvyT4VKqRwgSleJh1VuNocr5q1PeFS opaMuo0ceF0T/fzM9DDG+tP9iRCheyoi1LSTXXnl6A6IeHbFCrKtvP7byNo9SB1S7acwNVN04xV6 RJyGy2FH0P1PoAVYfm9/3PU3r8PF8thXXwQK1pwHTRdl6XI1nNPx+kohwnuKYfbTnrUZHJu3pk4i GIhLlxalNK9y7wU1y5FhHNi0DmtxNtMNr0fbfrdvdgOTPNqeNZ9ecwBUfYJqJamHWJx/oPaVKS5y L3ed9TmWyUi+RhN5Us22G3KPTw+ic2Ukyk8/0+5dszxoCUVeyg0ZUD1+TRkhTfM8i1O/OYgRmKkM iVBx27V+LISn9WN2ohzSlne9nsAuFOqRFsGh++KfYxIRXoJx3r2bclxgSBvcYkBh6o5TeWC5ezYx gS5b/3Trb4Yh+LatGc8r/cvfenEfl8IeUuzDpLFELwOOtkvoDyukCmjr/A20FtPJHuaY2r2cWT4y BX21wCew3TgELe5KvV0vfX4kbj5ELAjahxWsjYJ//2Gg+fxfKu62ZkbE4Ad5sIeOaQycfo07BqUF JgfHt8dt9SQq1BlRbRJAh7AG0KMGs0AOMpP/2fRv4Jk4MokZt/Yh0IK25YMzcj6O0oHiYC4cxBYs 5cy4Au3h7jnszvQMuhND4e4rHLMzGfeXAkxCQrg2tvOfCOVh/TQdjxKuP71vIOAJYGajcDDdJCDi bPVwVj4x0+jF7NgYwbB5JhgEAKm3s98Gkmq2jmzAgF4ITEa1nNcNyBgWiXgPeeugtZ4HBJhDoa9L mziroZmdlaT9RYwLpCWGvXHE7+gDDY/Zc0XWJUqd9l2+WX51FJEOA7bhOgVtHe8xxyALp7/1yYiJ phpzS1pR7uQ71+Ts6Srmy2NDRUJb/yL/oKNFAKefjgTIm0CbONOsNFPyyxukwIRVaCzuwnUQj9dR QPjOg+7GsKr2ubYrMf7EAqOfL23Nq6aYwIHKrGhYMwJLTTrFpYVmHoZ3OK050MmGtpYXbAwfeHja LFp3CWnf21i21sAWKWUIamakoLKtVgeC4VZ3lM6KXRt4UbCSlIec/k7AbT+m8HadlWa+sZlLoji2 nBBx/OqkP9nuuiRV0rFl1sjR3Yg8nGLQpZ+LN8IdXisLMnEVBsKnlApPi92mOGHyKahD8tncpZAp jWdvI5O+GPEoTXUWbvK/aWIn5ISXDxaYgfMt95RrjJZRwBqnKAsA0RXvmLwYVLZKYuK2T2OaVpE8 q7g6pa6c2C5cKgf7hC2ALDOBPdBSaehdcYg2c3n0BnEJ+9OEXUpAqlqeV+GpyaEg6vw0Io98UAF9 DmaR9MUdEaY1UoSjTgcMGgjmDJAErn4ghKW8ZvKWagq4ZNTA5QejMShF6aWn7aecPqo0kizWdwFi yu6Ink3AWV48Aop0AqofR8oslDRt5NByQUcKPkKVcL/E9+5D6nA1CXSHdOcpijc8ejTsApEfLFaI vGpeNQjzftL4ePHsCwmZaIFaohK8nv6HvebFOBrksptL3AbxBqZLqu80eiVmAkbHM8J79Oq0efnv nYHrXJA6Pbr2yqnCI8qwWFcCU88zX/sFQBJ/e/lXEkkiF/pgv6NhyN+uoz173MtsRARTtnCpOMbD otYaX7VIeNJz3sWqAnm3F2ef8Lb2Ws/IomnJ1RhGUAdVprvqJ1uc+7JZa/k9tskH2eGEnyfD0sho 8L1hUbB8KhLNaHqEwgLwi75IF//5Nh3d944ZSv5VWXYiIh51hXM5u+YLmZK3ErpzRhCbJgTnV13R DMuSepAtg1t4KX39pzAyPFoSLWWS9NryTFMBQ/x4CmW6EoYXDI3vHrX+HAv3kPpRJFTu2GAqaqXK w6I7i6CjLTBLsrP8Fy3iVqPPWPrtbGXerhUJ1dSnbyTz2HqvKKMD0Kj3eARlZMlRaafBDLp6yp4z BCzL6eocD/tq2a5DwH73aG8O2VVzbyi2YGVRsQP/B5UOntJFD92tox7TWR5ShMNPec0eJfF2+0IX Uda5INj7APjRwxQMKgfR0XTJ6t5/PiTku8j3nn/jEzVljs7BD+F2rnc9bE/XRYXL4x573qy0YWuo nZgxZ3ZmV8IJUPC8/1DXpM3yxcDI6AOLkWuk2EmZyAHIXjnBpvbFJegDeAOKipauuAbzTInpREya 0nGp7tl2g/6Ga6T9Npto+aduqmOaqaNR/33wkbnCZc0ZHhn+PPUdnY+x5fO/1sXFw5DoU5vPeaPM D+mPk7GW+1Te7hMT/B9O1cWfZgLJiNVq10PXtdHepuZHLEYsypDr8bbeFlU4WbdZ/DQSrgMGAePd b8hGgdP0eQ4x/+xyzmMV26PVDUOOa6ywQjSy9cdOW9D2D3Bqrpvdb2hlX3sYSfaLwUFl4VQZSPBE Nt/Nj3dodfENpXIkjpspuA6xzMhQ4wysqQdjCN1419cxnqCkon4Ck9SVGUasFewOKSwRX/IEo3uz ZRwOajexYn/gP9qMpMGoKLZNhb80kejcW5b9QjQNoWIPsgz589pAqSz7kuu2LQXBeYCywJ4YHzvJ ybRBIP0+z/H/JzhJAYw2LZERCxdPHfg5ohT0cf8Q/ocNtXizQKwG2AsS1snu501IkMuqq/eOpH42 cIMlngzdRb2ANLKEQaV6BebEz8pB4hQs4XYA1XnwVWR5F8otfOt6P/Gr9uA7qhfYJxsJBiqz0b+2 qv79aeowgT9slz4M49hql5rdMKhTI2hfNRH81v70GtVSy+qqubydzSi8e9pzr4PERmEruV+A7xtL yoY0fHq7KWX/w6TnnmKudLWevnI3Icax0JD7WiLVTmcXFs6OtL5kDW+MdrKiIrcTNC03c2+e4gsV TWOO7Hn+wRU8kIS250Ep+hNyVN4QMsT68aB3SU42Nx8dN9Do3vX1+s8PAnv2DmKVx33ZaveTQrhF c+mbLVMbgTuAY6waQ1egGsHJ7jRH2dYpS7MjhfFLRiGQfTyiM+eCkcXxPA9qYth177y8Sgk/TQyU fGfT5q9HokjRouD3OFlrLu8FkbppnSZwuORoLuNuN3OtHpkxc/re+5Ic8TCi7QrTqax8YymJ66Uy uuH9ONrvXlPBwWGCPiAX+yZ715tYfqHlYEWCNTQq4YmEXk635tp0S64RDUd3TqPDZoka1xHOGWHH 94TwXzpjyl1IqLR/t8kHSsi2hZ7BYNoZ2PQUTgI2bX8lvJlkjD3yaFuTTdeogwKMzyaCTqrVPTiM gMySaWakWU1Wpj+qoPtAGYLOBpshNTj4Awaim8tzNVhecNZBcEuUKxQpYSKvogXsyY2VF2UUiHp5 v1OJYCCWeKh8v+EBxviRRJv0vhct5zQlvWfEoQERWJAxxCcmzDhEUKCgwbLsdNbMQqFuqqId1Bow snN9m9gkTnnIcm86g68UWBk3gNemgW0a/w9T7rS0oppIPED3Eq9/9bBITdMUFpzPq6CuBC8xf80n HO21kXpmyOwCvRPBEs7j+jmvX+KuI9ABeq/BkqcaXOAkW44I271ZxCyTrYPXfLo5wq5Ahd6X2H9i Cud1iq/Yl6SgVLeKMksN//BOgnN1/nxLwrUpF1ip6zZObjLKkJAeL0C1RBp94SYOCMVmxuGTHwL4 VBDBW3t9ktMhHftBmInitakJdJuCfQFC640QjboTcss45IoYRKF5BNhvZ0kfb+2y9Mdve3q5V8aC dg6SqCOvQ1qsTlPOfTjJwzeZAZD3enp4NaOxLTwzbQxcc1YIn2vIwwFu9RI2O5sSUZ9Wtv5oys7Z ZyKUhY4zwHkRg+vXwoO9HLarU3VlJWE5BOOTtD7PvfS9okNn9e7aEoSfMuKREOXisUm2ZwC0tWX1 KuLXVt/vS1tQY3KxYGXN/5uQMFqUv1roQVUZOegZS+JVQ+dl3aOfSbw52MGhfiiiJdXWaPpjp7qh H9kaGLoe7qwBmz+3nPcM55QRUmQFzov4oL8wY7m8oU7NOFxA+YspgdDdzKiE3yzwihJZB4yNp/yD Wufbr16UKCEcxjDF49Ykqia2WRzpr8bHEaot++sj1pYDctT8HDRAGSC3TJKbUSja1hudFIPWRsIX VHTukC60B82qM1mv/mrRT94Fm15ZsIS+OY5L4HOb9mZKASy6ZWakml7+drPUeELPV51teoosWWxs N+bO44L2JlQFZvHDO8gwID0L7OpSM4MCRZ81YQZH825PEqhCPJtzOg4poqS2lFmO6wZCslmJltiF DVAOvcSMT7K0UGVNSkZLAQGBDyM0FZJ9t6fG6H65C9rDGNy/5+kPL3U5EJTzHWZfYw/myYSkxm2n Kx+VkSM5jXwLJtM1FhLQ0AjfZCQijwTM+tSg86B14ldGKa8vGm63mcM5+Wufjr/So0uWFiq4yWoy jqnMzSc17c1Dw+yUXhBC1qZKsh82d396PeZYUy/Remi1x+l17o7T5/79e4ThBtZZVi9AFMM15dE2 UZR/W+KtG6oAhZVNtG5LoajHcarIpHFhm++yGu02BKip6ynbTQS6MZZZ1cjlsleLzuJpAD4VqAdO IIAn8GwVcgTbHPnvvIr3OcQTuj3vmf1Vp78OIlFNk46kkS9QXvjz9EI1levju0n8CYnXU7Dgp14r HJgv9/pjqLja9hXGAnmXcR263xYexavCv4zsIxcI5hrtHURyPmPVgG3jUUOotPpEZPnEc96SGIo2 QgU47JM5i3yPXf+2PS2ucXam5M22aIfSqt5XbmVIu2NRj1gQWM7rLRr92nZtdT4JNeyWxoFRG3Aa FjdQ4IWCWty40Vujg+SnDCYSYItUYZ32PYqlIoRZzsQY17trc2KHb2eZtJvbAyWlTGMUSdYb1VBd 36LaQu/3fygW4gqKE3M/p/c+SZLZkZZgGgRxwB5CVlFqyiLj8vQZfdW9LV6ofS3+Mf0VY0AHHW6M waNbO4AkwxSvqRDzE3CPg2MqgtyWxCdB9No671rV0SsFm04v3mwOY7z8JmxQjtIkmHPbRAh5ewBc nqdv9dutqbthMQl0puduLS1qj6mAEGzXokkG5w+gk5d3E/HhkbofR7Y6L1IMAxvHWLAkAkQbXAVS tsA7EWQJQS7XrWNs/jWCfLue/t95jhbg/sSwuivYlqGx7ufTORbsIQxtanPz/xnDyNpoGdr4kSGV Zfz1tQgntrrOnBfsXU2Sqjny0hixf2rYJhNPTpYxsuSC+67EyRUBooRNqhxQWixNdd1D6wd/rcU2 oinyqqgMIf7+dZkl66S9C8j3CKlwRx0c/B0Q72SzQNdxlSL30imJMTdO60YrT/RL2ZcxFdDU8tyS oXFNu30kdobb83xGcLX2/12nOypbDZ+Omcf/pEj0RMVlsI4Bsbyf/BaSKutm/EfR8PW2lqjT9UtT ipfUskTbQq2SazeLP/NXXqR75q/RBZB+fPcbhtf/cAGm7ekWqD4hbbks9voU10e8KLueYSlj1S7J w0Agiv6PbF4e992W4iQwhlbkwXrOgQo7yy38fRsyvxrmZx+VKfYhwCcu1cdGDn1AJ72gmQs1xnh9 b+JoumLAmoPeg7FAg/7TtDz16OM2si7nD2/CHw05vJlnSdhQArf/1T8Jsts4IXrpCnMKsjLs+zNS oYIAz9f117sMfeHWPrxIDjfOWM1d7XEq08RXrQ30ugP75nIVzo+DvZ7KSjwtBGkMM/Yr7iZ3x8JT 48a2Wan+eWgAAK+eXj0q6TCwr+VnkFx+vyQEQcVhSoITXw3BLTIj1D5SR2+anIvnC7AaSxACWyAZ whlSperHY8gLa5SKyIlph/phfJ+6v1pfOo2Lm2mXLUebCSf6QSHPOjHZP/ECSYLynsli+N8jj/Si CGRCXSximJcKiXarJ8kFfMPQxVwerqXhZOH1fcEnCpf3x3Z/IicdieybCbYEIJhE5nqcUPwJoxSb yj14VVYz7vzKv0ewTreOF0FEom3Rz+cJWJ303aN4xuNANcNWzOxiOXrRCDUF1SSyMn2y6evGv9GA zRyrmGjVgmPmsx+YeMZGS0jVFIUsZ3xXkKgnhP1PVTaN43yiw4deTJfJhjReeSxCZuRmJXCzncfD Hmh30/w97giS56MKavupmOkBtsqVPH4ij6hDChhlSmsH1Q3zSAj4t5x9nseSaTPpRlNJzOntu1GQ jMUdylV6DExSUP0KN/2tZTQ+pyjLXEmO8L4HpzWJ466wUhkrakCuM6SPs9lwpxICR9VWOmzJkSJr TSKmm0JdDx5azGJNyQVJYq+29bTrYqsslM3vCPhz/105KGlWlyRHlMqmwluBfv9gAVWXE3Ttm7T2 Ib4IAZBb8St8orr6FPB7TiCZzi8/L5yFkfiBCSTRsQiWrDsX4ERVhKsOEUdTBFq2ghz/KVzuFDWS sxgc6ixJmfLuxVSh9LwhsyrEBEdpXD3a9bvt+TP7zpIjSe/9tu2FV3JRxFd3XjQHi3j/4UOVCep1 q+jO/MtpEKcakdWMX7mJ3g6aRSEztfoQpX/h6eQPS3IbHDL27BqQy5UcewyR/fvkV2qTpg8cyzRh yLcw6GgIM8qpxEhc+cR0wtZhGCYcBhDw40dU59pbRVbpOMaAx/OtWyPLagLAfNNn1g4XLCDwDkK0 4Ef/ORzqOaVrS/hDlkky+5JmCEBoI8rNJdCEkqoHFmfoNhXZAlRA6NNDYJCOQy/i9MxlLdtv1ELN gQw3EPZn8YjSsoCO7BoR3YVfunhyEl63Gara0AQl3DoJzGsBMAw43LCx2S/duztsAOBbutc1GRgC mtxUBopEbTjlafSrVwTvmVX0MeTQcXniWbXT6ZrZKw/PPJXaPxLlc9eBjbjfRMHIQULEmoBLCxgK AV5AZu5dr0VNEh4wWC6TS/ybX1niDq1KenqdYEgce+ErJbiThi+Xn+DPXQbhfpzMbIkkX8ooEcZU AqFBVR7Q+cgK/6seb/u3o8BiQW27TQp7DEOy160GpDA84Gpv6dKamhgXjtvDt0MB7WXnL9FGHN5s QKdMzAD7qRKUSRoBdrxxiYJ0gNdyQt7GVTVQk/Fq0E2UzAMH/kPZ/p3zRV3XwTlE1tj7YNLCN4HQ o5yw1xsoPxv2o7A1Z/J2Bcbco9qNmaUYhkQAYDM85MUNOgT20z+lvIMoGWE40C6LAhwgjU25nauz OXLSixphgkuLowf6EYuEuMi4O+UmCx3ZR5tN1jf2T7UkQP/UQwq7y2pnekM1rOu2kCNWBkjEet7r IaAxAHhCmBP5AHUtFuST8PHGeJwd0LgrYeVU1F0X9iq4hJq5rJ7XFF4BDHELZweckgm0/QSBZT1O oroV5E5QFAArUvj1ovDab08J0PG47ZjDdOH7g8wLJtdzd5w7pKDL4mMMi2dDt6O8LtDiXRc1RPzP TRZOQPUDjxrWvCbl5ag+tAPFf4uVUW0QHerrZOe+iRnLJgvW0DFqtjVfEBSSaiCk5Rkb5iH1EobU q6BXKHwr/ZE6NxDmIs99yAcCr6a2WLaUq0OYfhGaZc5zJPjypBjZDjd4Xa9sHclJM3IBMt96TbWn MGjjYSkwgt6Q3oXkmhPUy/gdKzoHtdpdVDSJ4UkRWBtVxyD1JuYBzITLkX4shUTKsgMRuDlM6SAN yiEQxfPtvrkyGSYNBay/M3ZCLI6qEJl/GRblz7REjqwfQw+7rizC+U6584N6uMLO0Q7o1xX3+0JO HaWEz8zNWAd6cIR5WiBoosDF/CKePWH1bzp9piecXqqBNWjIOJt1CzCtQurFR1mw3wFSYvaedIKV 7iEkUusjpbLdHTCtV8Sdm9kP0KOUtkkgxBTDR83X+J6h6NAhPNDbPlf/1wFRekdV8APVTyenN/Jl 0NhNDBpX7GQzBC1RZQfgO7oZSSdrj2S2ZY9UAplLxO2lzGjyk9bKyvJurgsuvL2LuxloakQ2qNTx XXa48q+A2ss1It8WgGBzXs4wcRCa0V9zUOy3+TdY/CIc+MBDUTHD/tWiylPsMjRAaO58qiUjgZNJ 017/b97BJqI32tRIK6qZi2w8isuqgKjSexDBlJZ/fBkDLR67J4OgZi+PFGqs0EO2l/GMuHFXWH0W f9pEBqAaylX+YXjag9yeeJoBZfOmZ68xmXk2CJXAVy8p6otpFjnl41UFfCzw1xWpOp1JyUlh7o7p IpKc2sqmgCptNCAF6g+8WIJv4qWg4tvtghgBKndfNECKIw1GQWIOOvYpQsHkqAxmJsEyZodalbLQ qoEJzUmaw46XB+PSHHW2L+X48OQ6qw8bA9DoJ0qKLUUsKhNZwm3FYS1KBgp3o6ZbLq40JYSmNc41 wcNsgzvlSLQohqBv53PpHiqG7SHgf5btwpEdDOicRd4OvOb5dwLF5iUEeG0FqiRwW/uAYT2/7xk3 DanysaBNv/8Y7UAnYKJMS4JDs2amM8p7BY/4dxTMwP3xfla5Hm4Ma7lHLVhVTNqf37dLqG5quI50 GZa9KersjAZ0SH+DmFQ8mpw9iC+XgRLvvyUejijlEJv9plB74PFLivH3ch66ZnV8oQgLsmuztVhd PZ24GEGPOIlriZpxHMpO0ertfWoN7+YgJynutOf0kgQciril7fw4bFy/zlN8BIwGd+gFvZHd3t7x OKssRSWnBevZ6m0KwAhq9aCE6fuGYI4KaZb+tCeGtVFTERmg2na6j4b7scsBUNrpV73GShqE2bVE McxowrSoYIWBBB6RhSfzSeNCec1bn8uqvyv+6THf7nNxiTOuqq08P+V9UduU2yZ4kJ/T+SPIs2v3 Tuogh2lD8ECgf/DMkYanWqp6hTkRQ7a85NBaDeukepeZQ4h9JBflpxMr892PVyw3z+gEgSx6r2hb w7MRFQj1IoCDadAZ8UShAfime/DgC6tfEqRWBQtDFkMFtt7kiduvMq/ooMwOCVITBkOwyrq9s/36 fyw6udaR47Fr5ymg7xJw+JCTlMXfqwhuyNi+RX/SzNcT900pkzEzcms7Rbf9cALnj7WhQF9b9KYP 6FtB5IDhQMgPLaeLJIHM84TLbI+dVn4IcWztpHaDdYbEiNjlCLTIklVMRltapzYFKm64LaUQZRY2 9YhKSo64kfvJ32icdhZIvXbeJ9XJJdXSnIEeZ5Lt6x647/LbrM+EION3MXJGtgBLktP4Tt+J0meS w3QW5uZ+whY4TpQBDICY6b/Fs4bkL7xs7MoLl2bx8NRJOwkSlCDRdA4XC7cEmtOwSc3sKuQMTaND s25n+AAqgmcQi3cIPJtsYGo/I0oyIhOKp/nP3yVM060ehVgnX+ROEZ+xuST2NSkO9dwDjSvtNn9n iiIXyUqrD0s9xZgJJi80tyEHbtWn9L2cbF/b3uMz82wBZcoEFc0USQiXE3Wa9XHb6pwX7DKSIEXb Z9wsZl65fr3Sk5o65xJBXDLorZyu0Yo7LV8/2/74L/Gux5XrWST8BNbBDR9sqmEhPuz0mw5kpLTB qnxW8Mana8fB9RXl06RD91svPTZ04g/GQIpf0x6ZCxVhR4swEknnQ9sbg4oM3nj/U4t9lf4dlFHx FPzMxqLLMeJ02/hbzAdXUNkadem6B5q3xHGSYdBYtnNeeiwCGEBPIB/MhEnuIE5BI0mVxC7GBXz4 6DASz4gAhaXEBaEyX/7HZqN/TCxUDqduDF0RK/J6AOBkY6FDKJQ3Lmvr/7ajUE4vp/nGbVHPUzDF dmTdp0nbtOT/B1PyKgvwRq3+YnI/74KweeyLydITFFP62D/YpAAIQZ87nfM3Z/QJgkhx1+AUCpgo ReNEM76IZhXh5zUy4WE/oYDDiuNx+oVDwwm0nRT52F1sI9Bny3gw3rc44hXai1v0ihhMxhTb5FMQ jgD5H+rdsKww6NL3eirjXNr2Bd4hWzCb9DxkxxIGZnHMaW96ed+ubTDnPJKl0M8hpRnhGD4itPTa KT8dCdst7WOuimhTAqKhJrRcnWuse1ZMXMprqHKysjshbhTI7qU2hmgG0aEca4yRtpjQvpZ6uTHc 0gXxd0FeYBEcv3cO/pSyhkDSPSBPl8JbpFaLF9+J16uWPpX08NfuScU7p2UqnWrqLvAgG2sVpL0B mhmcrEEdPE92FodlBxDFaxmEdK9L9B1P1IustIKMq6T0nsIiyC3DHRD3vsmpHgrR0YaqBBJgGy2k XzWeB9y4t2b03NtmYlkYoqDArvHvjmuorwSHcEi1QcbXoNduGvzPf9dsUaHVWk2MlwRWT/x9b8tV 22lRNNGQMIhxcHnHZVWsLlV29A8AwVsCUgKKsuk3uPwidQOdLT99Una8Hdnu2CXK+gg4B7dzjRc6 oLxgRmGYY54h65N79V56hDquE8B6F6z6sWWHfErUa/D9lXoAa1s7ZHIS3iedcWT0s1Trw+48Akds uxfceluCbHaJ3UVKZ6mG+SDbkELynx6w0/xDu7Js8X5NnVsweNjlkzDaEgUp+lgiBJ7/SRmGFpzZ bjsWhpVO1Xhx75byozxK84fFirutVW96y4XNbX+oAvAi0tFXzPm0xnF3OZbvxd3Hufxt8bOueJh+ HGKGLHGIiaVAxep5dNQ61epbz+nocSh0v04J7EYWMEDinojO9yFkfIuK66hvSEDSyQsX+YF+gztr 7S+ZPtM1f7aHs2p5RfNnvupAxWxp+5LzCseTApfQrf6Dz4FBsTN/oPK1d/vmaYlkfP80j+8Ir6+9 yVt2flt2zgVvr87Sg7cO/zVLD2kN8Sdis4aCexTMRpz+POrTDFjIGSE7MWcwrV9dOcIJ8SlxD99i iCMP6/Sx7szUhLS2LHU7WRj2YlxwqhGDcOAHQyGfHHSqoY1ooC9z8lADBagT9SGPYU4QCUSFq3cG GXzRM4zhnlfoXh95gjbVaeD+aN15sDmyiAzdFxVJSchXsrCC+x18T4oTrdV7tJI/r/0zuS6hir2z /iSO/IxqVmfIFynZYE1IGQoGtRen3Nkwbu36eJNJGDKiJ4suNZvafbmHzHJI9jkUn1RcMXZB9dVc kDd7skucMBsRqX9iokPvh2bUYzGbdBDdtNiB1mJI72DryKbxjjGEfFOxjED6K1kp0O3VX+CtjXWb MK+VTDbcZl7kM4QuCyo2ZG0WZavWl+Mz6nyI7GmrICKyNlTORMP1Zgqa+FJDXn6gV6U5HavZUW1H kP7LqvDKBpPMla/NtqaNQURYpCT2DSQvcLvWUUATgS82B6/IGoT5w2RcO1qRRHK6WA6nWiFAP5sr VEaFTooTkugj0bIs/n4b1qNA4ETGRQLlD2Bl+KVFODB1Bn/uSxHMMQGDArMYal7rKSM3zOYH6QR0 717usE9a0PvJMZ7vZ04p5Nn1mWFkP42yJc9e/yuhWVc/v5ETenInpC4bjtq1xyPH71kvu3PFDjDy aHz3oUf0DWCGdp+oC234nGHhVg6x3lKAAPY3xTfAxNQ7tI9mHTLeRN45Fkr5isK4xPg/mvDfELZR 753jcZ0lKpFuFzXYV12RrtXT7f2okx9T6ieqpX7MXyx5MSNCqKo0fSE6+0zEP87EEK82uHFgyEGn fMEeN0lNgaIOGSmMoQY0EtT8qF5+w0b4QSdS3M0KAjzlTag57rsDzSQLydjQbFgqbCg5m4dIJRSF lvgcpVgRH1ow4ayBjrGA+0DuxfaxT/TjPCP5JTAIOJu8xAJmmKkr5sL5d+PqEXGY+U11Wf1I7l0M 4n1OfiDdan2YitdOv0LdzomuE4flLOXqX+TQneDAy2xTOcYAizVdD/cjtBVOE+4eSumG/REkt1FP 7/AztsSUDkmA4prSZCLnplOREplhbUOASxoIVVhG7rDq1qmoljF4aggJ8iX0zAOBkW1+2waAIZcg NjdYJZvmtmuavRVuNVNGVYfWVlk2esEKitTItOFu2O1Vp5eiNLAHqQg+Hwn/qnPaIAkgljf5Qg9l ZeWYy9+ljTE3gIeaNg20nSOHYmw4giitvnu6xsHBZ+PoK1Z8HZ9U89EkfOBwPk1Tn9D3jVySkwp/ C5u6+/9N1vJcyUnvij2W4EH/zmL9IFetipuQGncaxCco3nhXmbXX5TiTHlTr85iYqwPznKuObhdl bwGG5Tq+GirMOWIPSDkf1Hd6IbiQZ+HSr5D+c2pul/KDYrloDW0wGe2x1uS6t8/nMYTzME6rPY7Y NfBA37Vgli3Q8kRiVBITCoI6gkf4/Ky8KGyKdKGH62kqQTBAhGEfCNZNb6HlVFTxL/OzlQMVQOGN ZWxSBgG58yTkdhf5alkcdqzuhGzkmj1c07YTs6bKDXww3zqgWrnb6A10dj6KTfv7lCuAYgt9MifF TbExnj6rm5kmh7eOfJYKOrLPpTHc9oo6Mo0bmh97ZHU1z9iIPwC4u/u4DDFpCKMQx9ALW2ZAaJrz nM+Hg7eC+DHxVObKLAY8cRmALfjQd4KVfXc9c3yeki1Ssfqc+CCMWcOsBu805UfCpQHW+ehUO2aB o4PEKBD//OMvJVEOr1X/v368nam+uCJjZomTTaAbORdxIRXNlgPnWzOZaRh0cvGJVJGoZNSJWH5z G3YWsgtrAf+MPfDrjoPKqT80fYgYVvgrjo0aVEQAyPG8mf6rPb+z7EjZUorFBCrzzI56kvQi/3dH 9QtRehMSNdi/IOG10sM0DBo7X7mb/BgosckH1s8KNZjx2zmremc8J13l5R84zFAtcmhyxPxTe15k UsP7E8LMtmS5hY8mdDvQ3PIP9vNk8x3BaGJ+qLeC1J2z8nVK0DSxthwZafrBn/ACFYNaP5D5YmMP U/C4wKEi9NimPpOZgLM8d3qI9iIRBcz0/QtMJN1oPsees3piKbjQ6Ta/JhX/NJkVlQXuLkY3GdEx 82ypLEP0gzVCnF227j/1KfLoMEYRn8ycDilmF+W2zXJ47Tz70V4Nsmn+rt9wW0gStffeNYPAZy0k zsyUvZRj7Z7sm3EuCj4XSMDbNFvjdi3aJ5A1BArPQ0vSdHLCimbc1qsLU+lw5NWY5PJP4dAVSIy+ RsO9s8eqZa7wQPgLdQbYfk3AbCt22wzlSr+CxFoEZSESyRmZGMkbQwl9MlQmjMQ0nHOXy3njYm95 syPKYoNA85p4TQ3OdLeXNTANuomM5JQ8tVc6ql9cZwi/tlRKO84MXsyCSdHO+eJWOTmCf5ulN4Bf 22LJoKQ8S8RBS43Q0n5tFuru7BugStML8MXEvADsij3g5V/FwMNwZXWhDdAMb1+3L2e6+gZCje1d 4dZryT48TCriLdYFN2K9Ywnw58H+Q9bjCMumQ2dqgYF6WZcJVysGfL/qM0xPGrN65eQIuxlr30JQ 1uaZ8S3e0HYltlWHLTSmaN7V+zdTcS80VUaos8pSaK4sobVkMpL0mK2dRi0u7NPVZlH3tGTdTJgB HudK393Bq6ekpGa7c3Mk4VtypzMt+TgWQgSswKcurWl0A9ZN+DmokMft6QaHjAHz5KWpgm22I5ge O7MKfjm0le2H5Ge8d5YsBnZ12aaVM3ePNNXwvXr3+Zxk4wfF5TIVd2gxIFKdR6fTIgucsPkF6hip gMEqzSospfUtfMf+xaeQwNlTUUK+aGaKqb84Wz/CxbKUDfzhhLesFwwa7BD/F3/WEwDGL/cfzgU6 Riumk5nN2H/v5xAL6bpvpt6aizCAHEAhPstfL3ZPicZGcch1fEISvT0ar9URwwrk3apaXnLuQ2LV gm68uCzqqNxWa1A+mV3tBfWGhY617mR15/IPCn7tLSFklTKoGNqgmDOCa5MGpOgKAiFh18r7rDmd EpKuGkgF3MvUUCmHWNm5500qiDxggbT5b3btASs7CcQJt/P+GfG8awOLBM9Fng8q5buqDNZEWQS2 nUKaV5zRrWAO4lsS0J7ZxVSEQyYrTvT4gE0NYiNwVT/Ul/ufo/2YKUBIuP1gu5YxFEdqR/bXI4c+ 6q0ONKGu4BpOtSm34+TaE8qEjBwH7x+SP7cCW8eaZ2yT+jmeKOAoxIG7N7QV+56PZS76HLmNEeEZ orMx9l6+I1N2IzfNb5bM2KKV7Zx6Ji9IdQkZl0dgdTQ4xiiWa9AlfiFiqnEvDUOR+3ULYe42Gr0M H/Yu2F7d7fWrKXsygG9YYkE7WDK2w+6UZtpUpoxCrvbBO0jz3WcHvJ93oW7Yvf0iS6kgTJc2q+Mw T5whJlNplrwSCf9/C/0KpAlvDxyuINXjvZxVg4vNPc/GaUM0e4M5bNCKxAPibyILnzoolbx1DNuz cQJd9Uv4uGhSgQu3mdcF7E1bHAz9dm3k+nePG/wJOyrG2QSQqAd1dEQHHTvixHcWB64LTIHzfjb/ p7ZHSLi4GqD+UmXtjbEJ8xgorarfrz7YBoycaeL+ANMdhNhvhc6GxLhla3yIrprJqGbp3ZFX0Vz+ ZftjKoD0g4jFSrpgjgLf21K3C5r6p29+j4LmX6kSwW+YzSoex24ddZEItifhiuivcPr9shFIqIXc ZAvS5QQoVloWr51T1FEPtq7fTbXLQ8Cac7VQx6bJwnTHo0ohjhoaPsJiaoGOROrAyEsKF1ZvePYI o9Gz6f1lfP5idfIDIzkkg5aQjGjDWTFvvOUl/0FjEER32e85/fFsOKgQEm0ea8jmkTikM2RDPuWl PM2nwUpSE/gJ0es1GyBMHl+1monKbu8Rl2HgxFRLD9u4LulOVWxP/kElyk+nJHOuZxsNFUB2sx8k id6jLDYZLg8Q1KFo/XBUm3zZ5lXLNDJ33WuIM7PAaS3gan/7aS4KGIo9vUYSeUcl0RbWwfLkHcmL aFx7rSpSKg9XcrwDUxY1bg9xOsoyqkK4A973soZ2FIu2TA7LTS0mArPVCyylyqafKBajCbDSTXqx 66S2k3JbkwUNtWvPxe6mWCNUFK7ro51FeBQYqaBsSKs61QRx/2zvFZRfnA0HrYpPORaEuaQXRjSx QJQbAAY0xPO8Stif27I5JbWFgADZhSC3zVvbabaOECdu+wP3MGKn9DXkrDmcFLuwYOaiIG9a9ft+ Jw+SdgfL27GQJ3k0jKj+yucX9w1D9uP4MIeB6BWsnHzL1aZWCkm7uQrgGmEGKJPxF28C8KTYt17T g+VImmZ6goDyOCBRlg+oDCYgZT8j3Heih4vxIQT1gPlpNd3bE6PxuhcYkJsGsmOC8YGbn83+hHDC L1kDIami4rqfMoEMlBNyWPR2P9FIYW0bnyspu6n/WJES72l4FBxDbNwfEJhu1fKr7QheKHmhdrXT 5B8B2qJ+86BvaGkU7FgG9bUeXg1AVuW14JeyPfGp+5TxL2udQj1iRhGK53kknF1WtfxRiLpP5QtY pgnYD5dgYJ7SwSeaEymlHTOXDwEawTq6CEhD31ib+7LatEEh83WZQkCYlJzmRa9V/PVNa44kbtkx f4jt74X6TM0cKz3CFeL/55VFclCyTPkfaobXfv3ZRK9u57kwBEG3zgxoqqDX66ZdjynilEhxSiem 9eEL081vTxzY8pvmUiUINDdfZMZjxo7Zv5nw6ihIFYSgl1X+MwMaDbNq3KefDoASgLPXQVkvPIO7 O8I7WuPfHqnqhREMPgYimR8ewMkxsl6L4m/+yyx2EAJptBE8JxtGdZym3UKwR5lPXiPJlTPLON3O fP6JGkWgl7z5wcldnDxuvel4oWB8jH+cd6fVWGB0fjPv3OH5ExVd54c5WVXF1szEo9H9Q2Ii8oXV +Fy5KhPd+SKCt5yFXDV1Y7IsCnE74BjEhGzvwmawg1Kd0kR8JqFZuRLNt2uxqqZTPGkTzs68ZKZk GDaQLjyxvoOceySFRrn9vBzS3SMYJ40FqNAvisHbiXDzSFrpEg3o92BG2abWorXgpNtbKGLipAdo pF7itrG6btnJ/22uGm1FxLD9FNyNjPY40yyhcJfum7Kkf9UG/Ll6dnB8jD94W7n9L6hVlJClDsfO PwN7uwHNjWuVVQBKolxLkjsrZDLHXnVMbGh3gv0u0T7tu9I1+YCrZVAj+Anw8sB+ZoRoThuiDtgq sy8YGLi6BSkL/PBYkrXXuUFADVw0+M/cqB3++fYOg6g9EzUqxoraeY62UjuUwsaVjOTxJRHY5gBh xRhJ6uPLRsK8B5oJNyLwxkqoS9kqNZ9KGdNvAaopMJCCPZZuT1Va08MrCgS6oUtRBe71pYh+YDYg vShFCFDHkpfqGL1j2dMpNPJ6pzQUnouNWgwgN1Kho5Nw0Gu/sF87rMHcO/L22xU/oJbBwq3/+Ppm 0AH+/02Jiu0BBgj58OA4qNAWcllP6kX5/wNIBTHV2i0XQbT2AWtbM/Llj+drTSzNy3dQEAGKiRxT dNajKDjbW7j3XePkAfP3mBE6CO5sPHKTpqKiiWsmupbhCaedQswdMYRy8lsptC1Bf239zVkU+CZK i+xKKof4ngVQfyA1yEhIrHLnxcMIAamM9WB9Y0GXKzxVVhiKT4vx5WgEYwvCwLdlpGcfk9xq77T2 RSGEOZgK7QWmYU1UW/PJYTEE1NksOwY+TOJ3z3CWkjzDfJpi7b9zHTRTQjjKzI3zlgZiYpJHyFbd lV9lt/U4jMbQZQPsFTqq+9zgu59M1XAlcoAsHIwHUoZjD3bzqWDlkZUDXcJwjgfBm8IaZYPwO0yY ZIzv5Ikm4egIhvfnPBIqxiBzAqG7hpcK5Sq5XlAfWYOfW71COxqdPyl17mDD+lhaujh6CIKhFD0h GjXEFA7ones3lXupFYknrTr97Gw4/1hx6rJY/ueCXu3/0nXNrek+pk28eNVUZBG9CuijkYCLUUG+ XgCBXA9xHfv+NKW8Pq13lSrK++CWBtENiKL84vyhybxjY98qJVSxtjW863h+uddrTa4Pd7JnRg+J o7bEo1ZZr2Yfvn7Ps4qSTWlC+ZStn7l4rEV9uMfISXTjXXswSXmQMrf1YseNTg2TbDg/iLkg3ZNe Ua3CswNtIDWuwZyBqEDW9Z5+xcKc/vK1eScfNnPFJEV3d/zXpY2MWxHrcBf8xQ1KB+5DlXlX6iGn L+RcFRytBCAN5hNoFRBcrfz2/a/OMpVzw4MQplaRSasGSP3FAf5wfkcbUwHKXVhQ8vPjSiod3qu7 PHf+gzR9VgjSSsLRkRKESLsefyKnkVzxWtj9w1mpSYSxNqbueeEqIEr+Yr6NEbdkN1P81YfRaw9H a170M4DJB1o9hvT1z4QafQ4b3kqdxYgkk9ZtUvTOfm0YEA2xVsDjmUNaxqfOnfSxjF1tHzYim+oG KuyvGTXx4anfntqBcKaw7C51+L+E9z15ljoKjVDQ54pROajFHrRH8+7VkmngU94q6GxSa/K0LICI drQZHP2izBdZEapFteZvadTqaXtiz0XIvQlHY86x5lwi/mk6IB41BRcVC/hruW3xVtToBfT7qMf/ 57rCgW4RqbOFlmHjW8wLFauGbzaENmWNvIO0SwzWS1N4kuFsTMit0PRQe/d3KhE/VUPCD3Sy74TA KGIxUJqFl2vPIRuyTWfmyx62+P8CMhg+4tRBh8vcHJG43dbxdqSPqKGkoQu/ySFPu9lByv8B1PJD H63VsdFQms5qMnNxrhHJ6YvvboJrdTs6z5xbFRLFSFS30vnjM330j3HqOxy/X0Ml/UUK14k+i4Kh fA63kykB2JWttWc6nNQoDHqOA6uVO0AbfzJaRKa8B5A4WuissFGcVNQ1hXI7lIn/U67WJXjL8608 DMZLbLfXs2Bu5SQ+frLrWi9sXw7O1HkxPhYqDuXOS0Nek8KsNi9UuSiSV+clr++v0NlgC6kTHbo2 0vewIvCayIsyUp71mjmfnXplfDYQsVkLy2vz2aE+xM9vdF7+qNT1R68eOHer4duSIdZADz7KSvE1 Rq2VHuFrg4rww4xupgqBwY6BL2KQ1pqRAw7qHwg5fjJaHJVmJlzKIs9P1ULuKV49mg46pmLKn5FS KzxriIfHSTVRq/gbNbflJm0Nh2JjRzZzsvUkPtylKx9Kji1MtXOF0/YfEQCdEbie9mQboI+P+lh6 ZXCvzr5XWKNzmnGHwsRBDTcWLohx89G7h8cBpgiBvG3hi6qrwd2VIHIPMyDcpVVhAeg5sB0kxW46 a7kgES5LcyCy7VOfEeQq+jrbd9bTCJIHX5zyavB9Lk85Rz00yKKjITogd5bJnFsE6TlQNpFm+AsH blIqDJThT++aH2RwXDa6zC5cmJEUMX2HKk/DOEgXDc5STtTI8KK8YS7/gvemIZ6/SPX45Qu9hz6Z tXj1UDPsNGJ+3Lo3pnQRXzqus6P0rW/5tN8YaSV8fxdhEbsoNTDPVRsHMcynwuj/au9S6f3aK3u6 nRMvCLcUqruYYaN0EGI+yyUJAE5IPaDcuYTv3Zl4acjuuQH/yLTsOV7cQGF1/klie1FH798dUymj dBCYZbVEddhZrzsXe6NazFmCDF85wJHo06JWAqmMy5uHcaHaE9Ex8YsCwcayhG0eRZNTYrMgC+3N 8urzF8WSp1Ph7sKt0FmOq9EdT3TeWizvYMDU+chY8npi6FOXJCPB7p1paDMezlrtz8eIB0DdZsFi qxQitZHpJUeePH5thelDKHjwBwYynPthW3I9mCwDqsBbPKsFIitIWrPm+aU2p87pSD6cxrtoltcK uwuaQmq80ufRRKBjdQ8Y6v6FpLm0kNljuSOpAc/mHeJTIrA1xwPzP1ByNlkvic2YPr1Mi4fMdJ1r OPEsIk6xznXhJd1oV2eVPc+ZqqDId1xsGcQqWKPlcBNsh5bGFS7tuR4RynjU6UTst8+OhnE08otg v23tPZbINyaPKwfNl3vv3W7D+wbdVFAQXUTuSFytJ3iRfPvP7LCZEbz6KKA+mgSh/fqdwNMilHvn Y/tyWwGTwpJdb9Vu7HB5/UE52SIJmXxJbwP4gVGVrlBIbAN3YAm18tAxdrsiEBLrCeUYC5TlZlLR RD2tHlgOFG+1RJs4QR5/NJKg9mZ8bCWJdD1IZkCXSl0CDegQj5yHfVCVtbZ/99l1WIRE0LskfaOV aha+EVVG3f/1bXh6ttJbkZivNTmjcMeaNR19nCu0rX/1QcbrS+l5v484rEvnnrNwbpgA/D+EC992 03zCfpknHH4HBNEHs6HYSRRxxY8GTARIABAhIiBe9Xcd7W1FBV+r0WFQLdm6UeNaxFo/0L6BARPp flT85KrqNUU31jPDGrxES7iaEjqLLusqvPIDfWIRLYmVauTEtsL3hDWIxyOKupr/ReYDsydxD+lC 2mxKpkPLNhC1GEs0iCi9xsbV12CnFDa/0FIpk5t18k0ey1hfDOJ9kMdCRjL/2lbZF1i6kSLu7THg 3GLOk7avuGCoBd5fLH6jmWqOXiz881+CLmubQX56HY5YjdEY66vWAKZx7A6QVMrAIychFxfscJqv 2pfQi06eRXnR1W8n/OmavbHF2Cz4csaFOm/59/kK5lkgChwGDsFqKkKfMElw8rQRVp77IKcmSUS+ X3LzTNG8uSEJM9gU6TUnh35acahGmnLP+xqjM1RlGdHTvvKe9xLibG3Kxn7fTsqm5N9B0zOEDYUr vKkkbKYNQIzHuMNc8b1ZlLNr4Yb6EqYnz4OkBRyrFM1+YHCD++QAE56SxbJ/yRFIsK0tysNLpqpf SZeND12RbypugLTUg+1/3lgsiiuK9GpCU2FHFTEC9dpVshfllRAdyXogJd5EqfXcB54W91yt0FmG J4Ov58i6h73+/RcTL9hka0/DNZ5UqDQifrdjjbZVktMfH18v6Mjivh9W21gBaT2afdIiMnQp6Jcm 6iUrV34iaqixpy4wVzaO4fXzws8XBZ7lyIXzKfdIoEIbBoXSncqb8kRHxSg2+y/h1cfCSIvVvibO xrU5auPEaIO+lPIY3S0mhT3CaH5MZNUcl+/vbdWLqGm2DG3Wi3GGu3Qz/vNqcWsTxTyVKv4UCDw4 293kIFbk+Gf8AngOX4r4wU2DYmVerEjMvjNfbd88//mw5hzjZtjhLwShTQ7zMUWMbP0gknIyAMCB C2F2HpaCA5h8kK7LvZ0WxoI7OzSWhYBNFXocjWMPEEwKoh19fqzXQupPn89N/+JBUNzyg8Bs4jS5 e3iQ1LCUIzaFw+5f3smyiqcRSOfnu4rJjwayHEch+P8+nuznGdUIkA+oLWdZu5axOLQHXZ+FT2BJ XNoqGiiR+JhmDshnmkTeqV8Ec1nprzqacgwLuUd4dtNoq202PnepVCCxDjPZJHHZ2tMrGZBJDvkV e0WlLOQ0YgjInSwWPhtp59hF+5CDSrG5jLW0WsubR13Kkta4vEXexXDhk94QmciV9KY224BAWtsX DTBa/N8VlUXytj1930JQf+c/R08HdC0zPLbYxca4fRELFXvIsAcl0SCZfVHLQRXnF+rwsIJCb3wI RlCICjmEzcx/uQXQYNFIsaSx7UBVyDU+OP/NhDK8eScq4vYVkyq3evYfDRF07ZmsJ0xMRbEdNwdU rinShOazHnGOfhS4W2X6jhMvRnei8Rsgah8DTCiFk9afxGsy6ESYbmmGI8TO0cF66UXqdLH1dhw6 6eEjfw7GetG3omPlphtneSXjWMytrewsoqS0iqb1XncTXsij2YPt7M4ZT9OLdWrHE9S+0bXaarW/ eSWx0zLMYCw/7mhXymk4x8uCxf+qcsVIZoJqNLkU5DLRRyI9BFumAvMHBubAn0dUTSiqJ9R38uLN osaS25aN+aNpcN350jjql7My7zG7591aLJ4UgsBahVEs1jbd8CzPs3gxADtP2BzHJjnTc0+NApln lxx6o9zb2x/vX/bXYxop3M7YrUxK1pyhJ/H2ltszzkjySnTlWFSCICrNYgX7xnDacbGVRkfjGOc6 7ZaKolv7AehX6/3S4DO80UwdaGP1JSraECg4bjiSTkhTOfS69QziHhw/aog7Ee8UoekbM6Ddq3b9 TvlWaqvbiwGsCc3AKNCuwByMVu02i4S8L+fYzQXQCMR5nhHdP0T3Oh+zfL42/Z6jHnfNiPSE8dVC ACKSuqKaYjxFtVzQz1e2ZM+PFJVtHgDHUnQv3GuUS+nxZ9DEOBbD0UoeKrcjjgknP4SdkDVqziTj xF3L6SquwUwIRKiNHaoJx+6HeSGtZW6xsEiygkZ1Z0EnnxmTkguu9xWzSk7UWMtbJsveIMs2srC6 IAGvwkPgPOGMETwUm5oVXR9P4g1MwxO/6nI+I93ToIdRLK4Fk9RERMCktDq284bClpC7eJuPjelD 7T/OeOB182kDfiAGHG8FqK+DrdZ5YiOouYMx8gSRmVmoIRutpI/5+gPaf4SioiCV3eXJ64wThiZL dO9l8AYwKHWBQPl2VWlBVyOOaeZdW5cJXa++/AK/9riKPJ2mgYt2TYDJIYi2SSgMl+lJ1zKcU9t3 gg4ejZ26v4qwDIpB2rPeU2v80gab2fptAJkKTSxKZ8DdGI2aAbKQRTb1x15Urp4Pab+MhvMFuJ3h itBOxgIEAwD7WQlVbNGOiSQNOhwSm9UXECLN239xnIwJEZofQNyDK8VVhOUEWoFWB8hY3GdSopEB kJDiy0z0NCU9AhPJ1TMFwW4CiYYPyLUVVR6cDQlnfBhu2XA5oIXdcdqLg5E7C26jPhdxHCHugRac sTus0p0HFhDelyZn2NRzeO6Wlj+fZ1CfgvtjR7b/i15N1J63w4w8Fbo2TZdUpHGZtbaZmIlOgutp QqxpvU4qdx48lNJFyFmFdjPaZyNqIjNF6Ie+HxDHiKVO+Zyykppzy8wTFx+wTFMPx65gRmV2QoE2 VJH9twqu7DpLWZ/zyK6vG0wnwjS3YDtjRR0F1aATvA28v98IPFqmYWllx6ByzcX+YoEP9So/UOnV NHLwZvEJx1y08Dx7HQswXndxgpA/T3DuZx+w7iixfQfZJq/i0uzgO+F3vB2B2CqABopdiBK7epWp x4GQnUoZBnJsUCDVY5Y/o1U11xkIfKnTEQDpssQyIxYm1kAlsdZyq0QBxQ/3CR/SmgDXR8OmLbC6 ROg3brKWLEnCMJobd+Jooz/XDUQ8KNATBWwbj+poZlfc8F24wvkjk6vvKOrkJ1TGgf3ZCIKkE4Al cgxM4NZEQOSmC+hAh7QX5PXyAsSe0kLdR5ecSIi8UJpsjrtpQot3K4etV9rE4fxolVP9UEa1bcwL QARMuWwXK69QZqxc9KVrnRo6xGhKc0bSAA8W9QMobtX95naZ9RYi0j8Q6/h480EAreG0hNPJBNKF kvfZJhnCYHZY90RhmvXk5zbPV9t5lqcKTuC3zPRLZjBpKXNAcrs5Gl7woj3o6y6vqbgUye34fMg2 6xspnWW4SCTrIDJvRFO15ZH5fxtV3FepmNFIXS2LXu7uHuMhZGXkQXggVl8lKT4vyeEhLTFv7fJR /CV17eGumq0anT5cqJwBbFcpftF4cqYcRXWPow33Pd+e0N0xFd5mmUdEW2KEH+W1IL1Y4T4c/PVg 1Ynm8GsQv8aas06za9couPNxf///LjZwuOOtgrTxfaAQbR0Zg6SLX1micZOIR3elK9P3eRfwo3a6 25J9HK6kNArQAM2w2UPFQ+Cdou2FUYs0TDNMmycSTfoBWCDz4qhBqvPLdAxxgo+am6trYt/MMDpf tgueYDBRDAY/xRZgHp+rzvwNoThwV5jVUsRLR+ppdKou/16/ymMiSsHUcNmBdHYdAj6bPYifiWvh TaZIne+pqUO8ntUi8FRAsKuz+ocIrFv+bX+Vv53iKq2r8fAkxN2VI5VajVjK7R7QSpPwIJu0qGmb r8ExUdlMPth3tC2RdNBRtm9W//xqhpcC/RrKv7wvgHWAT64sxBgunOKW8v96FlzsUWxDl8zJoIxO sx9bhb3whJqh45jwRbyc5sToJhM6Bxwd9hUgOJzvbTCRL+hJHMbDwzDBFZDI2Vrj/vzu1WHX+v8M gRMXR+QrsBJr21vjwv+V+iabHZh9gNe1RwGCfG1s/Bzh8u3NTgLOgp6QJPCIqZOF3tFdbS6uh9Xa KNCoD3F21lMXRpyGNknSiJXrSxV0pt78cJNc14a8d8kcjbZAN3GzjrRUYA/bc+SUSqte/Yf+f4aI saZzJZdHPqAUa9Vlvexu8KgbL9eeFICaPlWMp5L9SDqFU75r5mZOmktHF16c2mlLEDGqV3GlX+Gx aHqWitYeK0QDP6S2MQwFU8NGcgKHE2nWhyp85gyMnAZ08QDg0BGf7HJ6AxVWY8QSa4+Cf3V9F6YK brcaJsSBs+ogoS1ku9xlcvHd1eduhMK/sfkU+jXqHdm5SDlxYo5jpzzRnRzdFKZgoEQjb0mEsjp2 HaOR8gwh+ozpG5WYzBrrDNjGcHXjIbvFLHfEvcRohKXuuwfPuKyPNbv5N/3EQW9UPIOAqjQ0raQX mtcT5hQVQP9ibVBeslFVmeZA3G4XJmlRSMhT3OSkKv0sPF4Qe5Qz5EYUF286SJtkiA7A0iZyeikf 16FLlV6HZ/MHfb6KPdKAgIO9sSIMz9Ov3fHpenGHfs1ZaSfxWQq0uNI7ilg300VJQjmKYBfFQ98T DGPDfKkOvcOPD9qvh9hRc31ty825HyNSMbQpUxV9Dw+ElTf1cVN5+fLKziiVuyRfPOVqaP2Abm1a WwOMnq3jd8Qm39e4XbkAuQUTsKvEMKMHszEPDJi7aVHSG7jfY5dhKg8GU6ShnjgpxxQF9AHJ4lHK efi+ZCCD6lUzeO+NUL8Ak5DpAU/VwhX76uz1F6eGzeFDbyf75AeqO/VEqJLokkdEnByCarGBfsd4 eCBDZ87LtWCbV8zcaJw8tZV5Qb1I5UuW2IB0imKChHrdtC7R82QZb1ytWjRpK4PpIYVvns8W5aEs eEPjGoa99sKkgcFlEiqlL6z6bgy+28C2RwlRW681Fl4uc5D3sdV2l0InGPEm9eQO67bNaXcvhP2F VFK+GhpH2vB70oPHbvC6F01TPM8+9yN4Ox8XY8UoDFr1fmVdhTAuaWBgPUIIUDV5WANOvfUxpwjC PgJ6i5rzI19ScQGWssynNe58RzRyvKQghbAtanGesnep6NvA1PZstRRprj3bOFWpW6zi5JrH4PuP fIYLTJ14fjlo1df4HOLQQzynk5MwERdflrsKzPJSf47N5urVqpGHlGLx2V7ehFXnR0C5kBmHHjHk gOE75MlYpYodld+RCrrTiEZsBeKexcZt/GjzECP+vzGCsrlcYrNXETOAE4OXgfq6on/jiztvMAYX yJIANWQSvZ2GpOg1wRt3LgclnmCVLNg0AF0djt+bYrH+vxszhhr2bC3zE8+z0wXoB7tDOXtD/z6A /dfa3tX8ajyaaVUopJdtd0GuLA11sKP8G8xZDnrPucQPx866HUxTVzXKqBrUKhpCI87t7E/aIver hDc6QHbiwuAZR626AiM+0wEi9ov2ZmJ3mZQd35GBKLVSgMT4y7u6SvUC45J4Ydxi5jurvlz40Xs8 dy/tgSSVJssCsvNxaBELYR9oirUevXvQxGm5eUzOpbK8GhRh3INrAANjgwAMKTzsFERD7daw3zKs OAzISiiHe48VWITJAV7pwIJYdlYdzgHr3bIEdQFnLJnVSnl05LMB/JSaHIhZVTUvOoRSZ0g+uSW5 8fj3byXV7FylUULElg7VF8UrogWX26ER0PugR9V/gS1Iaq8eWWYNCAPL4bLyemmqREY7G89qCSjw f2QVv6KGRrc1qchqURiD7twM35P+Xe25qE1IJ5Ac//Pz2L4Dl6njLGR5n5zT5X1nLGj2qFbaXJ7k 27w79TCt/R53Oo3sULr8K04cPPTFGSEkKvMGvLIndpV5K8Siw5Y5V0/wdYKXxQg3WfmzrBNWtvi0 YABi65ZiQQtJsPinyRiXNrhYvgMOPPfwjskujY+9cIU/Xmmyp1l8jKh/c+oOZ0nZLAUB9muXCHO8 TNNjJOyf2YF0Ix3W88KkOwmo99tdsvAKQA54n9fWRewhLnH1Ayo3yxTUQT4NqVmlRSNtdaX8qq0B y5VzvjoTVj4VdeYh+lD5Jx+QQZywI+AX4+a61P47KuvQ3sYk5BYCYEbKIlXPRX29QGvyoWo9535y PYmi/xEZ8Vv+rRQcLUmQx9+M7lr6m7QvqMpsa3eBsL6d2f1BN6Lmy5wXx1Qx2p1R1rxOcg3Uuz/n 8eJkl/iPMvfh1NqwenbDy6ERIzXBMNnnuHHSTiX/n2R2mpwlyavgRXGfUItkdGz8HWQNJengFOL7 VsvHzgjRsS3M89bV61hF+i42FlTcZ0prqoleLXNxKYYk9UHb0YRtVte1dyFmRc6qSzF1NxGOC9Qo CBjIK7e+y/bdcMQLOeFl4SsdBx8v7Nr7gM0G/1uxgsgLdyzLo8IRvAv161vF0qd3o0GvpTKzI105 jO143h/bLYyh43rk/sjwLeCFQr4Gs8tHVna3mpdAkJ1slAvlcFjEACABpkhVwpE87iTNSJRyFlLh 8huwOIx46pIcaMs33W2DfcU+OobiC0Z8LTkdxneYv2JRnNc01PtEB4IzF0e1Ziq3ynIDcpkLkhLG 43ypxTXUxzH+dNLzi8+81bkuwhmsyFmKEe5gWXQEHGFLfkDN1E8PWBFf3agW9IRSUjwXpEvgGWZp i3pvrM8y8PUT79MfOkWUaH/p+pntN7UDfsjHV2d8aoAdmKeEh88ZSV+3PDYTd67DEXCY7rCcNcyV /B8uCDcZSpkYod+iyXTppEjT1K4kuR7uH9Yt8HoD8OnWrMif0FsgJ9BQxjCx0YKDX7Mdqg1zUCAc wzQftn8Fh9l3hXlj5xR6xkuRsId2ZW4Tl+eN2aQa4yfE1u/34sWfZUybtfoDCGSQVXN464CLdins 1C5KHoWo750OgI08jH3KQrjWho/OuCQOdqsex9RJZ6QugcGaUbZL1tYDPPNLCoppRrNAvKnhyy9M gNLVtqw6JAkoglKS9t5jzePvYFYjQdN049ijM/EC2ea466TZAwYSYt/cdCilMCOgdenzVe4RKIZe GmdvyG4ZwtipthVnJmoN711oWTS6lkd7zfC1e01Bfd5i9WtNxeEcnnkIwuG0ievOiE8bsMI7/Jb2 E9jApeRC7YIgEoVsqbb5lks+wJJ/C+RUmReR0WBvi2tcAPj1S0PC3sBpdWW46thBhHFe4dgqpUXz IoScVU6TZJd2jn63/4FYSi0MszBa4ZJmmApWfSCbwehsHFj6RBTheggQgL6KTwVRrW7CMF7mGzmr mCx6CqYSDqd5Yp7jVXlS+bfUtrYtb4PbydDCmHxfNjMHueDKp6K8HKDBrsIT3JtGOAcD48Eoicx+ GwtgUb7vng7bOfGGibViNuVtrWgruwoOuNbFeCbc+2FRHx3wCCOgIRdnRHPiXBtOpuwwN/1nPesU 7dDAjCgmZCtE/ditbGff4w/MtMal81zLxcpg5w6IMxVKKzYF8Mwr6W350mQz58iQaeik+ELp3Itd 0saRC6jnE01kNEldcSQqbAHoXO2HLy1FdG66qhQXZ8JwvnAx5Z/wO1KPB6lh7g+1ixGz/ighOdZK jmSkzsPwg0yj7SZD+BsqS4YDcOdKsD0YZR21rMqzxQTd8PkWlalQcWNHQSd/z15sSB8fe+EBkvSl 1qc4IwWafEu1wWVEAVjIGyPHgLe/alQEM9NPp/smdblzauQBeFijpcoRdlzqt+1F5ms/UmbDv5nH od+oFAWBSa4dyht++Roz6laWZUKZ9iBma0a16kDwtxO/7BbYjWMT/LIcuLsTWvOeQnV95aevr9Dr CQEG7uhk5tPvFzkqJimUE1pEyzKzfk51NnJyRsP3c75OfIKd41MEZi0zxXl6ILrRNpf2QF75qMZO 4NdW/qpTwPPsLaqtTok7HAAtzkdOso1azd4WZdDSbWOhwIvAezVPqVUAYcJAaULXrsWUfm20gpBW jRe9KoWEyS6IsZAS7jcds+mWXQWprRgLa54/TTLy2wNtJ1tQ6a6mx1vEohBc+X+p/yO5GCS/DOfh cfX8778UX47J4iTgvRyaApz6ve5OOWmxrRE24HK8zMS+RzsjAv8T2x3HR/6VjLOoelySQU4DrMHQ 5XWjIsezuyhcdH7IRjzU0TZKqCoq4jGXsDbjhUusvE4pL+q1BgzZa7d0fCzDWqiVIaMIUmAYtqqX fs+02C6MqdE4nbXMQ2FoiWDkG7QADh3QH0UE6x0SI9T2Cs1JHRaRzsIO9+s8ldsqwsswfcq9Iscn y5OcZZ1mXYDF4zjsam0MUdwUlSi7sx7N9KUiobsBybXEITmvtzEg2Pj8Hw3GZNv3BolBTEC2eEKK rygYDJTHtRw98KZzdwKztbXRFx4r/PvBlc3GCk3t1QvuTetwlZ9kQX+q8v8w1h3DlE0ET1c0gHj9 ReGUQD/1SnNmBdHdDIoPDAnVc2tz1QmsSGDiYdf7ztISdHTwP9NuRAU1rF84EeHrilqD2I3TIoqv 3Bw+pIyDZZLcWpy5vqo0WiWEyvU69D1ztD3nQO/hBrFWm6N1vidpGADMXqOUfVDPhV+zuPVh2GFO 23ZQNeFUTogeIl4gdqc9MIaZK8pDpIG7lvOfs+cY9UBhp1bLnPmCKS3iJmL2b9smND2kW1Zvsc35 Ckm8YFyw+cpG+dK4fG9AlA2ueSzqwGKIEWW5265EUn08DMehYsFlamc1z8DK/QD2xZMt2af2aKkF bhBtYN/elnNAP3iY0oMWinHXpUBbSpvudre6FdmClZdMgyA7wF+ZphhBb9HeXSvbo1FaJVZciXyL Wc0AYC0fhoGm2uSQy9+LV9gKg1phjVNpu7L1ONdUxQT6q6GrrZBPW33Qf+ng99fyf/HXCkDikwN4 FJMQidgjgUm7T8g+HrfaqjdDb9DGawX6zgck7y6qXi5uMakEpoaeXZPhsp/0Z5MUFfxmXy4y7euD Xs4q/67ya+rWrtSngmLO0oeiXYLo7j4Nnpwt99wW7ERwfO5322fV6Uh98hUM4lKNNKhkth87Zitd nG33dRvaTOplV2jGMx+DaXI6syMTfwrlrI0dZc6sOpIIdKFEI8B4vrSNrHFkWmNisF5zEktD/7cL BthD1Uu9oVLvaSWzD6b5A8pG5C0HHGL62Kwa1R8Sse2iUg7A1VT2OfGve/hsb3o4wSMD1cA761IJ kmYnwFmdBuHFGsZAuy5qRnZNl0c9ZJ1CY6hPEwAw9A4YZl+2fJ8plTAEoR7pV6y8j/yhuOnUV0pF qpXxJS1U0k3xqH3oIV76G9OKwadmTUk+S3lGWQP+iTFGAw1Ns8ZMW6CatjVZU4FukREQ2ahN7qWv K5NxtAhtZfkUkUL39DfyPdnX+dufRthxoGYM28ILXSLnn+zxyUGG1oC0SL+jU8RYR1A3TdoIamyA INc1l4NgMUocbTyHlfFV/caqwBXGPG0+huBL918Huz71Fa2wU9gFNaM1fDRP+gjvy8oiYHZ2k64x xfD0qmTpRQVMOkFS+9/xL9sb8byhv2EKd4fbvXOSLiu7T4bLf5msaAH/IRnMGdAep89HeScDmcfh SGt92XJsCAqMFCXRFgu2Gsm8GeUR4ZsDpm+xZCpB1uO8oE6KjM/hGqH9OiCt7PUcAak+1C9pQDt8 f5cqN49xmPUXOc1dbKnS4xb9Et1BA2Zlbo/+fxWyhC3KpmFB3Pv/Usq4xjhcywu8OGACgdIYxEFF hxhswRRZ7fOKfAzpdZAQSVXtnXa7K+k4KIIRWvr7z9oh/BRd6HsKmkoXCsC6dioKeRwNp7Xr8rRk GVckd6MKhVBhEbKoPlI1aXH3BOmel0Nfj4UtnGKrDWeEhmPeiQD6FZaebsSXjg3GS9rvUCxismR+ MwFDq+uZkZU+kqk5bkx/nFcOkA4cJ94Ze1Ir87oCWEfMYhId+JczlN6VlD150MsjpX6TegF381+/ y9ys3DYqgsZ2PKIIkUrMeYPK9WzvCp8z9iIJVfKIiYOzGHTzzErTl5JomojU7zhpHC0d7l+hkDoq TR3grbYfvbx0McGf+xsbUFdS3IHq9jUTtQArOJPpwBowWA5YW4+AY2JRG9Jis7ha7rlgUMz4ogYR xbXype+iFNzOiz1dxgszeb+fyP35DCx539eF6fkC8kVkisO4LR4iA/YKKZSVrJytqtzwvQ0p+MIP hhcFxmyNkaTD0DGkiYbKFn85dVNdWLn6E0bRLY95o+X0Zv2ctQJs6A5O+VbtTzqtw250hyWHUv1T UaYgZIRFc/sXbA3MAI++z5XiPcmxtzTzfn4datXB7uS2kyZ2iI71bKxEQYWFHdIG60hGOBQtFJWX FZjnI5B4lZ961Mg6kl0ieUhb2ca8OyPqeZl9/4fTW0OLEQAxIZCsdSbvoSy2peRF0RrnouoKegg3 B5z2Ip2l9Wu5IBn7TEMtVBcDYqIZNfOq7fm0rz7nH4rr7QvMm7ZjBHagnP0A9rsfDf927BoQ7PRF eWRg7WXUlJM3FLMIrO5qGfThPh8X1hMKM0gRDnZol4b0Wuep9bDfcm30nhNy707xKwhfr0DRfvCY ryNEgo0XAKtaGao3g+wOc4xj5mLE207+R3mOXCi8hjd95frYt0Gkb9lttDGCquCJZOEWpOk0vD2e hS2Kzcch32jleHKbzRhLwM3G2yH2EeSzVrKoctpLk7RmqAYVZ90P3M3hRkKT4JxSrhNPA8Umy/cV ZfRpelqXEPsZvwJ1l9W6OU2iMZWd5flmsgMu5Qk54kh7F+8PESnMeIVzy8vx+ntYCHQQAU1gqBK7 /8WDzwktqJZgFehgbWXUBe/wPR+weOpB0FqgDhLvV6RujcUeCal/41CqioZkkZylcUA+zVHxa1MT 3zswH+IIJjLC5BFLMMrWTRQj9s6dQk/koFnjOjeRvqznTkweUOtOjcpxXOQ3FVyetQC3SgAJ4jLT trftpYeaMnzc/sBGcf/uOvkMG9qW3Eo+v7CdVvT5KR6qvF33nvbMfrkzqaOvJzGJzIXEOWpL0qB/ NJiO/DlpHMqHGTkCym+mLVrOeS1nHOIaOjFJqQCUoGnjUhHowHCbE5CL1YDVD044nrjwcNd51fUQ DFkLH3CbKvuorAkhRgumMisaSOmvb6kXmQ/blr9M3Hu9kCENx48QW01D40zjZn7djZN/f7zWUeKl 1sOXRto/jwPzkyaeN+eRQGXhBCRHvknwpyLIwC1+0q7CfJ3lZvnFkktvM9jLBKHps/znVq4SNyT3 3mwXEc8lSPFr5sUey8dW7xIvwtgIcc/vJ9QhYVLDMp4Z69pQ6kw/IJUBxTPiNl/4XFB+eQua6w8J 09ObE5b5ale9J+sruajd75cAKOGJ27yBvqJOjzs3QL9b6++neL+QMrZqtcZ3KBwmuXBEdvniq2TJ gN1fDLkJckn0VXzYlJi95tdISQ9rPjdWzgVj7Eb+6Evr+iHF5bAIDVQt17IJ5uSufO9QgFx5sGKx ObZQ4PRR3Vim2pus0ZufzoPM6rRVEinQ7P8djoSKj6fjdy8vx2thPnuHgO7DGB7yS4HJ9oGJG65y Box5pgXxP9MNGSXqBuT3FAdT/Wcy+w8cBpO5h2dqvZfwQQ3+3MtJ3ml9bcNI07xZl8dq0jMR1Ock lYrXexy8SQuSvM6BM6UnOuhMIujqZWbmShPZ32h9QLl1keP8vKd+yS4BoqpnaU2gNBQ61C979PvL ebY6vRosjq2IeUg8CDD8RBJ3KEixJ65Es93PmUFSTAorcWqK7VdjrrtowFHRa3uIhwvDQOqPe0G6 5b542DW6KgkwDLsBxVHPD1nlX3Po/jgr0N0Ry2hTWvwoLm1jQWo7IFqPVZVULb3kD4hWx5E90zrT kwOcCqnXLNWEYeyVFPUXdEpwYLI3p1ZhGrEBFHxIeZx14q7hoeAekcw9JPrR0rjQv+goOX8YgpL5 /EGk3chsLoQv2DsrBEO+rTZkKNrNpBlnzt+QM2he2oGL670ly5lRlM9ALH0AzCxVlRg/iWrG7sWE LILWFdbm8ESfmdCIAKb7Kp/J+qaiHliIf3tkl57M9QOG00EjaGvW2KYIUQMUgFZG3y+7B2eOsVQn UUZr2Hz6IB965xDrGI3jd/NqpYCg9C3Xsy5OzhodwB1n6m1P6wgneXVEZuEiaNV3dVW8YHz0VgV1 C1IZfVwhuUH+vWA1pVejoWn5JkSTkLJ3SCS7BDN5DeiTiaZBq54s/FeIWUEyAukyCfOrRSGsZarM pD7t3kXm3Qeu71EM7TJaakkzkrjmJSLiRnCIxG5R63R17J68ZWka6GtJJrFxf15ktX/2yk6mtGJE lNXAdXMf+Rn43uDSSDYh8yF+mSyb3nC4qD7FYj4mxfbERyA/AjSbOoWKzm8EfrBf5Daa7IjTdcAs fpgV6Tqk4rIzU/TzCaMO8rXRyHvnNV6ZrZFNhX7AUyzZgMbqMgN/j4xjtypheoHh8oDUaipu9HMa Yxpqp2OzrF0ljVvo9k232cYsXiQwgGkk1tLF1/SS8SOWnXKog6hJRHTI14Cqf1ZKuq9fLfrjuE9h LYZE7dgqWQfaJ2UewJbO1Mi44WRqEscNZTWAya5qdBRy/Tqs0X/RGONPu7UGKa/gcj9R+YeaBhNv usCUGpxnxdI6ewhWJQhMCnukVzD5Hh6AcdDPkO8WVQC2yxAX0LR9pEahpAFpcrgSZOQYO0dZUvEd 9pUsC2v+z4W1gTtLqZocUH00M2YTxpNakdLLWZQGtLEfOeP7psX6+FsmbGCFJhe9wiDhqwUuELfi s39BYik+wIHagC1zsFTKqmZKa3BgEdL6a8moPCs+6/t21kdUCKNmvdaDv23vsIEdtw2XBWQ6zCqk m0q4Ip780IAts3oajkVQVniFKOOvxZUIeRcXGp38cFuaFmo6qSD8v4CxR97m0FRFJ4t3Nx++Bg0O LxYtIeSxfHAPoM53z6lg75dWgFnJ0s2zSUw6WBPcSYyM1HnQRZ0HwOcfX46cjOvzectq1QkW/vsI j6H4k/OJXHA7SUwH7QeXTtmRRjbIFAcYgItpU44a/YmMTu1bZB7Ol3O+XIf9xwmZLgoLVYGhVfXz BlNE4p7dKFDxLnKCetCd26u1qNTIwJ551Xzi26kLIEUDwC/jVllovs8Ge4VVJIPEVZsd1GKxBF9q MpeuJFcj98KjdvmsVVx1YJjSwArU+3648p8vrJyMWmFNKmsxOLubnP9mgA14MntYbB0/WuOCWXtU DxciAGba4ZvTUyOAYJi9JYpOeCHMeYKGngleIcuQ1bzFRnPwwamuJDK3vJnMTaXgSiFyB/6yAs1m QICnMMKOGIv5OC7Ut0kOJ/0Ty8lk4LA1F1wR09bmYaK1H0HS7S2AaV1dUR9hKOTlYEUWWwz29v5g yw3Zh80jlLkKcxHE1WqLCBeQWlCe6FRMjaFh1GCgjGxVfrg/L/kTT37/10mqU1XmUA6PNMGmGdh2 HeCgAYLpuQxRwQFmQuBtQKL6Vr5k3Q4m2yA4xoUdI74Oz6LMBVVZq9iPuaPr4njoOzDmhrVPiOfz o++8bFhpu7qBnw/m7sNg+LNJJWe2WE1hDlnH2qyxFf25TXpP3P8QrlC6h7GeRhxp2UxtBdexYGrb CIdcb44s2Bks5rW4b8KnbUd9s+8MF1EZY0oR/7Nf7cYCXkkXWWaCj2LUa1M5BqGt70nzhPDAYSSu hk5ULrW3KJliLT3uJ427XsPMJQ9l/Pcxtv5EcWffkf1q3z3nArWYjWnsAjo3Yjvd5Ov5KLAI1WDg Xr0lzwh9WsOAn50r1Q1BawOBYa10vPdFgSokpk/DRAfTnFvrJurd+Yh4IM+5uLOXI+vWo/pMPQZ1 ANSY4/r4WxAntkKd3LVGB3pZqTVJQ/KsZU6+EGG5M8Lyxw9U0jevFc0enyyUIJRMNH4t0mW2ER4w FVGZZFzgSpelEa5wXqr15DnlJnubHhSDz6yA19npUWdDQvty6miF6Sid3JNN3TlVzjN5dHRFgMif Iwa25Nh9jUGIpKDnEsUnzyUbBft5UUNz3wbCosjn2pq1F2+YWixPeGlomtEu4/RtU3x2labSfk8E EwWbE3Vu00DW7lDIZJd/CShO1AD+OMoWZaIZOI1NsdcXhqWisg4CNhTXqfJcgkj+hFQPe5vCJp6k W5ijYj4wY2KSd6RZrvCQXMJDBFFcyVLn/Z4OEoFjy/65//Y6U6fnzyL0SOR3JEYy6+xwPlfCxUx8 rzIAp2k/EH7EgKY0ja4nDaxzM3vQwKMhJOVpcdgnVVpo3fgp05pYOGXGxiBpSmZsZKz+jV2zmNfI 4zP+pelwOrLzrZgXJohDMLu/FCMmzHA5FG9zs8dCmKiKffFGPBt88X8t7DLdL+NxOKSVb7mZ9VdK nZxb2Nd/jHRjl/kvLS+cIzjKYelGN7kl4CKH5Ky32jCBcvHfe8azq/lMnNuG/ZgYxna3QGr6qtE7 punMQ+H7VbQLmPxfdEQOFPJ1XtQvqMw3GYdrSsgrQWphzLQJsBboiHKpp1NdDSeRCFuqDejByZ57 3M4uJrZdsT86Yr0p+vyMmM8Z7QgHDSGkqndW7GtOVwFsWimg+VvB0D9WZvlqkW/XI8haILsyDDtk yrGqiXfBfQklzQbl+kGMhJEYGlnq+AqcEikgNf6ERvcJ/d3o5wdDrta/3VWTcoa3q0EphYjQue3/ LtTgwKWRNH5try8CoJAPDyTL+8GDVooC48IZpNkSdBWOQLfJLU+7Rwacod6/urCX0mXwHLeZPbaT YVomIHAO0weRMyL4P3C5LygWEJXlfgqzt7tuwZvzAPkEvdzvsdhkX89FWhNCpJy7Og8p973i7qym 0AfNGO4aoGtFhzuZkeiE+wchU2AvplXNisZ7anEK50jPzimZqLjW2YswA8zboiGOJX9UdcfLz2tq P/qM9UjVfyYwXu/BMlv5whiMYBK9W6uZxSRJAYIrUyHGWRbZA33ry7NZVi3NZ7ShAogDgYWVKfU7 NRQ8Vw4TI9lITp8L/gMSrm4knwyDPelrQpJnzK7iNfdkh4OX6nMrJ3gxnuWYOq3Tv+/IV6Dp8ZdO iFPvfELD6E5iGAusad0uQTRNhcdhWs3d2Swc2f58qfSSP/MVhMwfNLtKr4AvtkJnOoHzNPQElNpM xCwSak6coDLrPMQw1woRTKIVcFKUuR2a6JpA3i/8/R7b4rDF/juutGvJLQU6C59wFOdbLDg2He+Z ENfAXTbmhdp5y7GXdaGyVd7r0rfpaoDIhtHCNbEqiI6BDlNgV27L1C0K/PkWE1Jyt6WkK4Ejx5UR 3UiDKOEigdS/tD5lpYrltf3RmzsCDzQxKRaTTm5k/okdeQPX5+ODMo8+i74R/48PLzOx+C1Vxxj1 jPKpfyTF8YY7JbRMBoxltQVdjXXSvD0h2/o3HldfEkBKuK65cXDGwfZo3riPDqgG3wFNa/cuSH0d Cy6lvq2Ghleizlcedt8GRWY4gFa7o6nlBjyqEYlYvygMYrb21hjKU69LqZINb6Fg6TJdF9iDItv4 ghHkE0GmXusJizFi02XwFCS8dwVbxshCxT6UgQ7jiGw1wHgtvEOcO50A9cToRnLcZKDXoOk5QGM4 2iAk1otjenmWUjbFQRYd8kZ5hugeieSWQyd3EJpb0qRBpJzG24mv4nK69kYJ5fzY0lPrXMmzB6df s4R+EimS8eEFbmbE1SNTi2vcWl6REFoHn9kgkTXwsdegKbDLroo/WmYPBEIi0J5c5ScXg7lADZd8 6dE6bn1AthBIb07M9aPgjtcdW0fYO6DtmCWAMa/JdhHsb679YEVf/FrjlPdXaPTUV7Nt7cM6hfV0 8E2cyOMqnKyQ1JznvUORCB0WKemhUbD1rUws+++nKG9kRzCEtpea4tBsKNkU3comFMnG1kXoc1b/ 2iffyiubEVBFW6Ts4MFQhFk/QbZebGB0OJgOl4Ohm73qtse9NCgQ0rDE1jFuamLZcldrdyogG4vz EkdTRypFcLEh/wwnE7O5+bBM5ITxpMrdBQjOxkmD1H23bRq/oMpVfUrCYs50UXZ13pm1P4wiXlvM S9VtzHwczY9Jc0VQnw+1tsMZ6ph11Hr+s7OC3pOngEYl5Gsw/dpkbny+tSDCTABB5HO96DGRESPw Z60usEvbZcIq0jQnt0eYzT4uhb893S8016UQwSOgolYz1TA/kI1Q9gZp7sDd5bhLkzStL3IKgBRs YhYY/w4UZE7dO/DW3A/yD4bt4TL0Yf22iZe9Urc2qwkYgnzSqeVOw79R0QSdt6JquoQ7LWDig5kM PWe9UYrVd6a20ViFniibq96/HqsK403fALfA2C7X4mun0H4QwzRckaGvlHLzlUMyH27aFZVmSCtl ZUzPZPRwLZCoBOcgM54UOL2jbTK7pBEPC6jh56d7NTsMs/eiPNT3qj29mAQ+dyiSUIKzgr/MYPMp Nicgfg4krzzcymkVNpNbjQ0B+L0wdaZm45mZ44RMy5M7NwFVporDlyWRB4XafwuhJ/l7iqvf8HGy ujMIJlXZQ+/fEFggQMyOxVTPCflbxauP5ACW80wWY1MR1jpOD2dwn1azQ8brHQShRdepT67U7pX0 4PAar8YQzcik92qW4w5Hb+NO+Hvr1QJeKsfTQ0yzTLdFI4ax+a7C3EJFZzSbl6/oEgKLzifKovpu 9owc4KL9IUEDhZKqJaT5KyXcYuxvaxNzPA9YAZGM3Yr5g98Nw1l15422sdOBD6xMwTYq/ARpBNbv 8pGN0UV6kSzB9cfKai+benK8fADj0TFCqKylsH+fR4mXT34/JZ8Et8kV9ugnjwy9Plq/bQMjjWhN /VUDkA30B/+T8IT2YvQF+LG/l96Dnt6be7S9lvAHcge21FiMwasck2ZA7DE7VvtP9LGhtBTjhpOi Yk1GlGIr+CtLzOT3XjPyYGJXTnQ6cU0AtixtFPWskjgtRl6pJ5H5V2/H8H3TF/IFPoPTaED90okW 6GkEW7Z73pquHoijzxTnSFqwwG7glC3M5TgCzK3UnThPUoiyFeJWD3tCdGjswqQd8ldzlxsiJSP6 KJGv90F+RWcz/VOyAyUzgjTFM9xNx2o/774dfRw6nuhrA4NFEvsdINyi1TJWg4ZPYm4kbIa7Lu9B tupJRXB69TZLLGWmR/ucPLC1fKi7MeJCsHcDXq1FKHg2J0sLyez37hT9A9xalzWXeieC2yRposlG ONC/YUtWZsuJVlP3QBbHAFov5vNlaIOXGbmlpOaqM5WfUfot32CYP/ukZiHCEevF3oPQBaGGZjkj RxxNv7xeE1a19h7ioW8NkwfjgLyTXWfY3OUhAGBnZOLo4R2W4oA1Us6Y8fsX333LSk8EswIwzTgl qxePjEuXoOIlgOQrlDxNDiwkP8raU7HvYMjE5AtSJz7H9uceKHffdm+oXtjLP5LRUDWVokDYrAEK l5aX8Bul2rHQw8JWvSxwh1yAPQgH3GPH7jKNRKdqTX70k9xEYykrmAGcSDobh50+9wTsI9YHrqic 0wNlMTrSG09d8APYHZWnZZM0a4xDneWfMAO6AcfsEOblEpk5ND2fNUoRkpLduEEad0RRA3+Mmxu+ kXHwGwQuA+U+XjAe8HuofxYGbTYCt8G56oERjpbgZe9eh3cMufk/3kJIn84LwZjjawwkLsU8uQml P6ugfBcJHxjiPIiy6eSFxN4TI68Su2NZtxjOgCnbnmfkODNZOYPH3p8p7GCT5qfByMWIwHArHwou f3uGPHSW/0AvF3g81sBfgesDR0+N0izYDmDQ1XM6zZNZLXAxXjXlJBx/pvbmtJBqoZeFSMmO73ZS AftzxW2SI9IZ5/VeC0tW76hHz1H0kKOLJMUVn/O1sMvQgKS1FFr3+gnV65Qgbqu2bD0HBld/cVR+ +o7XhA67sMTFYXXZw6HuSJF6WkmqkPf2/QfSh6gP1KEBk/IZ9o8MrB+pTopcCm5hPCvXAB2RJg7W 7ShEZrJq2VgJfHS5OHdC8xj5dRVyynFuml5TWZUZkIY4k9Y/ptA1+79NtBNGImBcHhdrX9Nij+Mz gJ++gxF2HotOfH2x+gM6YFAQxSb4WEWAHwhSybNzqSg1hRgGfsU9sQkvwaflXahan5ANPIN+18Hx yEXx8qcKOF3LS6/V9fKAw426runS/buMYb+oRAk1KJ2IZMFuDQsY6UoTHATveCS6cX129bnaBK5W 7ynJz8xH5+JCh6PrNijwTIP4aEqafm2wavTuDpLpPSxPG2ZQD3B1lVlvBthmWMgwL7IAB+03yPgN tpxB7H/XluiQbtVoKMaGvC/1zElITkGU1Rj9ujmguYCLjp05phv46L4QUcduICv93KtAJaiQAHH0 Xk4IU+eeBrO2or6rvWxp5R30C/Hj8djI1p2B7K9FoGVf0jeutOtHL4facyr5YckJC+TxFnxJUzOv tZ98QJc3uvuFD/Qc+l1Ib09HXY0VfA5tdtY5KyviCzgzhxpMnsTAH53Z36mfJo2dU+yzTkE1JjoD IuyIEhBl6pga1Jzwr1Gs0hLcCns828qEiALTQ0r8sOGFd/TczUiB3WrxFov81L3+KHlkPb4dxKXA COJfHvFGXXxSzXSLBdCGvsP6/u9OmlIvCEB4RU7pDZTWJrAe4LkPXoOkTr5U9+GusGHfFR1NsZha kwihErW0kJj1MunnLuI+QYrZaIE2ORIRJg20+jheviZw5r46lm0aSvlAzVYajG2JVA5DLgmG1raQ saM/kCSTjXO7BoBCmBXgPRswSvz9gaSHnNF4nn/X1j0bTUE6AJKA8gwYCuPZNz8DoE9tivA0+pY3 i3C/7tMTThDhNaHO+4xG/nkFkkVXoZ8j4wl8NHeeshHe54xdXxs+pu0QzxgbFY/pz8QFharxsexm rtRXoAZf3RU8+bD8D7v1X84bt8yYWszi/urI2X3446rPbyz54PZu+ccvqCFrAEEfT0CJL/SxYfe/ Uum563OngB+Fw3HWdoAGOUKW7ufFtIiW1Hq+WZ0VDmqJwMN41YFa0F3gl7d9muck1cYgPXzx2GfF uTvlfy0rFbgzjRXtjfOScehiy8WZuJAXU7ODfTiY7+opEuNcAfAnX5Cji/SWPHLdNW+wSi53kASf 8bTOene1O2fLNqQnuibH+NR6Qq2BcXNt+/4Jlw1fIu6pm0t5iO6+VnMfCeyOtu+Y/fchtblSNc5d EtMP22nkGJ7+WTJM8AoqQ3OcFmi+ul1QXg1i2lC8mEto8YPzBqzjZMh1lTFlv3HHA0swvcMoAPRz Uf1GjHzaj4T4zLoxOGxaEcHpgyVXsHFwgkX3cQN8qUh0SgsqHSoKbgDtXHCZIoU+fXrhFLbG/AIn /fAF5MDu7Rr+kWTWxFInPB54iwdfsEuPJJPqLIww+NQSvzPerXWWSU1yKUNQP/2s1PEaHLi53Zm7 LZvmRwuY4BwELPpuT87/jIM9UAYsNVCSLn1kfMcpazqWnyZTGqYexBfna7QMGkc9AifSn9MgpuS/ PCCG6V/Bq5G2V8nFOrMIKpLw0Jdi71U72sqSj6umRqLlbOzkLTXVCYENi84nwBIiCVLzi3AKeC9r 0KR4D/eju53fNdx9AxUc+L33vp1g3mlnqZsST6NY8WrmqG2a/W6c1b0zi/PxpKJGPeCadSpGAdH+ oyNZzVV0v9JrChEs6r6pSc+NYKrX5qWcqbbQIcNi6lDSmWBGhXRL2sPDP0RUai0PAuy0nsf/muU0 0NlFYSamdf7Svv9Q0s9lDJYKZCOCKrnIrCmrmcV+dHCWqRF4JQ+L2epGyE+lzd9FMwxnJVouY3mp UmQIg2NIdjPRaqsB4xHCrzcXabp+w9vECpyVUDDe4UIcU7C5xo46EDdeVyxCJlXHMVC+RKBL4ant +u1BvtaBFl2HQ6DIPfPQOEvl33RlErGX8m2EYHbiCimnO+Zq4ETNkvy+BY6ZABuGvqC8Girq3S8t l2PT5JcYI8uMKLM/lBlX8hJ6X4PwPJERe7QYoKr8ATPSVIR1t/1mjW/FQ9yf5UIOh+cReCOzX1Rd izxoFtspuSbmTK/Hkc4+Eacu8p0OZQbVnlLwx+5lQRPt/3L3okl3oljxx/eWrzKfNz3u4gOcwJH1 fm3MFzTjMFAdcfsTfKaEcEObQk13bUTNwg1oNY2pUksBeZ1KGyuOI1M+SCG1UmPbwYeZXllGFdnw oDaaCeSd/mnnG1sdchzqtERSpsu8Xfm0PnYFml24CS1jOpvZUYQ+4EUfgfGGyzsC+QKozlRUhNCc JEb2afMnD33u0ZrUqmT/DBd83/TegXV4Hy5jqhgAKr5YR5qgtxS1hVeS+idGb5JIF2emJjJyqpCX MBDJ+AttsCi6vY3yQPZGsNs3SR/XM6I4z0uoCimsN0YIGHwYoAQhaBWCEiu/rKgdFTWl7hIlj3Ni DhZjTx39j3f1G8S8TFiKo2cuLbQBRCbMVQ1ou8dg99n55PtWXFVY/szvx7Be5nu1xa5NZ2+Mjvra 4uZ44IarC9CFB7nmoTr1XCyS7nfSa9WeGYiUO+yr2JQ/xQDI8f2kGMABxZ6hq4SOvDEHstqXmsGS b77Z8Ak8wt8eeCYLxDbng8qqd6rKihgg8GNjzHAAA2fkCT9x8//cRHxAso43wI/BrmtppnYfevoZ 2z8Sh5vsZ9ivPKZNghbx49lzcUPIKz4kMy97TIXQjEX/XLo2xwf5ZZYhkc6KU/0sHgLg758g7XaU S4iMgaWVeFyBS8IYm5RPQjOEkpBHnmnbp4FNnFHIOu1Fon+t3Uvmgyk4fHFXJDO1dkeCjKvB7oZ4 I2Z5ux0ESr9U+ovDin1kofzIyMk7lRpBs28OUOQC0aUyV0uoGOXQBr6zwYQs66jvTk1Wh+5Ayjs3 iNvUvnSdHGK1g80rD8O0SSb6sFv3Jb/hMyJne6xd6Gb+UYYjI4anf/x2pltP6Td8XucOa8S71z38 xFKnZpMJbr1uo991jP6tPHe+KaieXMGMkcj1XTA80VMCf8hl/erAZMxE6e5G0FC4fkwMpo/OG4YU PZUZUDuHhl1XyoL54w41aJfMVqAZQWHSk17gsnL3+e26kqmC27BoxSXmw1IWV5iIZ34GU2A7Wl3k YfhEfPWrGjYd0ULAOhFegctMsGBki7aoAK+AJfLt8b1bBCEMGJfKvKMLQEW9J53fkyQTVwEjc7Rd xH2dsaD7ZAtk7MDvo4smoPGc2QFSN9eMhQ7Z2jz2mZESgUXcflZdfwQZJP3fpjYR2q/m54uiYRGB OXKY5TbqzQ9apYbTFf5urbCS3bs2344APy4bN3DEz+bMB1S78XA+tCvyUbz3CPpQgaB/kJUVS+cv 9liHo2e2CmTqtqUpxbt7icEOijgsC0pITbFMdhYAQkbOhaPrLvdkqdMMlYlarW+KCbWf//ZtY/rp t4pSuCUkRzJlPUIjif8kJycR+tZ4eyhO156NifFGzui/3/n3cuZ6/V41Yh39i9i4mwMXQtd4eyzu qt5TiyBJAV5+Hyf3bHhis+fOOc9OBtdjA1VrCH84s/hY+trU72xJd69NdUcmmdJZcjODA4u87aVf uiVPz65HFT8pjuIdNR3Pd5mvWPoPkUhTlM0ED/014MdFbvrfw2hPQkerrbpcVwYX0uEQgWeyQcWk b0Q+HpHcpkIRQT5ZgH0OxIy0VrDp0zjGrAnDbCaunv71DybX+fETPQ6zwDpFSpDkcOEv7gtNZJq9 gRKyw6XW9qZbSg2+U1hRTTOy04/l6lkOcTsxXuMxK/IEqDpAOECb+Y9e2MJOe1+gfXMmNM1PHp/H ODpofBccyNfgmlGz4fK1p1w2VwN30vXFJm6AWX2lps69o/J2Jt3DgiydR8SFzR7G/X0w2BoPGqK/ eS9OvKGKJWK61pmwsCDBQfnSndLwbbGwYVyR+9C8sDV+VN/cMsMtTfNwcr5b8PnE0vXEdICKYC7t AqOXRDGGWyu2HF8aHAmWT26iqub/Bv3XVB2zSnw1qgRIuu/lmuTTROQroXzH6RDT4F33tZ07Tzvm +YPvSkPvOIx+cvdcgeY2oUNIDRflpvbXhkmV2p/6VixxeyJGz6C7Bs3Qt3XNqiGHN2tfSE3uUrgA 446V9xF4zb2eQlYE9k4p0kw/dcrt4ykfQRJj1GuJ7qy+DMLeTH5ltou1lCy7m1g8ouufEy5bIXXG fOf0EaKBdPhTwMQQ7VLHmvIR9FAkppEvvD8Y4neAyenbNi54FbXC4IKu2d5De20RLS5p/bg8ZE/7 MktehD7ffmt/3zzv+CXiIkGSgrYyi6PRK7ysAio4IpvrYrqyE5hm7jAeEH24/pElP4WLSbHHDkuZ 0Qd7Z1UfhiEykD7BiEsqtYBcLfG2AIrLHXboiXwzYtHxNktXTxgOwM9QnXBnrgZtf/tYDAvRESGq BGGg0/w4cB5fHGIp8f7yZ/+Nt4PT2Ql+ZG+clYryRhaWI7gBbSHcRBaEwwuA9CvA3T3XEqVChzRk aBDhhWj7qEMvi4HUU9dnmKImdbCb4fdjQkPVZg+28aVwF94H1w7KuQeCMPvWfK06vTKS+FkbKUuF XcZAUEbwOquvRWVjMt2hC5L7ExBm0iLMdL5zneQun8+nDPyb9zKbAxqI7f07TtFSMmwoz7PQlKnN QZ6xy5VH6Xq5YZqT7q31vZA8oTEyGK4xwqG4WFV/ZTFwo1uToDk0yMAh12mfREADU6oD5Og/I1qy vuKHJoqObASHX9gNDJ5nGrBcvvRjSRhQ5y8GjDx3m1oRu0ymhlQdLGkeFmscwXwMFEG6zIochWA6 tdwYKBhjWRz+lT1S/jcE6dSu9FndvTk0NlF+e9oSUFmmYeMP23DyXvz1S2WtsCp0DLIV9RTV8e1E lwf41KeAm17V7cMD6HPjENWVmM6kxz9wKfVshSqdTdYyPtYR0yt7cOjo30CKAjZDt7XY79T0zZva cpH+jx1HkpBF6Jk2+yCccbFSb++rImtT0T0+tMhLKkbali4j3QWhRb3QQSue+EuZAJPyxSVvmHf5 VX8gtq5nFFSTATW+9KYeNVDb2KFqNSoHbzyWXvBXEvket6tkXPWdFmnwOoLz6OdZ1Bwqtz5whpTS fd3bZdmzKG+ZRMvtVw/wKEWsjXoL47xy5Nc2oZCt5MB3E8TATPc7iqbLfMJYVu0Y/cthyGPckJq6 zRpDwKieX1AkXUdcP4VJoZ94uZgsUsWwuLGhB51SygUNdXsPTJzVWBtJTBGhPLTtLN5RYD15lEKc qmNaCXFt6WKDDM5OzWL3DwLpJHSuvKngr8eNczLXWIy5hcWQtBmaJ7qTh6yFvUf0Kp44iIFKfPo+ 3Dbf/cRCXv8IE9shdat7JHbcp2VuMOu0Y11/OQYyQoHShYTfgvew7Gk8qcQr8sxWF0o4h099cJeX HUZ5GaYMecRQTRzwiEfbAT0ycyCTW2Pr5DT/AFkmowF9FbtO0zfXgnh9mM+NkWesaoN/u3wQqe5E xqqYX6N3Hc3tBEBrtNH/pVS8FulnexDC1ABR2Mt8RdC0oC9/RdjIfGrMegV5QPjt3Uqg3DEshwhg AgMcojbumXHUU1In3/9apUYX+G3bob5n6D4/vRwY4eEHtU/8N7J0N2842q+fxDQuClgomoQMprvR ouLKlTNqXwUFs3ZWbRdBIlLLtGRkYMcGm1tjFF6oLoGX33vU75TT1H9QFacy6j8p318v3uJk+Ah6 G0XWX8LZlWR9HFS6Om7jK2M28YbD8SqNk0OFoiWxV5eoAx6kPChjAyM6MdTwpNHHX8NY5N9Fz498 UaxaRhe33+rat7hFEWCfJa/lWtXlYWdecbdeWEwhoeDGi53umaXQJAegHs7/MunKZgVQKW3yT/1L o/ZdCOcpW0wqORnVAxbjK3/Daa9E6dqFpy7kdmstMeDx0wsz3D8hfMN/4uBLbG6GYHrSIyjBCiSA dTfY2M0X7gHIIrhxRpqHoF4e3nUeyyyluKoJsBOr5L1YSVEHEAOUEm6P4GwBqjN2VUqs3WnFnXE9 YLrgxVOKwbzxTAKkgPXSXWEzIEG/dbT2fhbInckqpYZ1VhnynTJXRKJrhDaHpcoqy6G1dnDP9u70 440fnkxQ5jLBMShdMFXBP0zORuzQfe65TIps5EgqptIw0cidltepXTxfEOqzcMEKKnJTXtOWcDSx pkYUadr7giMj/s8CkiBcrucm6ct7QO6boN/+tsLwTR5FkY8lp0fWGFmkzL6TrHxPIP9gKGTppjE4 HI//JFL4C9y+QFddCbtQFlJ70bvRxEKmK/ebm3x1C+mk9vlF4HUle5TAMcfIhX9OGXsGG8cWAMUM m/6GTmNpoaGln0Ox/LP7Tzq0IrGDcDoDSzimhLCVk0st3Bh99itIL2Nkev29OGv7NiRdFRB3W3E2 JyNevy1If8NESJtPqdXewxUrU/L05gh6EtqfwLrc7GFFO6Zfk93HowmV1UqR5jK4M8KJ0pQUpY1X +WSqT5WS7UYKGimgvZ/uFPjhG3dSbSqLXFtOXEdqJEBTXHPMco983jPdgJeBaF0b8vfEnOeXv6uM QhX0t5x5CtabEPH2R5RApvcV4APUkyOenxhE+1Fn7SANlW6bQcQ3nf0MDuuvXgvmggBtObXeDdv8 fiSIw+Sd+Q1yCi1Erwjzx4z/C9jCFpZzI4l3jhRV2CWyiJSTl7cCslYCmrPuh0JtazIbgW1fsjD4 75eJgGwvHLwCiH+DtQpIRta1bWwBtLW7k22/XTK6rMQzzxuKnvGJQXlcJ2z0G54ttOgGrfeTtnu6 fsXjo/2JXiN6jRNqZwuGdp4BOpp2dg0Aeul9IBAwnABsJYN24Pdzj8Em5DDxFHL2bgbtt9GpUQjn kk+gZu7I2IVCka0th/EJbP8QcgmjZcTT0ZSS1NMtQusqGuIMwjolnnaD/Kbr+azGZboE7uDyqXYC Oj3/l7lYtO13awqmyjcE21TlL2+dub+LfoDqXGSRM3bCf1fBEQwvhjdl7SPamkqm4jPPmMmU6okl /i7gyNypau66QnPn7m+E5WCWbQtaAB9POiGgBh2EpxbI9PBYkuLW7RlSxwF+IOD2SOofu5U7hJQ0 MKj7Pr7RR1rYCkicNO1PMLOr+wfPujjwTJi2njCYSuF31Wpn3IRxDJP0oXbhmfDP1uqos4XYtL3x 0OUjv5cLmAc0YzzlfrGVhFN0gsxameNvk7XC50Zd6wb5djB55km2/k8dpGEsnEC7lUk61O4cicBX ui+3rQe22roDMJANvyg5Yro+iVHR7z7sX4RXHOANATbbVu1+5fxVRs1TUw8u0L6tcEImFCr06MlT GA8fZyWTKFjpKcaY6Cz1SFOiQ2F28No/NRUopmTxOsNoSS5mGaIuNcvSXfCCiQQYhCwR+BAI8ABg G0fbx4DQpU6L2zirzutA6Iz7/G5fRwkOTuKIkZ/4RYO7TFgHq6W3GaLdBOF/dcp5K15LPoMaSS0+ vijOoab5aD9KRXMuGBAkieRkkgj9ygN88evoK02aGbIA/hwQqnG0zr8NxwrCFYyCMlCkW+U0Qjk2 711piPQhSYRL9x1feFdO5szMuIvJT/4BsnSG0EAI7I/886kLSQ+VcZv12WnzoOFbcoHkU2j/gR7c euOkgmiKOPT7GvL3TEvdsaSRrm1uxF7wA4U28FRAqBn1qyodZvUPBQ1B9rnCJw9319Wd91C3LvUZ aJg70P34OG/JHJqcryxisu0dgkxZ3ZHVrcPx0/EdxMsI3CfeypAWccH4IZXiyVH/URzAEmzNgwM7 dAKTaD9AyOpJG1AqhmBf6bb6wM1qLCtYjWaWhU18Hes/K0x+Zd/sI9BqbsZJsgU+S5RUZskI94I+ /ZJ9VleLbYesVlVTwNnZGN33YngrOJInmnktRywusunn6TYvqsHZaPOZLls3wSCONDBQORTgZbg1 iw+VqBRZjrF5LCMqBOiydKTlE3ogrq8HaxhqPNsyv3R2HjbKC0zOFcVzr+sE9KzWOVSlULyUttn8 P4VVDAenvAj82v97uspZOPiPjRKnA9F3+ahhb+GhXIHzRSOk+GPX68od0jNXIBfUR6Agh7Gn0Tg1 b2v8cVsk3MTuR1RPcbhLGJC+68cs3Bc5/79DWRKfylc0yZkM8zNbU/gRYknA7+FamErVYCPj154e tAQwInwEQTAnL4CYGchYgYClXfnZ5w6NLIuZOClfDGmTE0nyL3C0jfDBjYR2EyKkG6av0mMOkdIL 0/woprkXt+MSDIKA1sSJcx7FHHWSP8iC0fVnemYFB5AcwoWvAHdIfjppNwRbV5S4aRo73dEsxokh VJKOenHxtfeAyh/8LGJBuBU3JgCgNuk/0QEQs6znjPJKNUkVac9PSaUXxmVe513ToPSN//Llo4ne ej+QoMGkEi8MniqCvIuOmFciX0Mf+Lc4n2g3nPk1nEO05JJztDxkgC4ptW5nCr5qSpWk5F3Rbu3A Hz7O5sLp5TKlUjFrWbfftMi2tcneqMXWOnRKW0mkmnhprgBQidvunPC6MqIG753Lr29wAszSA83y kwj5jM2HVLLx58pf3YdhYnZtPuPMXQxshVSbyfvjkjwqAbRFqbxyvZ8EwJyt+VLivu6WDusECuJ3 sMJuSmIPAZFlz/6J7oF0p/4M3HQgJ7lmcuyDJkolnRe10gTYxeeoosl2S/tM6eX0wkrSxUgEKbQM ACGPlWbR0BSOEOMO0MS2qrGv8qSQ3fpC/MqndJ75iaH0Tcq5wOX1IK4n1iT0do2v6Bq4UXSHizOm dl9njvNf1U/bziH4egPnks4xOtAQzBhtZWuxZt0zAvaEb7OCp66QiKKo7kC1LN7RlW4OvRhRwpXn kaFOj4rba2jFa7rLyosrJ9y4HPxrD1/EXb+mS9V0qf2lrE6acmSvmYGTSlCMlvlaItMEVhUCc3vW hSTh5UsdyQFP/CykaRBGGjqOqhwsbY5bVoCKZadWcth7GRkHsMG3zWQzO4AGIeuGy2S4zA/P8eHb vmyBKj4HxgB4J3RiS+OdliXS09YyKGWxo8tkQGLJmC/eaPZrAC/PeHwKt2YvyYujp6A86WnswiDj XEvdHA8DGmydZ4akU+S3R/Vsppk372vKpYbzHctVN6zVbo3Az6m4oTwelmxopdWwYXPJhpaKSt5u o4ZyFUCH4zGsE9oZVuw3WX+wwAU2q/tK3ufbqyAAryarQSabpaDmEpzYMUiiTSVURFky7laKon// DqQcBQ7L8AEiHCs+4+zOgngPRi9MSY3qs5eeVSTKyqUfLyP8KAawlsS96KDATLZFo59Q7goPmr7n e/3xnWxCwyrS0nnLIcuvqAJhVtOYmm4CW78/mhf5IsS0CQeg15yBlMA+vVarn5M9eKUG4pImlfns xo9jbauPn8f0X9z0nCHHwobvy/M+/yqdmx21lraS+Ai5seV1q7gCZlKaFs2vCH5SmvEGyJeIrnTh Hw1z4mxY5lS92dqoGn2/4zCtbbCReA03wIEyYC0qW/BNs7sx3ocLJeJuELrUEAd9f26xlQwE+Thu jDZTxpQtBsyKLE5QzFYZWrr00vCvRY3u3z9LmIkpT9mePYN25oLvtIRZObRVh76t9/bwlDstenFI 3YWy2gm6Yvu5dRZ3STLp/SKPOB7sSK5Q9Ya1TrqOOYo7d0HMoDE20jcvVh0pnOINAZDq9m1sxuhB JlBKB85lkO8ZTSgG5sMrVTkXyRsBdDdRRdXEhQJhGInwEG0VSth9TtSdV/QeVnz/YHAvWYmCtzDH ddxJO3Zmbzo13UB/npUPRbCc8gtKtjJrCZsY95f2bVq5GWPjWi/+Vu8FVVVtiR9VzMwQ0QLbk7ZF 7U9fWNU7ptlOwv8o1qnJreamBpwuFhLDTRh1eNn7jwZ41H3NhB+HSIuaIRweNWG/AsOxpngG4M8x YWN+uza4K1/69sGDPuGNHABNapeR04Tj/uoKrKoEo6njvPNYdid7u2dNAY96lXvtOwnhiFUHt2VE CAA8wrfyuR6XYbIqjlPW2JqIFGuFFY+f/Jjp08PRcPDaWgvW/SyqP6iyDbWBfx8COIRFo/8O9hBc SvUIkhuivq2afcGEetouSUZc/cBgtZDknpmJMzjIPTjwrbQGFPqizh+evDpiZ25+WyePpmGHIqaw 90kGRn+r429JNIboQArBYmaGcIJ/DelqZqTwZkzc0q+EheZq5SCrvw/drk1Q07QpdqReuvNBTEKK cC1U677uwXvGHNC6MS2olDRsvYBk7JMhjBSOytIMAz8Px2RjjEvheXnDbz6PzZ7c0fNv2S3vfHCX cPJXF8vV5O2ganwtflOcMJLWZQDPVlYLLoNaapL4aXqCM2Kf9XMoCGURHv9Y5PYN8T3Oqjpk526x YPmn4BFNqCmGPoHnbZj9+v1HHzbNqyHvgLboXgiQhs55TadDuIQH/CqE2mX3UnXVj30NGk4wXBwa ZdP2UE7ziL2oxldDuodqoE7cUN6PzlD1O4d3Wprfy0Ns7vxHeVwzKFBQeAK+7HlVKbe1A9oghVyd 19+eFIgk2JRssTcbj1ZBSwmKE+7YE7NdLGTEUzQA2AHMizxoDzCSiuS5/8VyXrt3qdImzcy/VXxn Aj4lY0mtffsUSeH7mbGdNNoRjqYjiBG2uZDTOe1LWdXCQB8huEdjpW9v3W9yPg8+vEQMAOOaPatP RluI5/1PoS0c6QAuJCq7iII3l0U0dpJ7gKcQRyD3IEzuqOFcnzTF6vLTrkYJwiJRx3SfYoRjQESR Z/jyKo0+HUg+fSnmYD29bjspcBbAu2dj7JbBxCsTz0fN2n0hAqcN7bk38HiWdQX/M30Gp9eOlTza /92JHST6WRRVeH1GljPIwwMSFo3EuRVpuCgcfkaJgrmmcpqqce4LBM5FK0YBZyD2wVwZwBHhc+ey OrwQQdMVllj9hLzJFmevjmoV7EwmxNDO++V6pkTZhTghoeUJHmPqE91VdGmiT/ObBEp6xwT1Jsl2 Lwofrag7gLqutJKwY0rSc7xh+4Wdd8XUetwsu8jnjJ4IH23ft0EVIF7eVKHcKwLSHu4dwQ8UCe58 89tHc6sjHefc2AChfTWE7hQhB2iz8bYyASQhlCt5mIgbG7yPVc/dkfuVBqDHeeuSUuh0QxM4iTfy 024bFIwV6VlU+e88ybkxO1nKeppwQC9Q84IEv10Eb1pNaWuu1CPvGXKYkkNGBaZmF+DgikbpZ1Fj scTyMPjm11szuwFdjiXTm+FeqHz0mXe/sFn0iAM3N9bf/QnlYot7Dkzpk4CwOourJJZTy970mavT 6FBDLZ9CzQzZ6Mn9XACbqDOrgvB3bsJqsgUY0y1huiqxmIY0s5Vi+iSb2ar+R1VGQ35WBc7eQR/w KDb0l1GFW36CFfKDZ5sgulP03syebYLioDRFKBJ9KTERFdrWw0kDsLKuTn/rJ1AkudRPud2eqRsr fnnu1cElqy1l1CZW1EsKwj7xP7C7V3IR3isiZISztSRjO9KqbTzgoOUJ/DC/bwHVoSzWgowqoUru Ksd7/mcvaJECfB68HCk1hVNaqsp0rxpTDVR9lbJZztCdqO64o2xBJE2SVHTzZ5al5A9lWLP3ULO/ PgrrSnDC5KxihgZE7JnlLzhbS7q9DF4aSpoQjojZqqUxARcePGJ5N/G1eubAGd8ftFisTkCUMHgD PMWJLlCTp9Cd2E3so2bPaMg2pDXIhSO3ttlsr9u+VfDdbGpcYEulZRoIMDn776TO9xDQEOOeUqLQ VNiQ5O06OcgC21SLB3tfDziGZZmeGAJ0dXxT9v5x3yClYMtobtXkVrzZBUIMVclWMHy7dVXiHb0d M1px8gCjz4bE8p0T9JT9FwrR7+MHTjXhHaN9iSeXYfI7sXzr3+TJAcB0+G0SdXZjiGQhBnG2ftko Ly9TsXvY2MqOw/a5nRilwKwTLtKxIhp+WLFLDDjcUB6EXFYGc1QMoDhk2q61yWK/kigtR3evLyTL wZTnbVIPgvNiZL4eGDW+ZcqxNCsnI1dEbhYRugh9kfbTBqvQqY23z/mGiE0eKOlhFEP+a81l0gNb 9ZSEixQ341w8j8i0cpmuUGVCCM/EIrTanDIaOetQf8pQkHxmyCZ5Y1z6LJ2Iv/LHpgoPQAcEbUJY L+gW2092wbIII0kAnzl3oosJhtLnVqxGYNSGz2pRsVZR6YtwiOwsT2xpI2ViN5iYe1zdKDA+M77D a8DW281cBy0ISz0Zzp0fLj7R7T0+SXrLNT8Od+CbvrllOPGWIU8vkwWVXgIIfZhX4/5MbdDyWPe8 vh+PdSTTvrmeo8RhAWhv/RGxFWunLC1Ob9hFBcQdLKcW6Mb1JX/ZwsupSNqvOiAyOL4dxY0DkU+i SV6Vqtkv9eKyYt2YKVWd3NkyFxHTlKnDLTYxgIdqtzA27lWSbCZoOz1NHZn4nGBNEoxOdo7za56d kdVSpkdakDPSwgHTLOSlIxYzWb0UjzHjUwYTIrIGBF/aF57a1iuxpYFIZf6TDtJGDYhmgqN74Oas mahidk7PEjoLAWsVfDSMsmaXlLMTLGGjw0fKnzr7ryBYkn2n+kzv52/nt3Kc2bDtjagePk9Xcdr8 1yoMmrDdxj6tbUfY7537PuY/pwYor1IucqhCr7mexIprrP5S2l9o948icZkGxHb31WU8m06+w2k6 iEyJjBfb4nskbq6kiStTa0Y4oanspbKE3ukTNkpeepzpNV+9mESwxM90yqDB4qryYT0p0/NcMwka ewWZzo6zGUBX5M0HmWoWzDVFCMkhquuNM/D76Ys8HanCsOrfJOGxjfi+4/LtHPmlT0rh2IdNStrl dURDVJilQM1AKCd7I6N8rQvAfkSypiwxz+m5PNELYDUWT6bjx+1V69Rfox6EGupRNbCEszz2oWqe qvfnEH0SEW9JgXG8V+4g2wc1pWmeZEwYA9bho6cmsi+bmUdaBzjfBNqzknR+UWfInhPSV3CM4sLl z51n8TWCl6FcMnHGP5Vb4w6qRoOPRNTYFP/enWsWFTyb3jkUSCtzubhLe8nPy9Hdv7mSOEAkGGuu 2qiCFovrgcX60a0Os14HUxI/lAFLsunFn+OswaWzSbWoT9ou66p+jrwrs6A6mQDVRC3uulo3AbUB SdQAi8Qm4ZefXmAZTTht/p4zbWuK3C3La4NZ5l6v8UiAkme1Ovm7XgfPebPnNSnXALZEdHgt2q6B gpY0mYS2ldd1ogsE57kMIBscLVCSUVPqQpnGGTxL2pctQXaxG1ZYcb5cJX7LQUdEWivW9q3yOBxa rb+RhaNH5zF5T1Smbv/WqgRWXlcg4U8biyfviA279pWCd1SBli5oSrRSalJSez1BxTbs+644M6WN HNkDjuoz9pyGpygHPhTFV4aD13v+P+TNrxQ4Xwn/JsyWh1DNJO4u6qSyOnO3k0wz2CTEOKZaycVJ emKXVQ8Wkanf0FkZo755Vz8L1JIrJxMhGxYnFDKjSRmsXdbteAgYWnbyqt6KFNAqZbmYhq33rdlL x04c94UWfhJDf5nc1IYkk86EMlBORlkRv/vQgKff9Eq9Ifr2R46Ivu676eVavA1uoxADuJ8QpJ5s hXQt7wsN9/AwjntIbrD3RK0+HDcyIALaYk4cvnuB0xpwjpHNiNK6BPPT+lPPTLjpDq/s+aA6S/pi C3xu3/eSiva5+f5XioxhODxGz84D9osWGBF7n9bo/EhdeteZ2wMRnEjkjp5u0HOK8sFQKiw9lrOh JjfAYeXf5q2J37kkq2lZL0Kz1u4NJ7xJgL+RI2Ic9GpV86BZO4LfecCD1aiagCzo0d8NojuOTKWu 4Jm9MA7ybU15GaqUxwGSv9hIUOi3u81ZpmoUCbF2mrT6KyrRceZix9t7AW+e95Q0s50JDIojZl0U 2+gsM9DST3E/Hh/LKiFWvq2bBnlKGOZRzmfMOhUICEAXdQFh2lcGB4VV7KJaWHGqu64mBAnT8QdO JDAnNiDRnBEcJRficuUlulxWVVMiHRLCQlZC3nlnYZA4b4GZ4mMzH8snAN02meOhtKBpIPfRkEAm k/YcRSQ21csOGlblYGQM++c3xEJqbvgM7IrOyzHJbHJmY/LdkgnCZ7+cu9UedYzzSQhHDRskcbrf 59UZ2t8kYc0skgr5JgXwVc7qtzCat4y1iXJulZe5TLZjonMOxvk9Y7qLzrTWp8zJ4hCy/LnRYTUj aFbM/m10yGGnCIGub50z22+cEnIv8815xW36sUdl0kJNHtyqgZz4gXI/mT273tzcs/2FzqJlxZzM r4CmEwFdn+RcK389MS8Q2VcIVPLYCUpOPQST69s9N606rxP80Gah3+Jn+JWhJjulPvC/lhs/yNhu AwcVcKXcB1AzEYEbEs3yxpR7Ol0X9ZLr4UnS2kNUbvzU/0aLvdFSTYjqNslgSnN98+/f8Ew+TtGK VRQ5NQdq2I4rtHkz97OKpkLSikLXt149593zIWW/q3BAqLLDucQOcGpTFu1MSbfg3A/e8ROpUww0 ESOyouLfFEPMQ9U6So6jOmoyY5M1JDD6Sao4sY7W4KtzURnQoK6BII31oFXhgQI+C81oxttgVWuf oW/3j4R14w+7g4r8muTSSvolTGjutMBTDMf5c7pXqWlx0k5aIJQsF0LvVbrIMv45Ejok4bSL4LTx VRilZ9OMkPPBsSGO1CgKswWeY1Jthi93WZDZTXH2AS9vr+18bifeM6P2GEFx99xB8EuQH7odxaqA T8ObyHgc/b8rT4BAfglbnIlUNQ7T4bP8LRscYdfr9WVvYmu4waxHgEbKhVpArKrumT+IngfX0pgo 6EtkKVG4JWr6tTrCqNrlkC4LEo+5PgZcO+C7ppgER8JUKA937yh4vNBLlJqfCC13Pp0njZPGgPhL CpWgf14z+5rfeRVZAD/vcJmI+t3F+LP8yswWoDc+t8ZRFKHchaK+kq0l4XxZJ0BD0vjJ3IE8ojIP 9MLJEmbb0x+plvj1zsZj6Hpu5W6lWYUN9WgmBj0dFTxC6JxQz7RIgh5N50gxUQJ2jKmn2XLUx1IG M04zHlYlgwS/DTSRmhvwbcrTV2RpdpM0e0bR2e1u4Z/r8v4UAHDrm2SjI5QjGmwVIbZPmzrjJeLF E5mTq862pq1vOHgrIMP73TcI9d2HyEqk7ZIaq4WSPXX/VltJdeNQPhImz+Ge4iGCEVDx+4o9ZUUm q6tb3lWRdXmjsuRroHK0I7nn8wDiA3qC2Ph22YH19RLPWexDtynC5u/K5fI0yz1+mGAMyGX3eVmp CMWzUubvpzXcaNXQ5W9wuUw+7hd9vqAv0hgFY9Sgi/BKe8HKUBZT6BXAW9Uhw9d2XJVvmQQt/LCY czbCLXjHkYxSME5miO+YKHjDLf8pmRYlDo9EyTA3Cl0xvvsg5tFhZm82Cy1KXpcszs4LosWXdETj xhRCBxxjol6ey2Ml4jWvQ30p/quVpGjoY7Rrqkp9fkL8T8VrEkv02gR4oFZ6pzMK5Td1I6TNvM1I gLRu82snxYjuSEyCSdZsajzwenYcWx70GNglfGq4PGqS4pkqvnAy+U9zhgSSJ/72o+vmN4T/zir2 K8Ni3dkBhz8uOR3Vr2COMGibRe+5qus9VyrIzMwbvTnurFxXTc21g9yGmcRd8NCP2d0l6slkXe1E N/DaSSWqm5F83hFxnwKh/NyXm6jJMgQDqwQNCB2Ma+P3+6OAX4KpAxabF0fpy8REsrdMT9H0MmTM /JAgVH1nEjpMF5ljVvlrrciHOh/rQORGSp7NSv2S/8NzK3y1Y2p423T5m0vcsBwYHKN3vNIzYiRj V9iNe6wKPuW+GgIky9muhKek+CyBcLqbSEjwSQfyWf6FNalT3AtT5seonYwTZl6mVClc1nz8N609 RphoPUB5Rn3KyH/SI7ftK4ba3gSZPOQSmhpJqjwXRezVF8DQu1muhpGwMeLC1WSP79C/etifcCk0 85cOo1LOZVZ/O0RIUb/0iYK3c3AxW+/I8yPdD0qCelS0TtYtyCgHbuPRdk8mxhKXOa4mIggtIshn iYj0JqXGxpyF01pble0ch5Y0OZBCWvX0r2yplV+4L3DGF33xp77QrvPqVFI2fiki5lV8yROBZ6kL yOlbeBb+ysOKr7IbpwwyQEzzzP0oWpPRjhgqnms4TZQaBjxbxeICJhRxePvlOjx7h/gQNznD8plo GTxREqj6rhU3ZdDIJImJx5PCICFdw4/rtKvqbs/MaEIFTAWLxMamoLjw61azMhs0C3k2oFnPRAdt A9PsD25UlCI7HMX16dLBlflPRIOh8MRkq6k5bKOeCi8arZ6bs7M033fwMK53GaY3Qrbv5iqtA9y/ D4kU7C8q4EAYySX43DiT4MeJMZViq7vJHXpndGQpVRnEpFAj/6Y/X5okXEW3ZHzIyd8ZWO2enq9e Ie+ADo51iSGq0RF/MZsSeW5RgcOJCoMvpiI+5paGrPoB0UbLw1YaNttznUKhVZay2kgYXoUzALIP h7CmigUes8/8z7ZyH7yE1+ZvP3lJOn7WyY18gPIfGBhKmGF0Cgwq8SgP77z7L3JS/gcNZqV9ikRO Usx/nCtxPlXKxCZKShZxOM/PhMpMieDoMIsSn5KXJ+FDleL5b0Mn6xOGQUrMVooWScQ5rGCdmL/w eJpAaQWgQ+A5j0Q0Ad6Qg6CkKm1+tAY0oJ4jbZGlx+iVKWiVxAqnVhFpEaQScHyScioO9ryuWe/F Y32P9YyBHXYbWkG/4v9fl9iTZXbKm8Qq6cN3oQ8tkpJLrtB7KPM8OR/pS+EPiDXOLab6mW2whgdn +VSGIz292Qy7lwXZ/acSO1Izp0gxxtgMNpkDuxP96RLlO0AQIVZ/0j3xwaIyDXMABNm/5uPHvG+A CQRvIshqHYddaXmxBoSGLuYPUoZyQawcVy2mJGODjt7wIv95MrYvyzGd6ZfgIel2Rj0WCDZrFVLY 9T6cAx+pfh2hIMIFP3Q5qNvryS0nUJCl5ELZwIq2/Nsul4hn4wuv2U498jNXtt+SKKH8n8pRGm6w PbphucW7hYj2EnFSy7Ler0YlbXtXxC40p3pUP0ZaGo04pvd47H1bPJkA4OumFNg/GIzIRYbot0N/ ZXgx9alkx/Ky7XgcJ/XwwoUDdCfhzuxNzUCkKrp+TPCtBUbE94UlAp07k8iQp8lJ6WVguhv6I6Fm GMUBlfu9TLQzJBzooS7TtkRgUA8U5/AKxR7zvAzhCUn4oat4+F9B2I8JEapsdxMnPqs2NKJGgYek kbeLAC/7VR2sWw6VzzEKyhrS3t89VlU6wqykCr9cY8Eh0JNyKqP9O2D/XMCnW/R4V2qj71KGKAkI hbUgTCXjnBqAlaThEPi+zNH775RpS2zQFRqJOBQJYSK9HSQD0KORYQAYkYuUOUDJ/ZFwh3PRfYuL 7fh7E+i7pGAgPYPrGTPLZmvgtFcL8eYeZcahIreIfg3f1DUgy6K/UNX2uARQ2hPJAlvTycgsnbZC ooN43IJqcBETr9uj2NTX51IsM0pLYg5fcIUJx2oZMwJgZkhLWxYa3n+ugKGDkDc8vBaH6NN0JrNM rWSZCZjP07fOlpkVCBfcrZEyGNcgDkzWsiGUMRcdzsUbfz9X1AZuwya/oDsOs5K7/Dz2e2uvkwVi 8CAKhIH8nh1oDUKhb/Kp2mzjdYBD5ZLBnZj7v5W0SSNmMnx79LgaPhBxJ/Q9M39b/DH3tIbYg/BS o2JIDEASTCxyjpLGJt7h1vn7XD8FKdOECkm6jD9hmP6ECXWw5F0FLlTMpgttNIUXABWSwv2SI/KN uZJOa2bolJtvn/hy62KBQ957ET4m/4TvO3wY8Jd/vh0Agb0RLcGWO5pusUL6GMgJYsGg2mjV8heU FO5IML4nwefE03T16wtmm6veI8QnIm0l0ZCInioLHMxc64Wc8ubIbnE9i/fHG4PhB96dON2ZDGaU VLjegi2JtAoWW1yJMnCITcKbtVLh43q1POm+lPD1VdQTGCIQ3XSt1GS+A7vOrbjYGI4uidtrFJUs uIVntBS/1ygDaONJp/CqMn6uZtRvBHh4+dPipcUzyQiton9WLoAOmiqkdPjmbIzFIDGrIykkhOdS jVnNd/ika6M0Qn+JQV+ERmLMyKcemi+UuaFUklwl0VYgjsWvM0ry/4vO/JyenkGsiCXYmYXRY7pB oIhGOmWPT2vgZKwpE+qGe2d2HpWgdKtuzLBnn4bwCweBhOdQ75MaZgPUNY8NfsM0B04HiFlFZEbX iElcXtGyH+p7nlsAOZwvhe6z35OTPCVcnVnFSt5XTUhgDxktIFGkffxM8Lcfx/+Hb1Nt1Cvy78My yRZP8D8pdQ+9iyTmlySv/toWzUPV2XQMZu8sAIOiCoiy0YGNgy+Sfa7BInBk8aFuKA/Z7nUjYzdJ zInoheJ7OGKYS9aw86Xj6Vvq2Fyl1eEB33Uy9pbrkXBkBBwAf5hGAaBygWSnmPAjkSGnpeMegykB 8TCWRnRDN6ikmpRbjBX3HUPO+VgxTyu7RVVyzDc0G7VLbjrusXOM/n2T96/BalpvFoOKS49YZvbV 69vYREk+wmU8+U/SuWl1j4bNi9DllWHnPtfZJlBtBLxRR4m+ztGbDjKHecLmOKCDm8DKHBVRLaei bgfFUfNI67UMdGU5drxzB+bd8AQQWBp77KnMG7qa6SMJAnJ4sHRo5U7TIdl/4egukm9fDS+DF1jb g9Pu68N7zczjTdfy91qmkw0iKIjW70lcw220+pqlqcf0M4Pwn+ojNF9LpNNgaIImn3+92wqA4gka lFc2ie5lJNb2wxM+oiZ8YAnCOiO++/QmQsTo42ALV2OsOA3hMj4uYkB7+DDXrrn5bpcmng9Yi2hK I1FLWRSGuUayUZW++HitxqouoTg3SWEmXAuYRGQqLiouYGnNqyvo3wpp81hBBg4KelkZZJA+OhXG 1HmvnIILjzVRELvATaYIYznWyUrsRqMJjMt5JhSTF+IqDhrffQfs2jejoS3tE8Dq9aIHRWELqA+O C7Lc0gJ3MfVan2NBqW7jBhE6wlvAst+QSvTluF1QB5zsFfoGuVoOsTectfd1er8XApDyAeMzW7da 1awLyFnHO8ambyFxMERqVsW0WWpY5sU3T3z7ZUKgdpoBFMsk23NXfHtAn2UtkZSCt8ckL0kKUWg6 7iqHjS7QHuifYyTIQ6pIdmDQchMWNP/StDu8qEFPzi3PI5Hc1T3v+3D7/kHFtPEYbclX1+r8EGY7 94ZVak6qq8kDMq3n3D4oZw+0QGBGlvk+Aw5sL+JxNR/Y8ACanKJCNop3Ax9o6H0gj+ZS4W95b402 d5UpT8xDorh/yr5+B/nby4s8FECtQgUdlVz/ifiOtcPsCb/dJGUL7SINOu85zRv/rx+mnhs3yNPL smXi+Z4Bdm9CXO72iNTAO3jBZU8mDsXfoJZ8frkkrwOF8XoIZW/wKpepgowMaEogvvdm6d3bhI1a P/84M5H43/HrDyF6oMPX6pl0F+bEpJqiuaBRJ0kGO6/W/oCj65VJa05PwAZEPEgOujF7vmWITENC HFbzLpdZypLsErhRVIDhwelv6bfGq2bWqnH7d3SjzUFmA3IXELzpBSbV1G5FBPTAxp4jgGx5/Mme 8MundaczKRPuUAzZlwS3G5+A6HJfFsT+xPtiSasMzJnd2zU3+ItmELtN3x8EaN+GB+YC9WAhxNRI osDgKO2iR8W32kxv8b0QLspMjE1QIDpwaIbUhk1hKy4lR6kAhU/t1+OUAZxicnaHMOWAsmhBt/97 52crHO1rM6DskWcBRuPn5V4VzhdG//3SiQzbrjypInVQJne5CbIysdT7YsHqpMtmS/OzTQZsBHAK 5TkmkLwtWvIc52xbNFW7lOgIMFq5rlErc2Ey1cJnEQoNhnS9ugrqdw6c9O1ottMVUZsjCWtvhgna CpsqdPQLgGfvsZpCuR1tQdo1EBJ/69LMFA9OHaVLna43ottqcv6Wn8y9+jAiYsppvC0y8S5gVMZp QCMpbOB9gaOlfhKavH6n9M7XMxqWs/F9kvKtTKfB59kmyZ6eE+5+J6ncXsvoUvtKj0bGb4HIIxWo e+6afMUQJrAFJVKMQw8HQIN3Y5REwRoHZ54Fil4CdZqiHqowIXRENRfWkLdNQ0u3C3nvc8AP8Z3T WbHUJgIpteLSLs6b8TcWiJ2Uqc5VaVGoiRiOoqUwZJLcroKbOWwchc5OgtV+NIBBq9ThtxvRbO7E 0c3B5CH0/oTwnql+Sgd13ELANq4bw19ASQsSAUHh+tiODDNn3DrqgzA6YaUQJPlJUxzLQJzF4XZl mXtR2ggtEyjscuUt0ktszQl2N8+QsvCtVnerBnyGnVvuGjStA0eFFuX73bMhNWXwLR93AWiFFhpg bfihSZ7+4m9dVMivl+JTQudeK3qrrKe88Cls3oSV6bb39Ut/tj4h/gCriutHnR90g+udzZcGHTrk R0Pc+exfFWyh60IitRb+0PV3vGNbJldVpQZCtKFmSqLZiFGdmGkFesJUue2LnA2JETYNEeC+PNaP oalv0oZ2Lc/kHt8X8NuA+zDwbylNPntEvGaDs0k9W3mzGo8Am4n5FwqVe6chMJLUj8BXQCjaO76G jjqntyV5kVUe81Q7It3HhiiSUV5v66GG5kSqZ+ONIo+SmCUo51yCaQz5siHJk7h85awtC07z4HTv L9kyqArjGh+arBDQmGflXkLPjSCW+aceUCDCQ4hlJFbMmT2IKtU17SiCaW/HoIDlU1IuF/Xgoxv7 +gY0nDYEdPbErVOIiBoYNmG46+r7j4xLryUp2EDnAYj8rICB7veXpDQ2sPrQDGn6nqWiV6zkr5PF Lx2a8O/SpMetBkaV+eRtqKyfSSgQ/2Fz2dTBtp6TaCgMZOy9ySLc1RrIPMIrbZcT9rah9WBaSBsk nuSNqeGgmTgniusQWpoLvYyMXz67c5s3ze70/WWGV8UwLhkwdNaM8+aTvEqCtz1rFM3ey8gMfo3I OZx1pqcyfFQAq6L/3dn/Kwts7q7mgw1939eo2uZdH38aVdmsCLh4cLoQBLPhA4trQYWRwlRLn6AZ H//0RD+Hy8tc8sb5cIw9YpjiAvlMEmfLEuZRPwnvyNlQMKB8e6epZ4tj1RvoYo1X20zfZugR9VYd LN7KsQJ/N9xwy6OJoMZV2OMCiTm39boznLV6ZTo1RPkPVKvaFyDvl3zNijft289GND55/95n2054 WY+sa7AeTrn9tLCgnu43MKc5ejBYMC90dh4ebb9Phqk0pQ9Svlm9hk9H7WJOiFHKNDupNVDe88iD VD9JMZ4VL+lnUnJ2Yq4usuKjgrOlGPBMWFv/0g6uqnT1fC+nqaTgn6g4h+UlJbe5P1mwWKpYBHGV 1yptzRtgbdD0bnlIwdm2tW4gIHY6I37l8Tu35hkXMqbE3km0TpyI5vGQKdBgOjozHzclVcconKLG gLiZxdWxJuIugKkYmBSKW7O35vOVGli/rq+1baefTsmyWEgiC/k+vqmj2tF/AaxC9H+9xoQYV5aB uAxikCWq8GWqTfrkZFlW3zxVqyz7OOAbLjP27crqmdXTsACKvBUdhLSXa9SXcfWwPUXt3hQ01t6/ DOjv5ktfEjUMogQ6yW5xi0rRMqdxudx27J5EWPpZC3OFEs7j6VhYEHlGrvzc7X4xG+cs8jn8lKil NJtYLuM5v6eh+MDA+Jh3nmSJBXADRrCtZcf2r8ZwFMRjHc2mlmyxFetbHQXy0spKrOOgDVpzMZoN XUkLFuo2GdoO/9OLjhCMeXlLpb+NMRLcP8FN44A2cWjQfYScE1vgLVuULUe6rLFbnibPaSUFBe3e 66AOCrH8Zq5GHDj+G6leJK+pBCkktI4IoJi3JdNIMPeSSEWmQQL3snDzsdRGZ00YX5+0q85kI+Zm scfR1JF8xYVlo4ABKtjePpyfwBwovcf9Uv4G/avqNbCkkcmhxa8JX/QP2td7rb+2YTKYmAGYdnLh Ot9hh2opj6mAWLl4IWoPC1ibl76gGSctVf6W/XKD5uy5ILvN8NZvPgteoE+DC/633QCd1CJPqxdI obTh0YmZ+cGzksrQUpyrq6U2NRznN+Eh0TJbGbNwbGWBIVawDS5EtTPbIkw7GKuJA7T7JKjJG4lp aTDwhOlblTSBUEUgDEYDWmK+NcrhhNPUudykF+eSGMJi4gnmlYhWCG1XELG9CT7+f6RmGhJ9CoOD 4+L+lKmdhlsOWLZ1KVjyeeNw3v9QEePTXzmsj2jFnzRcI2ZOeF+mem/hC8zwCyR+nkCUVw44gdAg EDFvJVhbuQh8ZkNxCpK5JsAfcTet1wclUtoFHEJxVcp8vnqPoLqVPjARL8iAUlEyFZA+EPFfTmMZ lmZ2s3oq5fCiYOpXcHBxW7YIpujDSZKZeRcltQJjWDLDP7Xr1+ciXVaEcjTmhPROoZFAyme1Riek GoHGV0lDknePeGWganoNzEObteSuqz+4D+CCjzR7y9lB1WAaB1feYCHgn/4UdGDESs4Yinhhzhg6 4+zvhb6OtJzh/LuGCWPX5i6s3CFPuJHSmKwlUKC3LyLGzDMhs2AmkLr/OJnFpb/Cw/OQoQCN22I0 B4iaHQmmo4fLzDt3C0lAqtxuLb9QG5+ABUXeWR2suIUrQ5nR5VaIpaBqeCOsMK+89A5BRvTEb1Ih RrKLEsSAuQILUvw4g5lff5QOiD3Jt20Gehy99601Sqr0ofOfo6tvT1yLsfIQTHxSm6mjgbBa6LbB SRXU7toR/l33ChMurNY5zb4rJJV3WhjVay9WEeSSeuI/a1JXGwO3wQ8tGes/W/luVQhztiEegosA xsdT0c7UVfTon/oUQFSj/rj9wLm26Ydx4/mQuiQ06K4+X3WDK8nNdGIPgPgkLu7KnNcgTxKc4nbm 8DMeItPlGGFgeJfeVUY4zCBQ6f1c1cpSAmMdp7xap5wRppti43NpjE3fBvfcWKfKUSx0rMMyyTox AtZcf2wKTYriKHnDS1G64SlO4/5FHZQn3rNVJ6Yb5lP7g6gimydrGiJTlxceImNmTgTU+ZgESFqx resYXX/92pqLFYgCWqLwC2sNRgJ4Nduo7ZhWBgAUfGY33bVygpM2TRiaaEGz1ftbfYMNsD41Iptd SNX8oh74xd+ogpHbU2bAQ4/5/2f/sf2DKuKECxA4lq6nAYU3VyZ0gQ25x/2BftRoQjFOAeKz2G1g eG4+wPDau0mcLXSRYnakdRr47nXhimcKGr/wmY63cwZEW0srb5auR4NTNFLGuM8SHAWXOiYcpUEI y1xsfTbsq/snZ+5jZd5V5G+ICQlTC17E0qr+rQuE/V7nzx1wNCjTnj4AaTeyQFwbmsb+ILaBlW6u +dVCr0ubsaZLYuW3g5hoEXlgHfBJmj4TmHsGT0g+FYj7O218oSwr0y9IDfy5eGsvEF3cbJ/2nYiR VU76sEB+QGcegTJJcZIN/JVi/R1Yz29QLUNG8++W2v+RrieJkuPquz9wWU+E+xP+7txsNau7psHi S3Cox2sMcZ2ksl/nrYA5nvCBLlMBMcLYeewNKqnegVXu+uR2/O15tTZiyRmB8B4utajzhKdsWHsA XxrWRb/OSNE70iKEOXi96gG+BUOxnucTuFGC2BN26yc1N1gWT5+jU9FdGh4mqz480hjvPiaE6UXz OC1KT0DDGABUsf0s4hvpxq7VK7m0haGAirY36hzxoBqy1lliqaXvB5Yda4Ky3tEoXKRpM5h5Upa/ dQhx435uuoAP8NpQS5IXVVH+zR5W+TOk4orVLDsAfjS6DHA8yEqn0ils/rB+kaBxeSAsULv0opB+ kqHxDxiPen4vdUty2vdyEJVtJbwMr8TsvrJU7VnPmFQLncnARUs3Tqb7kFZOA8fjydrXkn3+IhqR CvgMLfBLoIY0CpIBogOtKgmD5InUX2CjVFliAOxFhFpIIGkHagtnxs6ikXw0pecaPNIfy1G9Q8Vc sWDxVSmqxFL1jo4kNyJB9rZUSbBvalOFLBgtYTUxo1yrqvAkuACim03iq8tSnfihcwPnQCF+p0Qe +xnm5VTOh4zi5Tz5VYP/9rBK9hk0LV9prnCjSjwHToZAJzj2NleSL+cmmIbMxbJ0T++a1lOcqc79 W40DZDT32WT+CMKxej6uOi7qkyXxGzN102Wf7iAlhpZHjNMCYTa/ldwpuvHw94uDnGGZuBRFVeQ1 CljJQF1aXqLjksDZgkaXIa6N44ylgFZ5lQanWF1zL3pVVcfrzG4qupC6Sl/tIXE4duUpRH0HAtmE jwb7I5Csg4z+KNbSIl71myTCK+lwyLvigx98KL7O62mjka/BAjqJN11SuVrhwFrcMpVWoJYj793n 5PqtyvhMTFlKZhYp4p4F1+10x6IbW9/yLxwbmsg0mQCGg85++zxnjlZQPyzhDWcurYWIpfaEO58P DRkw8C/jNKouEQL8luLBQwLL1dlQ39scrYt4mohIi41AMwo+ygfYBRtBulKHqsfrZabTwHFhvqEg aGl+r6tkRheUH/ajlj+TE7Qe9t444mcISfocHmmE8w6wkI2i4MXLZ8gQqJP8Yt1leUFR+i1jK02p Lq1OpRFbml/HIXwzqxtoswXTz7crSpabk/Y9jpvjpZ/Yq9CzLfrlMf+Ss0xrvCDIch8Xd08rUssk LmaNUgumBwgkp6l3pw7b1+J1VQPKedv1xwzhMUlEdXcCkIGePDle7qsO1nSO4+jgCS1YbE605Kig RGfbODaBDaiBV2YXC4T/d3ocH+1gm5pLC7qP24jB7XB7guJuJKug1m0aO+l/Lfb5p5NI01L3GIbd O7t/jjSDJFHsOcixPPrfsrzANpYEZZcbcUmb8GGSiJEkM8QYjgorw6wO5EV+DJOnF4mszjUavwI8 hMQIu6e/qi/2TeMIeCSN5iDBdlU8GcOqGS2U3tB2a56t81JLHs92VxGQHdAi1xY2EhuASkTJKQMK t21o1trbXZvGinTqTamO3cFYWfr4xU/sP5vUlYWF4iT2aYN6AYVPNP23L8CIapQaaKX+xwuz4bAS yX5mM3TFIOd5EBuurdsDKGnDzfRyV+6WkX3NrZV9DIMqKhq3pLV1YGLgQPykF7+IS0Buw7h8AvY4 T94Q7leNZB57yr9+iWMFy4MXr/K/+3eUzW94NyrqTOQAv2eGBC3Ay9MikFFOPlmUxZ61M1i59tZU ZHhQvoiaVXq428KugTgcfZAKCL8bCGyn2vgKNhcFMDjDrA81asZUiRSwbKUtnRHbiBAhpvME0IL4 Dfl/WPMZjvUorzWxDB/QO8a8VxqsChOEesja25NURqgJq+BlJipx13fED12754H6xoAmTgoQ+iwd CIo6dTHp2OX0jqwoAYJD19HQfAZzSAEKufmRSGpb1WI3a9pvchRgp036stPItNpZvg3TOYs6ubL0 2/O5BMTeu85/DiOuiI/HanZqnxWrJaYpTEmy9ZAxBwXbNDTG4ppbtjd2TgOuWddbaGKuSwxobA9M 1smSJrb3TPbUzAkQLd4hH3t/4mB5IszKdHQ3Tqjx76uHSJlMcMa8lZec4Y5sOA/8oHM7K95jjh0E osgFf7bKygddyqhBw2oDR7c1MvDikEFmxbrDSfsWZTgojSj5V3589/tVO9WUQfOo64IrSOLbFhde gsjDd7BwUKEWpqK4IW2W0+4ewFXNipnrtNluK2cSQuOhtNrCUEMy7LKoUmQu9SArZOc5ZY3Jp+Sh A/CfqNYPyWbDYZNOxL0BqLiyEfzboOwjtTUwlEswUJhqDoklL6/Jnk783WxB5X6+sxEKiV4Gkn69 9BJ0ztP/8eojqPP6lJk/ENRyNQoJJrDe6axpJJxfzA4DBJCXj8rK0jVcesUsqUqv3u1My4cbNvZy +q3jo7HUh/goaPo4qfXs1jfMfWKfj7vwKK4DNGQbk8o0lzmh8mtXlzvtZQwv+7YSWt9oWNS4n41k Ihsx0+/5wGJL1YbxYh33Z17YDnQ88pBVODZ4AVSt8SJ++e7ub6kAceQKiAd89Pnq5o+VLDaAnWt0 9eVZuXAUTXIrjK2FzVOWVsy5Yk4pJMtcS293fiqQFBTSQc6ZAhZxqS+HMYbhpX9GX+FEqG5U+Jfe MGtjTFq4DSquVxVxniSXrCyX+lWkkV1QtjXZKWeRUGaXxQksofD7pBEXPO8ShMK9BiIXXqp5Stvv BjDbVeIPa94hhWs+hnyWW9FEwlW+x+9vxSp6aXo8CrAMlq5ATmrWYUN0ytMmzQFAR80wOeQbAe4B SoXNVEL1znprS9BEWkTsv/xUJJL5B0o4Uf1MkvygqIHMaJalDW0ZXRMsXAYHtemJTSVTfmO/Upd5 JELb8UWV03SRZOkxW0GdHG3TyO2HS1Ub74hiE87XDzc1bhAHasgwDo4Fr/J5gJUc1LlBSAiqlqwF 1xOoQNYgjEAv9p/X0cgqmElwVZfksYGdiY34KQPLPkbS0Ibiuft/ORJZyxb4QOiREdvvOA+R8Yez M+j/lfdykW2as5cFFClP+sBunUMbav/6K+Dg37uqok6lWxXBwyn2zw1UdIR0nPWCxBhpyEMcGSwL YnlhgtFKQKeJ1vZ4ex4jUJ4lxcF9Rn1yzAtSVDnkeIqw0tnF+UoX7MHJ//9OmSCaPp4Uq9O0nPGT Lu1wlt/z8fF+dNFZ9AJDNQMV6lhqDrrV2k9FFWJVGrwAjHBn2P0782GecpWgiWbIOomQZEDQ9uwW BuE4Jddp9F3Xq7/ChTu9nDvYLQEBMy7Ul93M9ActI3IMQe24X5cA8Gq8ia58HVMXrqmiUPKGdmy+ BiWVlWuDEUWxR1mcWIdUEqERAkMSSZ27Q63AFbWvhn440pIQsVpMwh33ZDXvml4sJ1b/WhKOroDq CyUMLPEudqSVB6s9ucIkKVHVAHeQd1UNkZ8kg+KAv12gOH6N5bvAN4xHeowWn0qiFgweFmESR5gE jCT5RCtvInaNPhDYrVtXwJHk438cLARod6JWLkRwildtFHT8ahle0swCO49RGPjhN82cKKJXZdf0 Wx0CLfWP37If5HAgE7zvO4Jp6IlsaD24uYnHNfsw9XQZZM63htFgn79RB4yjI5GAU40WfzrHOgJN PamLLHlsLJs9JRM5N/5HFjcXqpKQHvJvTi6Qqd9AyFMy5w2BDbuyBLmF8WE3ho/mBupIvtcCchin 8x2Xx9dQdCxxoaAk1+pw9RqJwSsJSET1pAnMb8flfxOzpuacC260h5xA/MVHIq5nSD5262g9bA/w 2QQo7cEWvH8kzrbMat5Yc0Gb3sz7nBAWfu3m6Lj3+9KZkMzduh2JGLUJp4w6XLlHY0MkK0S9VJZ2 jcFkC85pJ5tMZYBxN31rtzaJBAetjh2CNfYleY56dB6R/svlBE4bs8jHw/JrDZs7T09MYolBSjwr jo9IpqFzuCagbVAj/2S6K5dsG3Nda2GChbSwCNpOzM5ekmmvkjImR23E21N/yqvJumshJVcl9sgd CbtDLKySc0g9oNavccKyUJTKE2YFr9bIZAOfbkqVObWzQD5VE2Qzlb7WtnqNgmh6ceO4Q4yxvUT0 yGOZXydrLlHhk8R02qfZIyEjXws0BMp9LRSENfFbyCW71MZnkVngUABSuWoWAxfg14oBaNjUswZR sZVIveLCjNbPmvNDbZ9HdOnqI8PxBxTRIney5QjMEIa0hLFLWgFbt6H1rgkcJl78Y2xE7ICMsfgM uaZBRzIWtamaaMwfjx79X9cGjsV/PTvuPvkNoiE5xznNI7zGPj8VPWmkYrK0bZh+tWWOtQSyO8AH Ms6v/2CtA+L8r8ltI3J8to6/EkoyfoTai+AHSzeOr4Bv7BokJBAiggRPqPa7Zos60R246loDB8jR xpBvb7yX0r6/5rGceAqqHnDFvyr4ne7rDndBaGrB8Tn0X3rcFtjjreT/PGLO/6QwP+CJ1ecOf0sN tumiwqsHQSdsoaTP2yDPRUE8L8yz0HeWcbGlBs92scWKlA840lglkPzbJGh6UIhZvCefOB4Np8/y Q5GVxOKZQ6/oIQjzel9NsmSpIopQpG7KeAADUxAI8kw6QLZmdGO0R3iOf6tajwTSY6OfrwNIqWJY J6lTmpFVK4mV9q5NnRg9pwszso5TOtQfucDK0h6P7v5IO5u7q49XMMkC9NrQveh6I8xYtw5i4uN+ wWnon6NnqKseSzcgCi1IML56iviTvOhEWEwhXyb09ow/mu6EYgoHmU/UKbqurRGlt+9+iqkFKpkJ HdDBcdPjs3Aw1tGqWtewSVVA0Yed2FN/rv4ZStH+mMerX0T6syEdYB8bXZIX7gtdM5t70Rw6DYdh gRwAWpUMNljZHOAxuWHhQECQaBAh/5ricw9u293GB/3cx12BbJzzCpL9GZrdav9SopD72dabEL0O 815LrljyTbnDBVGDs+gwWjOi6RunoHo0kD3f/58ZRZ1qxzRBN1PgE60+o+FSL76+S1rio2mlCYXO L0o3tL6ZQfE/HYp0+gxBJ7AkdVUw6Oed3OLGxGfhhtftuayXse7RKf6Aa41NtiOCvinaedggXtJ0 xHBScFv97R1aewgDn7wL8mgja1uOMwdbHdm+21rM/VzEvBdmGzhPREhaORpVoCCVVXKZTTLC4ZUY Y5cRoTcct16RXOFhMRqtf2bKLh8v7P/Ho4tA+YS/BYaF9TNOZhNZ+iJZtwpqODNK0fKQccCtU9fZ lEmIYzb+yqfpRYq0014I2BkjFfygywtZI1CU1kMR7Uqled1/4wyErRxZc2wc0DeTvbCUYJ/F+2QG pdRqB8sUP3Tlv9ehfIqe1bjoxsOz7GRnny64Aj9FkAXSXxPFiJ21ETQYykOsDuW3ZLTLbdQT7kdb LkOFzdBLlmzVzj5myqJ826ktw2PKq9ybIG1LSGvdE+yrg6+O5/p6R2N8KbF3NlUm7sF4KMgOY8xW 2hSVlem0wHvjCaLZ4nWs7Nlag9KHkJud8aK0nJLgXQLIxB4VAdyJdDSlxnfBljSrrBHoxSrMYja8 r/2JrpIKgEK1bHwMcd7llWtOqDmYd4Xn3ArFBHQkGBANbwaK3T3ALSpFLgBhAUTcBS0+FvB76sRa eLhGctbioQN4vOBGOYwYPfPDLsu0ZCw7hYwg3Mo62bQoCY/KnzQbKGDvV+YNndmY0pLeaHMEMr06 p1yHIAPCXUNqBgsmYZgRa2Z9KTb4fBokXZx7ILGKxKvc33YgfA9Flq8Isha+FXrChqWzybzX+pHh wlp5XtW01+8dGcAYnSNtOBTX3tn4mEeiiskO8oHCWGlcQGddcv8PzDcVq3/aXXZ5AY9o2wDFGGRa JvoHT7HEEMCtSnJ9lgtUQrJooaCJTP3U8+cGPaNMhuu9hVzc63n1riIwcLvNPXyY4bFFVO3NGpr3 i0sY6En//Y14ALC/TYjPjBDfu7vZYpw3d0myW+itK/pywXK3Zaskof7w0wrb9Sy1DzOxKr0ywp/X kExRCk7NakVSU9czuhPOBXPFBIZjYwcLYqjcLeWqs1SUpIrEmRQXDD5LpwMgsitA1xpagCA+JoOa 2cdBWqlOi5DXdQMxokYW/2zHJXJVC8cg15GUz3kr2z36gLBjaEs4+3KoqlpeyG56JdV093miH0IB zn4ULGyXKST6m4AFXtzAwHq9VqDl+RrkcDqCEdqbG8aiz7tjIFk+rYnrK9K/ehtCnGP58eAAK0zm hLmRBgPxTxj6JMto1jLMx0qoqq7AKUEkAGcCSB96eLHYuNotTfikJygpp5b4jrh49K1hbWe0RSpG 6X7qWaRtv80GbQ91N9cabRM9GxY0RewLZRKkWQ5SWdhOnXbROBwULUETlezDlmmtxjpxmAt2XfYL c9phtDhqJ5rdgmPYCHE0n6Lk0eR6Z9qM4LlCgumu9QzTCySuCw5j2XkSUmQIbZfaRlVKHefEx5Mq PSp6M6T1mHbEoMB9PLsNQ/+cg4hmmyqRDgpBXvWVSm0LtEVJBV0ulxohEhuDRraV/w5945oXpgwz +snEWFzL67p17dwB/5q57Yx5gFjH0qjntHBmJtwey8jLk5v4/BPtiODfbdpfnWtDe4OFlQrD4vNX 7vYdwJ6svn6nKhAFDLJfafn5+jPFlXnA3VgiFLioo0l9eIoyA1bio7ZzZVyXC1Q9udFILKLKlV/j gRFlVHvkX7pxTkKfXh6zGfNvZoYx2q4LpUpiRgdwh/QfVA1xBwjjhZYRtpTHn1vP3cbSiv2Xfo6L qS6mxv3V9IQyknX2cYl1VHuPf8udCqGtO00gSx3BfNh6W02IwzVWl2Jo4TBEsBh1j9JfJgVdVtOp DXM9a5SvOKRhKlEbMaTCr6kIU7v3YuZrKHCUC3GOfWMB99Qm6ts1s8z972kqgRsvIbJE309dqUtU HJkB1/Gi9RZgyzNjNtOr0LN8ji5rfNDOzfUo0xmRYZC8l8oclXDZm/jNe2ASzq6U92Q6u3f6CCQV AmIgRT+BQNmEQemdegOFeBpO+6WIGy5zXW3rFblBc7ruBK6zNEkFhUAiZ5eHIEyM9iWbRMxWs91f ULt1hWm8jx9KkMnMTuHqr/FUFCZH+JBb++PvLvg1ikEw0Mw8GhnqsMkg7LLYUtkbYk296SjbgNK8 Y0WVOxmCX3fg9nQSy+RAaxdUPnqWOqvgg6H38vlrFyYGJjifUlBGEQ8fxCxKyNpWYpxa6eVhkYGT vsgXEW5cCmkfFDTPy6snVOKjkwfQyGWRM8Aw6zW79lQhbw/p+SuW9yDpregDyCvN3usoXnxTbdFI yD/kqSI7RUcL92zjT5830vcvgxCbiLQga2WpQ9mG66lq55XsScAa+I+I+UJUmuL9MmSrHgSRuPbX sysa47UAMADmNUVAZi4xN3ecVw2XHFNegi4+4sXvQtR5HlrhUW4OvMTK3PJS6VKkmwrTApPKa2ac 9bVaMdmjy1MbprHVQyT21gby4I35dPHNnXvvZyRvB/zFfhkamMI55Czq2hxMJCC9YYqV5asf9Pp0 6itXNgRdT23wyF+6YJ2cSM9saVLTLPGkkLbWBet7wuoanE9BB2L8RwSpqFo3I7kTyvMv5nk7HJnl OnV9RP/+x78Nmpd688ZcqgTGMAtN7b31P7Mn7Wibd65AERVs5ETaZ1P/FuNpQUOxMJl0b/L8VuWo M3Cu58RtaN9ZbRqYhDabd9p5EcLSkIYE/8vIc9LZzJJIDMsgeDjCKwaEG4+KsDy5T/uClAFy/g8I pVzBcBinW3rdjx8P0yfK4yZvjtcUjIMi9Py2kP/VsEp5Ejz0Eg727eYkujCmUE+jnIHdxIau4IDQ 0gmLiE7LjDvZb70MtB43CvgLY0aJM7prYrn7vfXWGfwG0QRp7SUyw1o7CgbbW4sV4UXg/hm/tbk5 dP11FI0Jt+ifcurzRUbYAw9duEybtoMP6+Rdvlx2txY+ORMMcNZRnav0D38xiTmq00RJa9zT4nfz 31d40vGkldRcdCe5EvFx7Hzj5oF9j4Zv8w+O8c5WLVqXlYxYPs6R8ROTdkPH59N+jWCBVVxbCAds I3JRcfpqX6nDbIEgZKxoJhqEtluxwteFd3vZ2kaj+GvmXEl0QBZwL5Qr479LaQn7REjuFe8D9zJw 0tGqc7LV1oXCITIv0gpIFUwAXZitMli5ycS1+pkcJSEsYfopIO3g9eMzo9QMy4KZRAmam4ddZ3XZ s+bjdWvHk50YmqydTJdkc2Jw+lQXiZFv3bBW4BLjHQ2v0ZTkbEn4m6BBcUb26HINqYotrtK6RdcQ Ejv/r8nVIs1pYqjE0ALkv4h3PBRD2HT60fQea/hxA/d9PvTWak0hqpdpeYxZcBk/KFY0PkouRFaD K7HYlheMAQQEsyDaH2lE7/93sn00N9h4QZ7AUwFbFtnqg0Z3U5X+Y216y7gplLPttDdSsjoZ5odG o5qrzvpEEmVDAnjgheq51UbwrtsHSR4M0Xgt8NZdpKP2Im3aK29QBBdIzXrloZDtDrHPTVpj8kxA HUuFM3yQrIz0RDyDqfzU9kTiWA81WDDEjBcAwCe4hIOW3bZffO212juHXmOB8HqBXPY8DAQn5mDZ WHWO5rfDG468ML8VTeXBM2cqe6kVfEKbS1Uy38kWLpEJuyzdIRMzYSTpVNrntsH887bfGUHZGRRp wcB/BGjZzPBD31lwUPglVGjtv92W9OYPczZYOIUVZV9ebgIoBU8///2n0SlnwtMGgN31PWFlaJNp y6NyuvS51Grp7GlFk48Y5Yk82yFLD2xmy8zr9GFYUGUJKxJ1oetgpkTwEDApZkfBj8op55n554hx URwVJmWt3Z2ZHfAZ5M1PkZuKfhl5j8S0x3I6PdoWbwd7PgYHj6rqu9Udj+Aa3hcMR9vh6RtNMjFu TkZp4ui42MqL4w96k+6pPo1ZUFq9bFLIgmbDL8GQgO/4IVf9txozZGDB5zcU1tAAlYr0gO7bOLmS +p+ZvIhqFF7v1Hil/sHL8ovDqEH3kRSTO93xLAkljFyAIkkWpa46VPo4Xn1x2TwqSXuJ1zcV1QUF CGEUKAa3IQhziRubPvKzhn/YWjEfcx/9gw2LWE/Lvnhk8UeYqyqMgqjjusRMALPlzty+LAmabNnb YnsA8APgkhpbAFvwXXM4YDxVvlXcCFWpxB9tTs4essD5egaZkYrhZLbwcb8YPrwT/59PvepHbtgI mhrqX6ZA2IDvx0hDtEA5NW1M7cXh+Rtd2p15LfcAdJyw89QmPPlzqMG9cBDleXo1zPTKHDQF/5HI Mk3e1T2NNPoDTSpTHS+Z4ghaXT23z1DKUfetm2ZIpexg4CchrTH/hQMApxo7CwqthCN/GslNTrIr cu41OIACI9/PBwHqVZmzvjvtUejcvniukZICzMdl3SlIPIl9kTyh/9oYeBqtN3UhRos4C9DYFuFR ByWAJhs7lMf9ACC3aCDWt1lmf6evrvcXh3KSOKg3HJJdCzm/InibNBPTanISVtcRuTJGEYTcM/yI +1yXtD6pUoRkoYNvYgXynstkZIAgkAQ7Nm5c6oiOCY3oxOkYLKG8/eF4v+KrcZGZY9EHkpoIgjie FaH4sWqPcPseY6D/ORepW+xoSrV9Euta2HdavubfldbcvcsDiJo6sDz4u3Kur2h0MED94LcRWp0s KqCa6h4iqnN9crTyzJdlbLwbQMX2TI3trQvK02kXyDw54GI8YacAo9pBJZ0dZWR+GITe1KsBAmt4 EzgEmhZVZGmbOvjL9lzk9+vijW/7aauytzRfPSKmHEIar41UUOaHybGeiBJZm94MLvCP7G8DQPqQ Dbb+z7IR+aPve9o3nEHljMzkqQArJ8fq9WCKYQvaOT9jK0GgVRRT4e9q9twUsLpchVfJopP1IpCX dM5BlyqALG7KCMGsptNyycextG6R+V7G5w4hJpMjQz+D+rints5KlWrJ/KvbnzAFHAh0iapTrXym J4FyPokHxdRJ+J1pugEobCyxnFZEs5SgGXeds+BORSWY7tJeXbjN/gSU0uL3CVsWiUfz3d/yFXEZ jzbXtPFtEGjxRMXr0YC4iwyzk7oOBu1WKuhApt+efh3+yj53SYf9elMUVoK08tGpf6aljscT7ptl VWItGYH6c6YGlkbuHVQ62/bWZfWNbadct4hA0s7cUfmpuGVmGb+QqGqFgRNJViq1OPqOWwhAii2q 3RPUSj9w+1bRTHEL5sX5PM9PxYKLPV3AjDBuxTlAy5U40tvJVOv4YTXkmVf//YRkuWGxYUuyhZ+P mqnWICSva4ITGnEOvNeuU/eRgwbEMOX9g3/liUInxfvsLUU1ECgzReU4JC4sE19N2tx7mRT3qFEB vmwQjThHCSWAXbxbunyXhgISzSWACfkL/2vWBRc3BNFf7nvBaW2Fk6KNrhQoZkN24ZXpIFjY+ntA 1h/C1NRqQN1Odv9gsM6dZ9G9b1odN2xiQsHGK9ZplnNNU1ZeiPCbuUYJDxyuadzyhNUp2QpqnhXC DpkAOnrMBlamqFZVx68shcMG+DqgtQqUBQdvf/v+8PvtDRiRojxr9aBXX80Y77coQXnsYVhH6T0B 2gWigEaGiBCNZ4Rfh2BC7l3ijZ+2fT5wkBFeTfTV5EgvJhHYe2E3bnaiZ1PoVNDxL7jCcaGi3vse WqcYMgCjNQuCRui0eh/RTxEqBWXzIh3Xr+UA0TpYunHwXfKMaGkpmbeunNKxN3vRmsufZnt9cDGq nBiIBLqIe7c9zB4ASBV8EpBhXqKNtqECD8R77E8HagmNcnRCuguwCh1Fss79k8V4fnO1Ul5t0wOp PSz7x173zzxO6qjolROPleIhPSWGbcFYLDe52YtCExwNXzB4fmm2pUMk5OV07x+LhioGEVkr4VLl RvbwBOt22ZTqxKki8X2gYy7NvR9KWtG0oRLZ43B8hPFEF1DZw1VtZ8h2C+CfKBUsdlgFj4nnTsKP YXKpRnFuwzF2UjnyWF7JKvXIsRedvHqqLpHu2R1hIjJFVJS94e/Q6x9Je9gBk1B6TaX//HJUUtsl 75+XCd+l0takIbMB5poRF52bolvXdN5LJZGmk7U8KL9jS9VaAwyTJJHNRqyyiLYGCBEhIJytqaJI Q5IGWNnxu3D7xTaR+7Mui8sKox0OTuOXlSqxwC66B7liBE07B1cesttQozAZjXjAggEgvODcqRpP HigEgKTfGzAsLL9LWderRdrvh6c2GW/e4UM/nP81qauyOAacfeQpUdBVaKy+fOLSaEPvBny+tk8t 2E7wG2ZYsw/lICk5e/StzeuI9g5NrLCYCU+FOx8guEaUPI5AvArkim6MrFarWjJRVjT8wwLU4OuR pf6pBG8YOnd0MZ6MB0dCwR8Wb5WkHzhF7P9wCcr20Kou+Ly2v6xOrj78igT5IKONPlp03cnw72sw a+OmoroKsKiZQptaoMJXp9hpH0atuz4bQWQwj1CYZr03lXWucecdR/4DWw9B1UtSWQQlj1tw7Dud ZpHbDZoaJ0vpqI7IP2nZzQfVu7NTiOc0txeC/CUHgsSV8lS5EZcmB24bsEirBn7c/3Q4TIff2/aW uSu8J091l3o0c9ZKPvYxqWWD+06OeZ7/x7Z0HUsPyZc9Z3FJCRAtQbkGVZzFtzwl4h215+bh/DYw P/ENvrEKvPZu3LTcmPaddBZjq0MmylNBnh4UsG9AR/DgxmS5uRw4kHpm7ym1Lr+41CiY4pNtztRF vITxgvk/esQdbfzDur5XjMDBBhLHVHyyW+SKbdTCBnUgIYvnwY8nSH3hS3Q0YE/z2Qbcqb29ZNO1 /U3RVHIY8TdNtsiPFlUWXwQDkg1w0/JWpcNYgtYeXbdnMuDHHudvRANPd60I0SHCLtMTUv135Y6R x4bEP5xNzjHM1TrAM0+gdDTDy71gS68MPw2gulptFyXGGA/h99uRktBFH1DG1h+QtIjR9Ri3O4PN cKzh1jqlVwhnG5rjC7XMmhJTN0dCe8Hp1wj+ttnxvz89Ox463HtvSXdx/fhZMBgSIcN+FxvAE60C bDCqJz7CbvaU73TpkJHT1mwhMLwgddvUawb8SCNPSWPgg7AK2WDLIwhpcySnpH2M0tH2EAq3g6bF JTryrzwBqDweAWMejU3fOMd2wKBfaEl865CLD0Kb/MJ/xxd3s5/xrj0two0CckPEHlQK4RXuXKZt LZNJFUijjwBEbRsXVKCYgmDXtlqbNqFh+x4FhMrqPKYklmOYMlpsJn5rs/yFgMWX3h8CAXh4OSPJ N+GM9XhrPVYhRtOZmz1N5l00bjpnWsqM/UCoN/t+TXsNXNiHQKYROqYrImamQPuQnTnLAsZiNzk/ J83oDGjPQYGUTjFcTmELfBVAAY8y0/6SH6LwQwlirv2D+jE0wx80CM/HctamKZoFjYrlu8VzHZZ1 WwCvphb0b288Td5D6C61ySmFm6BwWaKN280AuLZIeyk/YOXsA0Ep/IuC1mjJ7j4RvTU23AKcgBOC EIcbYvj6UC73Ff9LQC2CiWp3gM+01JozHPSy19QORJKKMEOB8TWjpvjgmTqadCfgKCX7FicgFkEe bc44mTgckmytvc/+OgQiDroB0pl4NCOKi1IwWYW4PNHuej3m9S9TK2e7EnvcXJpGXoC8v0p7cG5G uPM3eRRSfISUPTpeREZSjgpNui/WmWqFTsScI7B7Udp0XQC5pRxi3tuWlEkTxhJvi+nJKAG2JH25 gO91pWJqlmJx4SvY+MBRY35vHodAWVFd7kyzttLGN1NNHwpZRiDgn7HKJdcjk63zqfCJpQ9ZTn+b kVL+qTnlgjPeUMN/quiuGAgogQctgk0gO7KYbvWXHIvkeBanpY8kukUTmlbbryFJRG+Je8Tw0wZq ujc4y57CrjIfei5gOdlAboGU99cS6cjxViyM9B/hDCCK9otwvcfAr54DyoPhh4nJUpupWXeWhInt af7X50GsvhrgI2AkgAbCJnGgtCSQslKkdDDLdAHW9YqxsdtqGTtlwbb9qrUDV/D+zgGsK88gKvHi O5AsNwKqibLL+sFTB518tXX4xn6FIcdJc/v+BDXLzAOyxHQFSua9NfMRdeXiG3aIgmhL93BOHHeS EttHN9SLh7g5YNtwFF3xxEYBE7pYoqdjlDb319jd0bhXpWArhDW+2v6ZfSXMXNlnTDLJqQXFM7cB cu//li3OAPZmEVnSytqlCxaX0lTl2wOYD9xH/ewbunFmx7ZKZlv59KhIebk+gy9ew7zNX1lXa7dg ns1xZwJNYKCDB6Kd6I+wv3GcXziX26wPQ9Ir5N3AZgIE1dV/9/x/qR3ClOSemGSjTKJrL7JYfOHE sj3LIdK7pPytQ+KnVcYKM9iAOXoR/jl71QWFhi0F0Ot+dQul4/BExU3LlP1zioCfkk7Gvbey8wLH yPXCdqEHLudkKU19HBRR1DJf8G2796pGtj0nUpnlyvnEl08rG2fvCNQbkFodLeygAdUBR5mVoV5R FYiLMVDzRgdAsdY9mZxIgUWl3Xk/DUQ/WZKAfl682iFsBhX0WGWpIKyI7/VG77NjfoglRSLLmlmO HkAw05NnXGFJ4yK4N4+30/QfibHwQjAj/RAAQL9AQboGGhI9eqLiXnrWde/YAETvOZwW9rljDrqm RB9VBlnDEicMJwIPfjnxRLqlIe2+5XLQmGhIah25WULjt/jL8V8ePO6E9SVfN7DvNZMfdcGp3tWi pVINkyco7Us1SAQYHqKtzvFi+3uVvKhT/IfCilubJYlk8rCVLeiczRv3cMg0m7ZZ9pvBntYyjRSR 4wJSFOdZE81HcPTPvr1SXTPQDZkZF6lYnraKrT3umfUrys+441Gds7mB0Yj/doJRolNJq8fbhxck pYFNxo6DzE85bONwH+EfUCtkrksTfeLWmbT0p0lX9bXAiA7GP5YtkAJmGCfWTJRtyd+tWWsODEvw q7ZXeGXdLdpipMrF4lAdFfMpm1MIDcRrOUMjlh/V173UaZ0vN4PpOaIjDcgPLyqaD5pCzeNuUdAl ShzJh25GnPY9hkrfCzK+yIyEGhvc9p9FaPl6qGOekuWM2CMYgrfQRHITH62Ur1xzHNB0n5CaIzdM WZioq0bBnH9GDWXzlKW3MS/ZBnrYjNwqBKUsu69Ct5OJE3gQ/2N/6w1hSN0By2mKC+rnSVnF7xM6 JlICgAl3gYeHYbs1BlNUiR8jmvfWw5IFhPwepmCEvuEYCDpaNbXLFxVNm11/uttUe5t5RJbdzlsr tQsOWa2qKq8VICmPTAib3xbY88FP+64BYDBDvyO4I82ruu7yYuzgDpkRk7LOFDPnSRmacUqPmZWC enCe3vtcEjenADwg4k1+2uZp3e2U0As4Y4oHJN7eaWTe2ZHIb9hTg2KP4e6BkZbmtR5Up3wTAmMT wAfcpHhpenJOYPLZJ2GY3hUAkEnm+vGN5ZO1X8XbzOA8wRIQKvHq4ha3b/Iesq0UtjT7t0cAIYjk 9joclaLNh2gFDX6yWS+XZYUeEAipbcaNrWfqK/vjuckFHowZ4Vo8rI3QEo/f61VV204BUf2ao3ch 59YGp0Uhg1TYCz/S9iAVeAR22r+MtBmQ8m8Tu4GsP5myLev+WU/LZ/Nixs37ppDznxCZClpY152P wUhJVFM68jq2V1V3rOCktgiIo4waffI2b9xH5TGbwW40r49mRoRkpkIbTTliYH2DDZEnQT7LaBIz rRMVnRybjcdgRO4vaSOUSA2H20cuvwpScjr/F3tyQpnkWegWtjcePlmQtWAsQHhT4r2Y/6HPW2v1 wjBS2/RCgP0lYFe+OoRuudMaugnIw0VFzcJ+Z5PlhgN/L2Rd3BF7lmQ+QaGXYxGOuS0aLYMMmLnQ K0Z3DpOTM6A2t6U9ifytl+ZO3QE8mi5rOd6/7lHYmmPPunkY6trVoVogCNhj2D+ACG5h8VRJ6n/w 0d4qNkoSRTe+EMGFZjxfyxygzhHBqS7HPVFsrWnzFqErAl9KDYILoAodcJQZzwxMqQRZobvSD8HW e0V93XE3rj9j5qr3n9NZllQijj9mLdB5F53qR8oerqHD+91dx50CrwCymzilW61ErL53OQXc1cwa 6mUpEvnhAsWhzDYvqpwU7URRgAnBd0HYKJOsOud+kRaEtkjCLCq9dVqjBCN7qSO7/q9PJh3BusIt Ad2Y2mbp8J4YIUryPyHlMTeN2PvZp5TKmFCOwd+2Ac0FGSgFKOKeZvQwZyPTlb5NNvZcPFmOyfDJ HGWnIJLYniTSxAvThu2UoNlDZOWPlhma0Rumvh4NW4+QJhEGVFSifYf7bpd0fzZ5yI5FcTLHoPSK 6tGfrbCP2u4/lV6oijKXCJvCwtbtS7cPjDAQoLY+3D5iHG3LMWL/tcbtKlGCXepZEVDIm3jqEHgP G5j6MmXHGcezP5RZdGEdlWXuDQzgYp1OoRg37NQMVgYkit05F2Z/I6AMy2ls4tCAMlK1AkMfAdC7 fBjHv9+IN9nuNLqgIxiXcniShT3QGGYuLcIXw8He/LcOEDuI8ssFDILmaQW9OdQODDf9YkmE8/+a XMyCAxFS+JrKUfPVG3YMV0s9SJb6JsToeie7ORJvv+Oi0zcN5ctjjBMv6k5mvBK3ydm0OYkJrVKq kJJly87Q5HUymvx+KusPu8YRb39U/ABbNqrMa8nes43Cc+hifI2SP67a5w8MmJpF++2jCF2j5qjU pDAahbltR/cbyK80FGzgLA+d/5TlbKhPaO+lMvIRnxC2i8NsSWvhMCV0hNr/VmzMJSreLneHxw7d ry1a09zTvwDQAJCLMBYOjbNzFneiul8wzkyHxv36s691HtCkBDtNEvt8IhRn9vcwcUcGnpuZGard 903oBoJMdIIvFd+fevgwmm+OK1XAuPZakQQT7Wzkcq0PRqK69jQEFQKqnRf7r2XnFFjnHd+IsK4k XhPrC0VMkZ0sYxCi9GYB3l1BB+WOzbeD75ejhw0qtZk7ejHGNXIXzkp0/xRwOruY+bd9Y/xk3RIr 4tqi+uwHt8qH5emFFfKDJERrWiLRoMCKCY3lVQ1ukhxZA7/yqGTfZyCH0oIlnlUpvdCUk/hgQGpT jct74hBxrAeDoE3L9HD1pBatLC40pJkRrttQ0hjwzJecOvEXuSy2yMi2q/k3Kyo+8Dv/drd8pLzl AkevJ48lOX4v/dgAQl+qpod4DPjzFPJrgqXxrP3M/i4+4qoZhlwkXgJb7yUKU2wazLT3jzBbiY39 81PVUs0qzAJMgouwDd0sX6QpoWI8FebT0UeQS4vWtKByMpkHlvGuXJzWnBiDDBY0pD7F76yjh+BO 5drM2ls/ekOoAndCffUYgvcixzsFxVKWt1Px4w3gksAQK9YCHfz99U/xkz6yDOHnshT1YM+jdVkc rQkPGhTzsy75Qu0p7IuGK5TlbVvxigQ5zKBbj3/EGQJfa+seBrdrhEA6RK9YHp/7XqixUd6nKeXM o4JcXnqyyt1a2l+GC6f+AHa6eBARoArww/KS3TQVSOK/zriolAAbAG7tRz/WmR64Jl9ZkeJoyG2p P8UUKsRehIzGBEcfJNiaWAjnFtUhHqb3brzG+FKikBE1Z0zbsrhBXwmzBJjU+/V5WWFFr1R71l1p 5/R9EAispgYD4L+9V5UI2GD/3jqykTOMhtho7GormHhZ+x0N06Dw+IoXRsF+9WG1LldqiOTeBDWo 09halN0PiMe+cotE+PzDMXM+Qay7A4HzovNT0eDKdzuT5vKhwLC3exGcibbz/oSB9Eu09MgxPaex YZAew32TXxogVinT4Atp6Ggv5odiA+kglLOMidkLxCWJ3j/18Am/cTIOxnhmymq+f9xqwQAy8ajK bM2bN+k+5cCl+WzwsQmZHST/cPHryJmOKzfAQOIZKEIzS6ukvKQ8ZD77mIx9OcFFG/T0oQlTAnFG 28QUYT3L3hBu2ynZsE+tje+SfWZxEj1F8SJOMB362ccG3dzKBXespdhz1h7guj77ApcScDTgZKx1 gy41X//YUHO2dTi38AnBwrM1koHpJUGZpc2VhnXMJ6tbe0s5UhHUZDV/m49HxPD3i1qGDZKr91C1 emfytrFM8GivU7IQxPNKFrYD5HZo1utzs25UxiaQ/7SH+Ha1dtbm0Nn+gb/Z7YjZMrq1Fbsx6OMS JZ2UUkU/fHvbum5H2AntodrMyzkNMXR0l3HqzznXCfsSQjDBVX1KrAbYHMTkOwCOAbS9rzfcP32G ZnTxKJc2QljpNV7c41BuBF7DIweRVWsAGHMPL/l23UfMSoU385Bsd4/7DCiQg+5rtmSHOpzl/Wu5 Rfepg1YtVdZ5rmWIdsLjsKIlXphcGS3+OjNf0x/pmIj85Vi6335IqkSI+4qAKh5OC41ZAQ4kXOzP d1UsVK0+GmLmDII6NQX1UL+B3xEISstB/Yb2bcaTx0gax/wbR0bd5Pwt1ZGAJD/iZdDbOIJNW6sx EkZ2osEWiQrTPUxhvDKtWmB+M0nYzaOENzzMSQ8HhdXEbVsJyMku1S6QMgED+OUPeNMoAfHtsSxp INcYxdjD6ndxskZDWzQbuyDPW/3MU7PKLSBvBKo9tSpTDd8mSrGFr8g70CBZKG65P4J+HC0tzcA5 TnEuaWvIWTUGZq7nByhNuVnJEE6PgwVeO59BbRHjKR97tNmRdZ5QnwkIxiD0QL+mp5vCLD0HQ+Wm 3DxmhIJMkMb9zIPNwWhdWlIqYzw/5MkLtnyQk9GcUbPpFfev52jtZhw48M1UIKGj6BWZAZVFJrb9 pXbzip62hzSZI6zjVbdK2QygOWd2IidHxxO8v55MzZ13oKINKuu0/pX6yaGMBil+IsKzjTb+TZKV KPNWQCKtFPb1+polrGzFAIOwh7Tc0KhoJNh6eUJkeKsvdejXCdF7eh8TlpxS/EvelzHq9mg9mQnS Z8NGwCZ+G6eeX1NH2AT02JCYLR9CkEb8efKHF0ow0LxaAj+8g/aQdzjhevyWtw60DhkXC6kti/Dg Eeh4uaUv659rXfq9AWJflK4ZnUt/Z7mzafJHePmq3lBErBVcy0Nzk98orAavKemxw2ap+km/N8KT AYguzDSs2dAx95jGkRMYewqLq/Sm8Zfgbp+QBcMeMRwns+kKvcoKALRe211SB2fkJWvrMK7TUeVs fGQb9SgNoVTFEaW0gfTiSWTXTvoBdjRitxU18Drk9STOgJwhEj11Jr8GDouM3/IC9QelLqzTnJxn 97bfCWlQfp0xNsVRbUNSjP4uSnogNxd33Q5atMZFRcU6vns8Ozj7xqn55QS85qvVNDBAZ9SRIuE1 T+AzXiBQHh0C4EMRD6b9tdq2ZT5/yZametpcdTuq1g7DG+IXIenIPBS7WXypDinfK1q6gdx41Mtb dC+2GCAUag7QJY4oXPMYsN/ItSWpM+uXwz65riB5/Dw8sVCXRhcmAIqekXDeb5SYk0cePEtiVUuv FDfXeRCrDtAj4fQkS25ZWQe+DAC29Uvrf/OaVOSqXZfQDKaU+OXuSL2jn9ArMPUilKKkQ1oLqciv W0DwxEI/9vIkGsoUjr3Fxby9fg/uJGQSRyI7s/J2wF7q4kfuPNXiOmv/DDHAGlnWvfD6bqRJnj9n 0ccPxDZ0WzyeE7gr7wfnFy9YD+gIIIrKgvG0T+gA6z7VcdBS64qB/2JqRLlQAlt7b1g1vKheyijE 1D3RnWfEbs5dlyL5UhU1rkoi+sz1Kb7LHz4LSgUylYPwuFB6QGwEZr8xdWio99l+yxHSzDcgMsrj kNC37/UXWZItOfAFKHNmkEz++EX6jEWOLR6nkEkN1n8t8HZkKNcvm6WxzK0UxsRyEsKeCxQDG3hV qd+KSCbNvMODENFxUrOJjJdXA2sJq1pmylUnh/gjJZ3tTUvxeVyzA1QodRF2K4do68sGndjlG1OM EC5i55xFZYILUX5GpBVEZZK5o5XJB9eGqyONImmqNyjAI0PO/UevgXtBojFv7jXJae4eCY3E4cZk bzkl8qc6OjSegRAm/51tUxix2ZdEwNSOPrD0YiQDQyY196AhsZuZyU2V1KdrLKrRPAMXHplC3vz8 BAf4LBnPwvDr3XbAcaGvp6pGJeHDahsgDhZOf6ApywpCDs0h/vV427BBa/4xrneW1Frscs7hHzX7 ZuutQXMHh/cEleJ7VPEdhROlt2r53p1MJ2PnrV0oJ99ABXoXJVsbSAXuIvoAIW5lYv7UhQOPgvEQ 83epFdxe3lquJBAH3VfSDNlfQ+wDui6WIgaJqacom3BgN3s1e/jzcg1u++5r9TjKVJiqPXzJVYGE zKYBD3ELeIG4yaqu2I8hrE9Ue/+WGuEYuh1k8TnC7YDmjOJJLZREP3c1s/chj2gt1CcHGdRk/zbj C+1yMs26nCL5KY7jsaSczeRXvfpiYNA1zQj7IThHUyi0cihJh+Mf8hkKl4usAmgahNh7hlNgKERp KE64kXwcUPpmwxlxzoPlIswLYi0YgYQ+mj7Fkeqo7F0pWIh/70AJm+R2r8hokxl1Tujm0NuaZigb NbHJPKA+vB+jo2K2TG0b+Z8oREwyGoOp75mR9NrB6l5OVSMb4tJrpEey3Tq+vx/VNbRgGD9WLZCa 9iD1ZQBoBzRoTDzkBQIpL/7kCgv72cnRgBwfIJzj/qOo00dqKaELHyRKriL3Gb1cHh2Dj67hkalJ R+KjD40F4Bm8clmZfXGYE6jn0NGzqanMEZpFW/7r29e9bmKrm5epWPHY4aX5Jk441PQ/xv52nryg LkkA1+b/2AUBo51LSRohY6U7smVzLZDCxwkNWOenO5YqmZFzZzEptfM7SiLgjAES8qZ8ETEj4LMn NsZnfXXobdaGQhyuSoGrw9kcJ4MkMP8/iG68aH5A3S5Ldqug41vvDFyBjbRjqxbrnlNOHr9MwzA4 wOMERgGSyB4QdpOsbxihhaRsgg4JUCXbjJanVhVzEmP9nfHdcPZqnXmTaq0DHGVhWFNdiMdLaoYX exNxFBE3I11ED6ECnPy0blvwZ7CAZM3uBlrbV3F/4Vph3zC1gAZW/VXTrxtUewDvp3WEc2dONai4 2kcTzDSYvu7L75zGdN0F6KcIqHw7UrgDLRtUtEAJ9Zn+mAAXAYm6pEtBWjvNjF7fkxIWyMb7ylaa m57VNkT8aC255igLFRtNvCZAmHpoD7OP6JirectQGvZrtVqEI5F6ISXniHB5V7lF8qMlDESXDcjP fECYWedb9lR6YUsV/JbWwhY/O9h0OQGXDgzXjYTmtEdrzJXa6gO50PtMLemqErWEwnkZW2EfG80i qEzXvnqAkgXdDXebke5ASXz0cNcYd1C0oSE0R9yOgcKbcs4dAkRqQWbISMDrx5HX7XjiQ/FHNj0E 4+HXqN6CsffwZib5npHb3Kx9h76p6qEK/OTEvEf2Uug19Fg2wo4bAV5w9vuqE/xzc58xdHdfDIYM 61D23Q3dJx4gZAWws/1dMYLzMJSk6GOUXCyo09bKg/RXTC9J4UNi3OHxwJGtAe61dNX7i6/PNb3n QobwJi1dJcFhEl1ajIHog3j3WzViczCF+PjcywewWubnSsbPQsViQeak8s87+80m0sen2R4br1ZM mekOTX8SEti3uyBkbCSHsXR9EVSo6HrEgDR2P9xvTeeA06448iKSN+Qy2USB617n4DkwFLXYx/ae LZXJ8HYoT3eTMQdtxBwkJxuWObLh5wgGVA0oXWhk/00NvGsyHKt8po4MwE0OMshIFE/xTnu0e2X6 KkMalA0aEtJcqptr3FWkznwRxDGDH7jAlEqw1EGA+FwNe6KB4Gdj4+uxcAKNqHNSfyLEYuot9BHM Q2vyBY1bJNxZ3vev/6SwjAsQeqVhUPymSwXRR/f8wmp2P59TbZWCxpKfq545CIejArZzHgPqE4ns 2dYpHxxesSUJgRPTVAp/oxMNmOYJICb95KO5e8P9opaYaKccpOfkLNyrs3eX9RVOil4RXjhg2ZwD qKBk7YAMMbTeE8PeuhIk7y0onqhXgoXy3UEkNIbiM+7zOM6ja1fBdL704qflYzAC98EpFCtcortM LRRWtqTwcy4tg/DAEVHXT8UXtDebSwfTBrPcrTFqpTxJQ7pCevGzI5XpvP478Lh2NB9a/y6ppEzW POuGZ2roTgCmcqA4XGP91ifFzfPI/ljZamLFM5nj83f1w9yhdtbQmFqUl5c/8ss1BK4C6eh32Sc2 2cXBQ1neEI4foxwMh1vkZhcp3aD/M3rTupCiihqgqCMp+FT0ULucK78X3M+vkJrmkJC7+9zkrsrz fqPw9REVNhxFz72HdAFHx2m7aYz3tPpiGgHTiAO0KYibHYi+zHlBqcX3x6L/Y0dHlxF7cLRjEzn6 NflilpLfAP6vQ2WR978ZOAgxydlR72acRs1M3ARlX13Eh0eAtDRQma9F3hnqnxKkOXcglvMdvZ/e NN5gFsqJ/x/aqcLU8XaILu6j+J7U1+p6J73xZH5tC8wVTKE1IFjrgHcO2dLbT8APWLUIlirgUxFx 3jN2tmaRDlQf0PXytU1aMFdlOqbStygwjxoqZGxbAjaubVTAijPZCNc0+AZraC15h5RQtBhr4hTd ZcAsMNV9+tMGq4p2MyDnbJmXcDBTLV8kkiDlEk/wzRDaU/M0TMSHacidEK2PZ+zOnGr6j4Z1gwM7 wUG9j/wLsMr3/TcNCqirgoxCSA/Ug3wGVo0AVulKKn49N7f5iZsPRZy5DCe3wfor7gYjF0J0I280 kAeCep3O4cuuFusAqlQCiSjP8qM6QlvXmXPn4ugtlAHMxSYbicRJ25L8RGcfxWnUSPxg6vSkpUm3 QtlIl5LksUooJh8DMPopI4G4JzvqRjKflig5xCa1Fl3wTTXGFTBD8QC7Rzxj/0V2AzG2GXVnVBwE Cl0kcwmRP3AystXMPWync+TSNw0Zjmocl527Z0zm6bAI4oHO8NXiwIkdxyLo8i6XZZgphb1UVvFp M9Lx7tHlpzPt8Cd/x8zaiaQSjWzGPrEFczirBC+X1n0DGfjW6FkVnFERU+0KH7banN8OdhqGhL8y N8KFWrkkoHyixI+0TK5wX1EZ1SCMvuiQQk0dw+2l+EtogJv4ScEQhFJQgbqEsHHlkAxcfj1Jo4Ri Lb/wyfgadR9JV+SAi1CKYlqlaBvsUZ5AS+Il5kdRGfK9xRNwBiOdZh00IHmA/SgLgP0VmKW55YGB oKFAOzrqPCU+kfg2OldXpxRS7l5MUf+ztt2Y9c5cHkKHIHRsZsyjfFAyTpyHp0VAwF9Z8s3rJTQW LnPOS14zyI2aMDVr7k94PKxIctKxUh0G04AAK3mlvYtDld9pi3TNOdeTxGUSIuhB9nipqDEmt/1e /tp0fsH7Js0KLy6qJN6ffZ42VZ3qgn1jFSQI/jS3UY2X6w0xESnWV9RH4DZGcRbohS01dtfU1Oju +sjCzi8GCCC+lI+/YsWKCmxnCMudJwDc0JopsHiUqmhehJ2oNDN0WAU7DvJMzwxOeip8AxDvPK6x aIxRp1Mpk7z1T1UvPRxk7XwwcjNIJk1lX31FuPVA0iv2KkIPikeM6WaPffpF4Z8Is1ZYx3zOe6eY VN9k2Rc36161JB6gJRz5i+kR7TDHXtuD3m5nNM7q4XTcBYCCk4DmcB9R3PlvMSerKTsNDextfXR8 ftsrL0wFb2QzN6XYMqFnPWgpcP08NyzR3VJmejPAfKpaAbzNO6PklfrALnx/gY4m4PhMY9SQPvPk bgxP4LRDzOS4uXL0XL8QZkAIQiFRZ0qleBucGTcSGswErhyqDRJwTn2lzYOoz75WSea4rhAB7sND Mn9QEfnMirysQDCjZ0nttZh6joAAaQmRVhKRvQFAONaVEg7bYNEOD1kuEyeiRSEJk9X0DyJKIxaU o9cLXi/VpB4j4MFlTGga8xJfonPPO8CbsCbEgcoa1DGbmdny3O1V3LV493wyugNGgNPE4CZ40Jo8 w0XFKHjidltwSeu8oKlegG38YooqqjAsYAfq//TPs9/LL/roWGcCyzw3/31Lw0G/C8CkjS1bGaSw jGVjaoK9uQ0Jf7fKP3KN63aAXpnZelziwYyv/iLrNl/+Vi81WENLXbv9I2/bwoUXgpNhISkWHYZQ 4ZCi+jACTAOmBBZvfy1qxE3M8v95g64ubo9MiN4uXk463JgsJ2BO0L333e6liN0JqUxtMlsvYlXK Vi3aTzV1JqZfg3Coi+BHpFRujJvmn1SyFjmhd9tKZl0TExBd8zbPWOfOd1VnN7bT7MuL4nAEsEL6 xgQxfTqCehoD/z/8NDUHz0Gswf58G7ahhDB7Crzw2YMSZ1HBAQvT/kHNpwKgcgrP3nGNEav3aY1K 2mSHypQto66kciHfHpfTP2sdyP1kSYsIn/KXTKQi8odiTrjSIVrXG6Nl+DGfabhQSwraSpyy6bPI x4zHMyp/1FmGrUSGc7Fo03DLHxqXMoMsap2mHFmMzKRDo04l1HwdrWstEtRKG6u1J0A1WtMxs9qX jrpVEMVJYTLd9+0cnpHssphaYVqHC2Q6IbRPR2SzscIChVon0lqF0Ny7FzMhNWzebj2MGVWmz+qu FLoK6CLS8NPiKq5vR0OWYwgCw71tnH0gk50pD3JvPUeEmEnKP1DI+gh14thzR3gS4kp4GTrUHi/V Ol6kD85qPWn47e/NgE36vb7/Rm40f8yRDMkchttKKo9f0uLZuewQ105FcuLKU+njffnS70ZmrjUj GVxvmRsyukd0K/40YSlffCSDX+2CQtJqQ6Cf+cwzx1vfGlUD/sx/DwmGanVHY/A2066+KSz72m3Y gfBqPMJmuMMFLMfw/y7n4nme/PZUhYxFdg+t1DMPTebvzcAL76fpJDK1vsdzIo0jmvwC8w+3uskM TF72EHKac9RkwuSlnd+8npnFYxVpkcsHcZOmVje0FZYxjH7TmQhq64+fRCDeDnOgcHT8dQZetKFi 3Z0kYqIS2+s31DKXOS/rBw9QBsDMKtjutCPCMKKMlcq+0c3Sn/Y98VGbPlK2rXNi45/325AdIoVM 4N6geTtlvkz6IvFb/qA7TLCvzE3MmxVXKQE54DrFLKjrluFC30qNFqkHHTvIrgUOSPqXxoZYcyaX 8VTxI1roQt2nGGbFbXV8ziRdFG7srL2cT6B0atWb10DE0Wzj/PzG37iezzalHVve5jIOQf5hyfIN 67X1y7Pd3GDp+PuI54qXfNbbBPGLTjyDr1Re0v7XaNkYV0bi7TQurWTbQY3E3HijIGFskaHWOwrX 1bhRgckCt2+YNt/QgBoAgZQlUSszERbNZMA3FxR2ARNSLm6RZOgABLn/kw0URuDjpOaRE2182Fpw lxCj19L8BOxrNNBKTmN+wSXITeoKRIOmd9sW1anVYqjSmvPpwslsiuTFkJFowbSvO5v3lzv6ULsE GOBnKWV11OLSvT9R8BDOC8Q8LJotdvhHoaN/GuGoIi/fI2K+6S2gRAjIkYuiyry8rYACJW3VUvt1 WpkqzwRQd0XG1Lleuu4+NgI3CdEq9inmz/84wKPsSfhxufdBj/LxZY37iJTFWnhSapXohTMdbdpj X+7oW3Et6/bOGDnf0abI1mrX82bFe+yUjeDDnLbQBtSphFDiQFOt9TqvRk8/Aw6o9HSlBdLIO+8h QuQ+hk5MONxZSc1JMyzQAiuOYRRTXACOVokpThK0VJUrz90HJ+4ZgttSLwIQ1uspYHgZBqYrRt80 md1yFE8LzE1OF0vG8/PxyrX2QVoMRQ1nyFBGOeg1OgrfZFy03f23CHiPPZ5/iDVfIH9O6XYb/Ni7 kMqi/fxhRbGGqO0aPnKIre6GlYlsTh9gK9IVx3vticWHjr3W9yOsWUMQjCuTTkO0WF/tfvhT7wsP 82i7yx/DVKyhwXQocn8amwgC66e6QUya9OvMZdXelFNH6w4JTU5XHZ2fhk3/lHQdFEV3cxpHZ89S t3hXavkR2dAmaKsURD0pitl+9hnCOltEL80EtbeUOBRr8MaV45pPXI/Zr0hhKj2qiVuIYcpAxgCD E8gpcznSaJVqZBoX4yQdC3b7oNk/J3mPFvv94W5qWhcxlxL4jtVHJSy4Ls6bGehqqHNxB8FdYhFG ELEHotiC8LWw5uLtTlEI5YvSH8zVVcJrUakw5fTGrmG3ga9wZnieS2XB4mYOfjDn0/M6id149tYL Hr0v0dL+PMfC4I+ufmGrty4zUVUo250Hbr7QmzAV7g2ziRi73X/AQRW09iPy7XxIhZQm+xxAZPQq WS6/+ioDi9GLFTVCjgUqzNYH0QoO+wQUUi1FaVPgFGBLB0x2hXXIWBHTKTvblmpIALROQl6ykNXe dMtXP0Bfjt7qLbd+4nl12F81SmqD4aOcIhyASerF7wjMbdd/7TEPJ3C4sAgWNjp3amGV+dEXkY8f ZVD59EKA6TRsyr45v+b2HVjYdgLTEEyaBNX5/nHJpdv09XyuXLpENLhOx8iwcxJ0igrXHR6/ZxSO 3baH7qWLXwCSKgdTvimzd27j0zYK5BvgC8NGpEvFXfZkqWqgUuuNyBT327ebi4uMOjMl1LoUYs+A 2jxTHYNSmI03M7aX2GzRBIYzejtlG4NINKg5C9gEc+2w4p3D9JhmnRMHZLhQgNfUZOgJlPodeDOL ofubzG0lacg58dcQoCju+aje05uyvJJDjNhWTmzP9NUyyfrsISM+I2KX1RHojQlLgZK2CzlwV/1h +RXICFzF3f/QLQrbncuX+fncdD5/D8PLc4bMz0k6C7bPgLRMHjSmnWtL+TuwYKABIUvwnNSrnIFc 5i67+VDFf2vRRCRZUn5tlBxhvjo+dLYavVN4tZYUtaj4tt4boizR0NxPZJInqJwfav/meFLDahLQ JGqYq8JYSJDTBtHfuBn+aFYNlQF4kCpaBgKVGPWU/oQLERx8Lfaox8uuJOqaaIr6NUNmIgs5ZvG1 F42kbXpzXc1LSkGJ9n1zRKi9UxUrHA4c2N5JITiYLk2o1QNJ098w+bB6mbbNBSrHrZoXuEPisAk1 /SglljV5vfWANcmsfGP6LFCPVk7VO7MAXMuxROAvuZHCp0roTF1rNhGQf1cXkIshl75cKM0PoA2c 09cRdbu4h5TRvk8EieIKeLdAqxw42GdeTd3511tUHO3HIJ/+w6pC4UVIWF1KYR3mXpgQmN9dIHg1 DzV8TNGlOiDF4Rl8E/GBAWdJ8SZOPniB3jRyB4DLvvRYsgNvp9lugtRCWDZkZkagzBvgBY/3yIJ2 TQK1lLTFJLqT/iUc0jhWtYHAy5Z2E5qA4txyqeLgsjwchErdB1n1BHoXkvJv6UtqvDEr9avBXB83 zSVTekxVZIDFMFNP8g+ccRmaQIkPqzBzzY5iGqyo0ehNNrbzUbBupnLBZZxRtFyvM77GSiYcSJ7J AZu02+STaqJneziA6J62UjWSLQ3sxCxqkujVZ1GHrHafuXjmYDRRaRv95N0Ui6qk9TRZhiH9YVxL Ui3A9x6sB7Zi3NyetKXOXRj8MykfvvnOuE/kQc+mm16KfRLSbAmkR5AyE3AZxH5MZuZQWgT1ll+w W7cZMEfoKD1g+FBmlrrR60MlG26ehSWmLL6tMLst8TxEiEvu8yMgNhHZ0U93qMDI0n8TfwA1cbmy tV3bHo6JntZFJODDva0/Hua7nzC0bLR54vV7byrT/dZcsl1DuHr98/cyTb8sIUmJykSy3CbrS3kI TGl+iCYH2AYRxwJ5VOKQpZ1JLjKi9A4zPB7cnqhVx3/xJx2ATMXYpEqz60VUHUyCavJiLMYGLz7N JJ6q8AmbgntLMqZjEPgWjz8Wi2XiYUrJcF4GNFLIl4LhyEfmoEK/d7CrO/Xd+P7x+ZxYffI2ucDH oZFoJBnnpskbSAPNp0cxLSjxm5j/VRjuWOgIcQSmZ/cWGPyGBXrQ/7Z70UhbmOgD255bJknLRGmO peCrW2rhUmrkfpNw4eS2+ZaHwM6FW6yQhUw6LlwA13JW7Vs5vOWQIRqDW0cE5mXAp1uDKOBR0rDh Lj94UvU9YooIdM9/z5WHkvzH5GE6QYtRtVydpgqBapv6GMiCDeXiXKRJswIatQNeATisHYWmORoD oXghVqNtacroNkQIia0dIoV2iGWOlFTwLGelb1V1apzNlvkpsCGrWRgWtHGpX/m2d3+raM8oX5Qe U1QBSKgmlGIfXUudoewN2MJn/x5tABn7fIdSgg6ubjNYUSorB3ouRD/lbBXxd6pKHyOsXvPvAdv9 6rNeBRi9UutfP2alqzQ5nAAgXx9Rh44fy0bmqohjwN+2HcKWDkZNV1o2OXTM9DRR4IHhAj91btLJ zcMeFKuKg39y9ldsCsUqnIcHQRIrHfiiRGJO+NTK8BjoHyuTQ8SwgjhsYzDEsoZylnKKyWzDE7ys hvAzgtWqR7+Vf62njVE78R7UeiAijEi0FyBwdCI8IXK8j9zSPbiK5q0tyBmum7cfJCJaigHw2Gb3 g2Fx5Zpi/lBd4mKGPzCorvZ3h04mvKjkXll7B6td+VT8TiX74FWhKBVs0lHgcGWeRDBVeujorjj9 6rt45CDvlAPfpKBq2oPi8toa2XV16B6+4Nbkx8cyUeHM3fpnzAyueepRQm4oFEqCHP4GzeLG1RbL StyZJy1HdiwzdVC/D0ExBIUcnmqrPiWHQGO6FU/xco8dkwvsncI0BfTAbIXKFdfrcWg9NGupgI/n r/r0ysAcMHyE7Mdac8nczVDg2GEQDAMMn3lutaKr5rfq0KqoX/0Xko8uzjZ2+HKuFcxO5n5c7+oO FXilSqFVwt8zMCTr4VavwkXJtzKHrYOwLBqQPm/ysYKDBPgkpuRce8cUM3W1cDraL3vzVJrBAcza hMDTRU+8bN7hpk43WfZQtknBgNSzHYKOMXgXkxzlp2v6plQIRaVV3u08Cgtaj9zJBRPXOhDxrAxi Qqu0mLZCF5NPNsaqwD6eLng5LYD+xtWKTdyyDe2cD9AIPf13DFdi40RVjJt0fCLi3RKjhpNwgpqk 8cYXmQIB+YrK2YSrVoX9KcpCcfyX4GOrWuswL3k8xxT1rcNkOReDrYN8BI/7nPCoiX/MXvY4GDSr Oz5U4ulyrZhGbhjPanJFsqOVnaLqw/4nVIGkt67dWvyeiiZEvI+QQTsEv4pEbi5SgE4URXTco/fk mmt1cNmweEIHuqVG+n9R4KcX/NdqrxVKWyA/OL/5mIQ/uaW7FsBKIRBvjbhNR6QcUNHbWJ0Dg450 /S2dXXwKUMA3MXP4Xglyw9yDazvvCVMw54r6JXPNOxmAWm6aJ4FqmtY6uMTieYrRkYIv3T4A83XH Vjmz5k847YBiSPsvqTNSDqPAzHVZoqpvnjYvOzG7ybMD4rlAJur5IMyST/t29EndJI4I4a0Ym3rT ZkIMyOLma9ajNNwU+DUC3pfvGRe0XH9U0sSWk5UITLiUH4Y/ApcG+OqCVDHNrL2twGZWSoeIHkpR 3OHUo03X4kLIxYKtH2Hvs22rIhJfsJvnutiTTHWzWDKOzT6RD6N8tw13QlMjnX8jz7b+AhNe923O PIOFUrl9vzGXpuc2XxjPbKv4+47I8ahRuU3tYEntgYJa99eeSXUOcwoGN93Dsz7E4nprYkqLR4gd eED6bnD1L27WoQKNDkHjhtU9UyZW1Efb0ZqnDLSh3sZxNa70DgEfWWuxr1MRy1xFHIT6+QvSCySC f5xDb6YUtxpo34zcsevxKeTB3ECHzSBX5ZLKWO+3VaTWefqO28+wr//C4iRzpWfUwBPVtt6k1x7+ Qv7jokXnTvWCt6ut+CCm18T1vQC5GEw7WFmEMdPgVHCYabIsbjayYLo9jT7ShfhvBeQEQXwBgrr8 FBNx5HAHPFdTmqcMfdsauAlgLMHuBXzYdm/jTHOwi4r/enOClWdbn8CM7/39r4KbuXYGelTU9Ikm CHK1LgMDEd3HUDCyoCqJ+y9ul9npY4XV/eloZhpFDNCp+cFzbUhRm0fy/z73ywHpWxwhfdc6XzGN Mx/sraK25qIOIXnU9zKERFwljDwtdewpkN3IPnB81Okh8fPGL6CjU23RBeM3f7ItnIdO59erGyw8 OB1WZiDjQFaWQk6i2wS+oKzjbr17OlKKw0hbL5yGB98CfhchTZ4KEDkKdrRnEZ2gPMhhu9LIi0Qp ycGqqgiKwsXEi1pBzArh63dVXHBcwwhFuH7jKf94yPt12L3H/gCENDZqLkh6cfwisIfb3TB2RJr3 7axYQt5Lu1FPEH71N8yW+NIjC8g62Y5HaZjL+KyK+Dx0Ge0VkGYMtNP7NNqAfnf2YynUdW1G+0bs F8SVtkffr2KxW/tL+LmItFNK3onM/H665vstwrT31B7+CkdWlEqOqXxzN54TsafVDvZGpb+2x1im 9OEp1AoSBscQmkE4CkfiytmboB4h99fDX5rn4wq8SGgFGSk8VVr6y+GmZ8yDCcQAXpCxFLI06D1E MxOWZfjI3WTmTJtuUtwyKLSyzjC7quSKIA+ZNCXIOORN7ZdiT4oVrP4nrhym6TVKnmGQCherSmtk YiS157acUXDtN/19rGGXtK3AgY8AzqW+mbMwNFidyP7FnJbSCrUvBk/DVCj+tDXmfFtLzZuC9JvZ dxCHzlZjbBsXUp02MF/7nF9PU9b0Eyl/omSMqAuchv5OPVu1BYcoc8OxrVV+vP5T9oIyTA0ae/kh NsDHCgj0ss6EQMe2psdrNb3Si9oCrJyyuYGoco1Vmd2jwbxIYgZ/wdztOV+viJTotIE7aFqHEP2E cgilR2xQLOGDTzHPfopO68JvbGspBF/isb7y2WLZPr/DaAxLuJHFICy//b90DtgrjmW8SfBSe0wD bzyaXK+l8X45trSPV5cSyWK1pDnEt57u1lNaYTJ3qfYKAxb+WqhShIy1fki5BBAF7uBcjWFVO0gU a12zp8kQQM271P9t8XJL2/enParE3fMzrPx0ifmBZumOpUD/OJF1SEZgvCKEzg+PzbmUBamBVmM3 VBZZqiOQgNJX5LLwvk2MRumVjG093QwsnCJ83ZXR41zIKKr+Hs33e1lAw9urSE2qfxXKqWjDMMN1 KXus1aXWGUdGjLAvJC5wF0kAGy7LlKt1aWgBN+zkIjR5CwdodXXHLwPdXelgC50uBftTIYP4VgJG 9OKSRkq6TZF9pE+cRFUMH2WFW+O53mEc+CyVhupSA6l9LZNuHEFV3mcDvujaN7f8XBniRiehqye5 EZb2nOA1Z6j3JfMSyTJ2w/l7E4stFneGufF04hC7Yoke2zKuK11MDKqjj5hiQiT1sHayJX25nzJZ HDHGXk+uFuHHNhFDqjQASRIN1Dzr75bdykI/6KLoI8bP4RQYkFzVisiyMDwl87VskrgGpk3tmbgp 5rrDetY1/PW7rx3r7jy3ihUvWgt3PpL3nwCibBKbsT0EJjpz9zhon0FflFULmofNpk886u7eddnq Z4QIKdua2y9VFTPMur0Y2Haanr294BaLuvKnoYtX2uzkBAZV0OWDLLfvmJLDuGpD/So7wZGYSONR 6xfYytZ2S1RHEhDTK89IecccUCdf9eeFPjFCEzRbjeJAeuM1p7Tw/Vsgzt/4rbYAdzgK4cTkOBmB /KxoNs6f0c9lsxn2CimlTJU6PtfvYBysRRqkxZxIyFaFijsgoj+RFwmgXAoGE9qVEmMd9BVG5faZ eTYjyEtkfG2ayQhW8lSq/ISgp8BgIA6GCSDuON/OCQg0ksjhFKPcwnQ9RneLoH9dpv9U6WV4ytlS MZjBjAQSCO0zXyr7GQn2H8DACvRQwnrOwiH4944hQkRSU0LxkPdkaOJ8LQsuE8j3yQxvLhvlME3a 8ITibf6cse24MzA/FVqTe6YLOZ0odHcTz7hlWVq9QKjLpPdujFcu79N8fp6faTmV2dRcr7SWWPZl 4+gusmRlS2Sd7c/ODZaEgzYjq7XpnUlvIBkNIqg00dglDEk+3sBxv75PJI+4NfclFNGYkXFwXl9e xxC1u+Et454qGQ2XJFCcd64lR7xOqbxYv1V3teNA1d7DlOfVJDLDWHamfK0rJKDLZmIp4qwFW4zW ScYEzySTYYC7QcoQUFTDc066+G85Fwj7ptnVNwpPAXsywnW2RZQoRoPOVfIPc6PalBpD8hLTvdKV sGYLLsEoaqK468rCvUb1UMisfBIeiXWVZ1OifcLU5ODghmPWXxfSX4bgPf2vbjJu4kCZUwr0iQGJ 2tCF6Fmhv44QMFA8DRO68+Ufl4b2B2rhoOgaDUROzM1bPK6acSIvKSJZpWGBPKfMm45EoRBBGasT tCahsnoslzW7nHSfuZlbUvuhK/QUc9oFPQx61yyQvAh7/QzMdEN1mfNPaBB3gnq58eMKv55zg4mv XtTD0Jb/490SkNHTICwf4u4N0+HtzyNfmGCO1JXhUYtsClxE/ph2q8VOyinc2msuivY6ZiN0mO45 UObr3MGlrSE8RTJSciFqjV0FdqEPswONWmgBXx/bi1R5upnTxH9OszbCz/mjF1SfqBkSW0FRER1p PJYrcYPtQ026TplxclhIbrbRQmNRdofn8VykN+DllO1HJdDZJmOyf5K4vbpzDE4GncJUmcu9pVeA s4IrkwK2qE8Vq4bmnim8EGrA/Jbj81MnUtpQhDvTcCXq3k4cAS0LU4egEkb3zTu+MJEN5LKs3MnO qYVOfDKcwBDHD9gvpsY5mtuk/L7P0/JMqAIZfSs7WzkiOkIpYritB/RDPF1ZIgHIO0NibZLXhFuz PNbe+swTqWbq5kqVXI1T18B90dZHUdmxvliftuqUU6t7LBAvasghxmYYHbJfXcyXOpw7efi4/gbp xxPaznRDtgeEYtbBt++v9Y9c2/8cn4L2uMeI2GShO/vwXYWGpFQc5BBeJ3MYSJBUnOdc/lwLZg6l 9sK625q6pbpMC248wRngw8y8VnnHPZBDu8uX0i9o1hJebaujOOTaWGDBOrhnJ2kMTLUotlEFSCHN oxPQtuddzjpzEVuyoOf0d9i2MVVwPIgQO+rST8qOnT8GITj7PA0Gi1difPZoc/fEVLo8a7QjBEHu NVbdxAiYuNzl44Rwn8e1qCe9Yktc5EraqoMCkNrO4FFxTku6gLqTLgTwbUcZgR6J/OJrwCspJo4Q Xfv5XPc1o7NOeMSAEQrkK2GB8FDSd5FTV3pewWcdGsnnaoERPSE4XYMel3Fteua4x4GXJWCbX23m Az60q0B3BLH8pO6MX+6aNEagdgia2gUjHE/Tv8IjzpMc2xab+MTh4BkCX/Wk8n25u2DlpCQfofMA RGk9LiWF1/bl0I0bDnnrXCatZLcbV3Hd/13DTa2ClTeOqG6N5W1tr62H65YR/ko12SM8q+jKtWMO mcne0DoAtsYnlDSWLk6A6JEdpUHCG/PJWejFOmfA3ghxrW8f3RgkRMBFVCL8nPp0oaA97DLRUg0/ pocjuhZAlO6VAG/0BWxWJFPjCPcS6/jlQl+t6P5gzLdSl0/TQ+obGsejuhbhnhbtSGZXgDHSP02F 3dfo3EErXcjT/Hu+nspce3fE07gx6XpDPEp8S9Mbu0v56uAoWMfRwnWITKFXbgwuEA8IfRVvtbic L66xfIhnKB0ZTyCVfQiGB4mWC8JZkFX1e4gX2hGKYBQB0E+xmlq9IPa3ojNnPrvF1Ud/0SRMwjAw zUM6ocb9wMsDHhRVDKh67LoolNG2kEwdTJ6PcPugRivaepG8mYHO1uWLAmvSTpr5OCl9Oj+Oj2G3 NkVnihWlKCJRIjyrQ74TWg+8QteClQAwm96clIPL0XFJoqgNKVwbltKiqxg1a04q7KSvju74vXyh 7tdiDwzcTfc4WIVEilRQomLzLztMFeo96+L200CJsUfoQAKAegJMPHiSQwQ87W5yWabldhp5Oh6s gGRp22uF3v3auEwP7E10UEXMp0wsjVTvzCa4usrXvQsKsRwcJABsMi2gfEi1PJ6InAkmmZK8ayZ8 /NmP9kEBzdxDXtt+kk8JHSGNwI1Jb3fnnO9YfRGps8W3ozlzW1TJBCRPfGI3kK6bJOnLhmSXJd20 0QOjmrfjczyp2a82s5RiY7raXYKMMWSSfwESk6ftIVK1u8hlX4/cIygfUr88kGkXvkzMRpZR1Ftk oYn96lKQVMjqAnQzq1gOqf33+M9bS+jFJnx1w6bLe3JYcZQTs4IW/zuHg9z5MxnjX55q8Hkm41TY AvI7SQQsmfuNiCw24J3IyW+e/V3hdTAKAHhQ+IvRMu2WNnbNLRT2/yvrPSo4aRq6qf4bBejLTWe5 VgBjfcCraQ3NkQlV268WivvL2hIZK0bRLDDX3Qd8Wt4csloFQ9onVC8fo+aJQc7rZdaGb81NxuBs pm6GFwK5cSxuSb2A/fY5XY7B84hXN5p8qGvRRbhpDHMBx4ZLAko1Tl+EkFKmS/ZVkn4844uWTT9l wrHmWDAm9OBis34nWB7QgC2OqtsjSxYjSKSWUMc+Cbpo+Z49rNvBw6Ou93N6EC3kHfv1JaDJ6P4E oEGAA5izNA7mJmw+vTW33vNXFsAMHqm82f98LWp4lPk2XJeymzek/NVFr7icWUo26bW8YVphtgam wCkH4wBQh3t3yOOA8C0MOfnNfio8wgQsmB4ATlsPWLK4GC1wtvV0CoBB4b9w6WnsC2qb8O4ABXsY lKGXn1GVzxtA3cGqw8QG0P7FCWVxX18Twxp5BNQfQH40cI4njfi6XIRVlknR7VzqaBA90QQjzYBq wXSl5W2do7NVtoTPxr7cQS/k3xv70Bhhxag5bJ863vPdQqKz8cL5mw+E5hUsuqndR94RJTLeIp1j R6uJFfdJ+EbKL6Xnp8pPvxAMnfqkxXtzchshi2Q4cIMy1D9kmOoz0zItatlEs+6PP/tUH/WFCwOY g0ktMKCK1OCv7i+F+/Np8peqGN4nEpjEkZRAA0hUtg9wc9289QFnm9W/25Gl5UUk/igVPDXGSu5M 6OaDIwwfebEFGodCZKs4lwlnGtGB8Jlwr3mp+yMF7q0l6/TKhk5cqBwF0N8YI1kbaOpJJtUacT4B Dz3q9dQgTtfedkYG94g7LrtD2qwVGKOA6SuznYhGMubjDqrnh0+cU45sS4alUD6NQL2yehRzmb81 unP30gN4xlNWgBWk5IPjQKK3Asi4pk3c3cTGggg1xWLBeZ86qg6CMUHeEVT4pFlvkVuVg7PUridM av6MKo6g3CfwdHRQkGazxVm/A9f2Q/q8DR+aGX5mf7WHQkOrGSYo37P7h9l43iR6XTZpxVjXVSlA 18Dv+g7yCzpkpPirRixc+AymrlPAb6Lx0O93I7lvs6+m90GP6o9oikDVNeaKSALH1xy9mqIErLFV 7gPSEI9R+n4v0kpB3qES+fhOxZtoE/EsfqzJtCFA639uIEP2W3pyep2jDzXXYXmwpa7iFNxXnMmm 1Ek76wSozEQHgG375Pc9cI4pSFPTKOpuGnYJ8XerXDAuSpl3e9k/fmPXmQUaaQzFWxLdJYx0zlSS aIixfP0aTPxc6QA+r72+F2E4q+u4DQcntPJ/Yx3vx52VSUQHqx5lNnK7TM/SVcMUfGMDNWRqdxQQ 2b/Gxs05CMQjc0AcC+IrW1SkyjNK/ZFRy795LiPwxS4fGaGJ//vt2NGUEcPsMmprP7BHkzHo06Ls obDbirojzJfStgvvj2bWs7tsIDEU8qLyVIA/sb5MSLKZUwdc/yxNO/rnciquqsTDIGpF8HqKfXdD 0Q/xpXPnmTnIZquXvMSHjBHNu5gbOZturmVI3sFGjj+HIDHEc/6HDvmGv4d3ci7dAxn4CUjk4Ke8 T6m/Hk5PoPAGfqMxrA9sjLscVpUq24KnOpVQqLGio36VaE90vousOwCuQ/HdOOdMiGZYJWNBCWOs 0SLDULyHTHh/8XSeB8WolUSdWVKn5pT+cPanuUApjZXeoU9+W+bZoau6KfYBmls+nE49jx5tBtqZ 6ytcTR9wpQ7jJQCHIu5Isn9pYz1SRDd9FFxaGbweF1RBPl37nFDNd0i3aEWiavrspv76hgSZfHoQ nTBmVKpIDoxN3Z1PtBwyfKWZnKKzhvQcL80+IFJGotuWHMAn4MtGfO85zdtSzb1QX3b11O91iZXN OouCzr4Lxu8QulV+Gb4wq6dMoWJw4I07Ovh8LVbBrWyGPo9tOVMIFBR6WtLFRiEd7YgMdrqYin4W Tp/DalL3o3+yZuxUGPv7+65Vazug/Vl6HZr2DCsjnkNDoBsLELwcj51mSmWZ6w2EWEsKEJYMuGLD mgRYO5AcOVUiu7yWKJCdYsesCOE1S9upZ047H5YF9Ui+mWJfrTPVCqfjspc2cLR76HDRLQoxG9C/ MYhctnbFRHKoaLHMceqnZgRrYq8lpBiy7Rmo+VhTOs2RA5Bs3Iyhl8+GOIS1nGaTR4Y2lBUDBx9P vh7jDs1zdNFxyR04u19YfjM6w32GTq+NPwUYhR0vd08GTJWWHVijoRfS9WnZEBgkiFO0ORqcAPfp NhWYdq0+9KuuVdQFzfzAJl6SWFz2T2e54KJYgx7xv0la+Vmn2RHr32XywOsadWbtrgxIW62ra4Cp perz7TBemaQh+YouEK3CxgD5pkV99Ly1+rjXREWElonmiY+iABGZsvBCi02hvVQOMIGgJxky1gkn djCAFPGe2RGLBjRD+obP/nGzwskN7Jac6Nvq/t1spohxsfUkOV8jFcF4H4STt+Vq2ovWtuClQmoe U3GO2R9h5Yo60mesTp0IiseUVmWUvZRmu45+DyV+/pQ8TD303jwgYHMpwGsA7gaE4CBpXUcCy/8R SNAhLvXa2gF6Imdwh6fU6xf0WD/WGZOFBt/gYSj46snIYy69S7f769B1fTmnzfJYcQveUSS4U8pu uRN5GSkLbGhDMDuIZDjCnoSu7dsnDjOKcFUQGMTAVPHeXlHv24GtAsNx00JsvARbN9G6Yo3u1Uss oDodhTzVp9LEPPSX4Wl2q6FmlbJ0h+nQ2eL70wA8YQXDcVeHZfh8Gd2xb1XNKzzdOCqrwDoP+Od9 NlGRLJDvhCVxWnNCoib4UN3QogeV8V0KZbf433TOyRMot5Rd1064tT/uJnrAqqdiewjYT8rqierR FR5I8S592OtFTAuuLJEZzH3Th09GrEF0w7okT7KOPt+yJ9ElzwQ1xvtBiGJIzlwBV7HBNZfkqOWw OeRPRDTr1x2Ce6kWQ6+Pm/SZbNqp8dGfl7reR/XI0AtZNKeXsqMbzRpe+yiFRdEKsfVHSmbFRQSk 6Qjt0KczgtYxt/JCDBUhiH9cjf02VrWeAI4jpo7aH8rWRmb8lmj2hS6s0BXqelJpOjiY42FdpVVM CilnmyIjAbeWmsCaPE0qZfhHmIL/Agi8J0zjQCA0Zk6KuQTXssHsqu3Dk9o73EsFHrfEB0wrFKH/ xujIgqIRTqGUik4xKTuLHA95kB5JSMgGVy0s8hZkMBkjUIK5ca/Eh5HEbLT9VCBWL1PuHzbHFh5o pRuOEMw2Tf9crmeZsByu7CH9Q+Kveuyp3iDohhFeOjMqTwEYUEOFhpnm2FqzHXOnWR5DE6CWFIqz BtgYpvGsfkUGCwbn+BgtspEUvsZacj1G1TevqDWbYNNwO8GNSSvw7at/+temMvyxeoYIUZg99z2r KfZ4uBWLP6/+C+oNxLJvt3DpNyrjG0SA4RxioOvgKOberBsP4GPPKeKyxVNSvccnNqrmgQ2g62eH 6Lw5yY+LpE2Rq5VDMrI9PM8NxL2SU0Z1aaH8GOSBRXRzPpkx022W2MbDIKpIF6Ns79AxS6TlHkKW MjotaJK4GL9mbDXQol9qFRMK1y9fgOBIJvEeqF1QsEKxX2wjO2OZ108AK+zXHzPmBVIyxD8KeIR9 OFafE7AEFb9C5WElKiw3V/url9uznoqTlnargkb+92D/YLIbq7Pe00nmCv2eX9wJxd9T/9cNyfFJ dtKgYV2HwP+4DY+hQWqB4kHeOWt+xpPpReL9ZuuqWiq6YYbLyEIKaCWSuUIpiCWSH358x+nRFPrf JmnFOQEESxVCZEcEjLs2pfub99bji0kjYkLgmUUiEozJzSXcuapvziMz8pmiHrgyL1GshUDnUBJv cTPaYaRG1fuok/z0v/GTM8jdHHbi1jS0fAufFM8vvkWnc8bBk3OAA8G1YlxU7P9fxVQDdEvBJsQR kqsZUruafghQgQGU9w76AsADaEQB8Tlqgdsv3n4lhzpox9XZ+OGSHmToJT7HRWTdz46iAqkpSgyj 2zEtE+t+QY7GhEBHr9tJieORWWfIZYy+pL5LiKXBQet2i+2S5V+Kfobe6K+a5tvEiM1tHx/okfif rpBOW+BZrR0hYRe58CQYOKoM1Qwp/mLhQyPdbZp6mB0ITyTwJXlU7ZD/4aRVagO8z+mcfQDdVAf6 wuyk97vpG5kFxKwxAuX+aHGiZZfbayZWLypXK0/Lsh14n/AfnvYiGKwbp6vepOH+o7RkNVNoCykw 1Jr6VimiQroFgWwTq2RM4tmHOJ9iY44WCD9R2wZtml2R5uMeARlk238//zO7w3Of/NC2wdumxasp 9oDacF45m+40iqi28jRe+hID8oisx+dyFrU94SZ+xEkmYzpV9DJx5sZkOdTIdj+mZWBY+mrzB4MN s8DHD0qrwLglCuVUOqUrCzSXZGl1Z49KAwpIIJNShxPPflb+wgGBXfGgbdRG0u/pRpg165EkHI7a 8FJ0F/b5nVR3hnDzKZiIHj7F7OrLprFUAO2d95cqVDGGoAOYShTJNrbcZ6KNHdhtPSfPcy8gxqAq qoodmcJQCH4txtpy14rmsqoaHCvAMJUC5pyRO4ELwDfn4OAOjZoNALmi+jRXNSnt0hHNmHkiyBkA 5RJvf2TrTYsx0NZRidArZj0Y3svU8rb4q0PktQnscRTkZHPueVwggRT8n0aYG5FYPR4nzr5Q85w1 vOWnKzt5MDvKnr2cZWkj0U6qf9Yc3mzvi1E6L17ovssY0OvQZrFTOwRwFKo2+/BL1ptfTGn5RMru sCZA6ZJsVtPyRiMIEnJ/Tu6L/qcl7wJZwLS/BUy9HpblldDo4OqhUuaY+XwBcTunUUo34UbS8ZAp HlErz3x3tIW+wKvC+vPFZ7pQcNYJd/OW0JHauwSGZuRX6Gn0aYUKJYNik/ec4MVk30IvPpuhnOtI UAhAf0zb2RzgVeyA3wuGxpoj7b/TA6q0XKqilXMyfOPl7AV89crtnj6Kv0PaX/2S6/WNFy2frsSw kTm7U8AP3KxHJZaRxVbj3VmHT0JgUj98mqEF+V2huc7GZ75LOuYScFMcM6T4lVCF2M00JvseD5VG W/vBGGhd5TSa8PK+iNa6Ir6Q5ilIDTKQz9ZXg6enJHYa/EsOHuPpYDsPUZrZhKHc9/6KRa3Tjs8K 6tO0IfNiDcrs2liPYA/9xKnE/GQpyHL7qXM3Pv8WK9D2DpItiWWlGwiIn8Uazm14VMpa4zlVaZOp whLbTfSondYJ0w0uphzqQeo1i339C7ALu5VhJ2upUbu2gmmCmqexf7isuIdT2+YUMnqGaKXsmOpp nbovWTAoCIAs09nAUQTEFl27yHIgSFnThi24ayYooA1sN7WDUJ+FyILwE6KipNkbHzzliPjau5tr ak2kjg27hFufTKGzkliyB8xfVlYCXaw78qrUyTdWmtfYtre0vapyhs19WOM2eTY3RQeqSIEYlemH BDcX2JBosnO6F1oiEikkr4nRy7YLhcSWL7SLxqDBITnZtFv0bLKjdX6vPggoRFTiSXq6PuiBAyN1 skJSDQrwpskWytIkwHRll/Mcrgc8SbIJPwfWDBvPg2v+yeyfL7xvkMUP5JU6lFwHA8r6i1RKCOIk f64daOrPwUwA8DXj6Fc3xuSdhqX9NUdwcn/c+6iMdOIPdqJZ7BMgaylP8LyEnLqIjdfVIOnde+6Y w/X0hOltXeAjNe++OYOlZYC5T9a0yRXSsQYq97G6vohgmXgVi8cPIo8J+DFRRJVF0p/YK75cmt1x 1U9lfjOWL6gOGnejOYyxcOTrCmcMD/c+qWX89/cXgINNRIOGQ0ZJ8n/Nn3y5YFLKe4EjOhanFV8R 1KnS+gU7QnKx+P345k8OAKjawyMxhQ52KSq3ryo9ti2rQz6cZJSc0Qt1bk/Pm8J308I9BG1/QSVE 8o/+M4c0IHI45y6504RpSg6Duzhcl7WqWbf1wW3UYaIqlJzbLPpdBD9mgP9moyObkvjuccRwCbHZ aGhihU/zdQJSlOrJ2LEYlWvIx01+lZ5AB6eSVwqrO2bVPbErykuojei7G+XUVWuLCk7mn6O5K1W9 y+a0yI9B5WJw09lTzWrmWCyTtPLhTD3nsoliOQH1M9gxp8M6ROcTvRb9y+CAo3XvMvb5EA2JmBbx WalepGEKhf/htQReqvzoLSXMCFq+/gMckpzlEItlm3JeTBZyoNl4aEDkUjzrOnc0TtULNLKyOzVh IY3CxtPBTxuO0sNsm/OzrBPjp4cyPivdikwGbnGgPJ3nXrxqyfodtTLt/5BPGu2plJb993SSV0z2 UKutDvMjLca6FRFKEThVr6afMDG7fVI+pehLRpyU5gMqXp/l+MV2CudQDMIBhythNTkxKR09X825 NqZONt6xIR8AfL4O2D+YiB7w4LLrwTCbXEERg+jFoehlAMXnhHZJf3MVIkO4pQA7YPmCRnh3T7Ub EKspVoncdOfhZkYg+shj7Br0NcMa330dz3ZHs115ZoigK4su5KsygX6dhKyxzyFxpZ2mqDyndnxi kSJpmvzgz15VcOJt1pP8UGXG4ow4wIyq2ovOAvUdPXNq2XCk8pRM+Bxc7sg3AKYlb1kulZKihsPB 7B8qePC/KMha882+kc+wPKVaypzjM/yYuqFzAOA/No4w1voqfl/TINW4fN3fhRaheYOj1ZSEGLjJ txXTVyShHIO1n2fWT5HX75RINf4SZoBV+GDlZCepITFj5wr3VFnnqccpsL69dV9cyTfGWZFB+pS8 cZcy5vOcx1Bdmuamxi/RD0oSvn/hnJBImtHFTH1y3rm8icte/lNrukAnEVhz9TkiL1XAHCwOXv+o pakeQNIacLnzcv7npa4Amtl3khLnD9IQt8A2JoZNT8WcS4plxy8SvS6vzW1e95AIvv29A35mFeK1 luUHsvp1dmt4PkEisCZqvWZoAth6SO3V+LajjNTyLsEUooCcLRNDtwWw6OfPK/sAj6IuHWOe5jm3 jPZD2m13BJ/EO9gCtCU5MD2v65jLmn7ZhCXicQvylipF3gmVyv1jzxtAlZBkSykOW0SSDOdRb3wc 62r0Q09bDBcWWnrPQhESU6RBopgIF+cE/ThSkI9qt6wOmsc9nO4kvV3ph9JhI5wjorNMP4r2bfJc kXqElP+vO2qaAo4DxWQ9cKqArWyb/00qCwreNzJSYLxudPdSr3ma9/0Ms4628KkRCVz7GrEKsvCS ZHreC06lpxqwgGa3zgrAuZM9hPiojvHe9hrP+OgGaTmvQ1WvkU2ri5PHE9Qii1d2puPXjfEDZYBe 41iYXkNg96TxS/aOyNS9gxzbRRwrU69Xkg8+lb84unVoneRTKllGRa+VUqAwNML7WUvwHTLqEFQl Qxs4ahHmhz6tC+KroS/nPcQ5GedjoMsfPJga2R7+cUgDO8o/P3hM+aRgQ6fYagvBuF+6j8jtFzm5 eNdwrggQ6mTps9ArYoLlIiiviyLS6JKfEdxz8WFccH8X8teTQRo+wR/VpdbOyXMgX+cto3keM+RC dYJ+xo8txRWVt2J0gapjo5Xf2Xgf8tGE+Pq2Bts6sQch0Qdc7xqdVv0qIwZbJ1GptRXn2Ss5uSxQ kwy9Bjxh935oWrYynR3TcJBc6menevBtoVLZ7RGhLtgkVIFSosBg62669y/6D/cSN4bpVjtOHnQe TVLm3CvU4W280h/gcISROJbZA2+8X0AA4S4WX5rGNIZEjB/OBkEPb6LqEUuNvMiGY/0j3euQrvS1 vnpTsa5bIep7C41hDu5C8glWHTKXFF7KJ8SElZL2gK3F+T4hGiondh8QEuJ71uOGpciORRfTgUKa kP6fF/Yd4yknb583vtsRJgNXVKks2SdswgKl3r1dL1+oiiiutz4R0AWDFilHZqt183+9LqaTV4SJ FNQj+S1+CGfMENUNfS0vBOqtqQhbETJykx8r8Zrq5aB5QUAw/tWwA3mvQd80P5LujBbQeagm28dN KgWsSjo10iEta++yyH773rp2P0teTMsdeLyMIZQYXY+GwYYdwGY3g7g7E4p6X1pawLcdB5dOiCrA dHuhZIvEcBLyxuRUOBHGsebfgodRh9MGiqptFl4ktg91SNg7SzO/A9Y+P4KX6Yoyj3DunQ/rheKd 5FnwIMzliksAye0ytLI9SbmNL64CLBS6LwcdjUt96tqTFoaTnN/Oy1Yn4xVN6z8x2hVhV6UmtoTC drHiiHbrNIX9pcC7agfRHG+4WHGq6+qyeIjaZbAtwkGiPbVgEX2CaRzUwsdIjYRC6uZjCHX5hfl7 6fq+TK/BAe8KEPjVdcpp0V6NNPVTx7g42Rm+P3/zpJ+cxtWYAz/4/zod+INaclavpfqKPw+DlmuF KeTAHN4WFJvMt9daBCg7tXlJc0o0AWIPpYS26vW6nl/Q7F+++rNEV4Vm/bl1iKpCy3CyAIdD2D5g DtkMAyC7Hc1igvFFQMkr7/1KLlrZ2wj4Hie1of3pSbk7f0xKYBfgBHNxBbJwxcOUJKpH+8af5BCd UBYMOpWiI2t/J1uCP1KrxnGsTnJRlQWU68nYeruWYTIhJePNSEEicIXY9S1PVvKnOB6szfZ/j5Yr L4av+r+s61pYBJt8exTNQwqveCZgu0GiWBDvTuMI7cF91yaH4xZyiz+NSGRezUhPcmyNRCQ5iTmO y8MEP/E2kiO+6njbP/b171CWSWHYiRLG8U+WQK3yZzd0UejeqgGcPTdR3wueJzmj75K9JRUtQUY0 td15TxSMplAKBF95QTpkp6qkDNG/GJh2wtbE8HGD8MEzMXtqJo/9yXxXwsqkBawq2xniNq2iR8pq CsgAQHjiSFM32Mfr+Zb9dCv1QCw8rTZOwdFC+wS4jnqlV8bU6MQz+xoqzE4J0fV8nJaPlqmOzLZC KUQofBRqPaJrmJ33LM1M2UBi6VVLUvM5PtH8CzUxIqUi5E9saADawh3vvHO0yUkmO2rNugBPRQjb fRaCZsxUsqOBijcWkxKH/dc2PPvma9ch6hRqMUu11mu1Brqtp6cdf7Zqqj/l7LworS5SEnPyfW9H ss4WnWFvMwwkJuuGvUHZqnKwRQsSHylDMjKLGPF4EhFICbmwmx29mHHEa7m+B9rdwmznDWJB3pYC JNzeYQa0gm1gIosiP5WGGszMI2J8St8etmdJVGqosL6gdpsr+C4s8l8R7ur4x5GXknCmWku4QNp1 oJZWWYQgImYmz5bbpnTwCk8aF0vO80X2DpaCKXLYGVEhLDUrobQxpU9KwtQEOv9qb+8X5ZviwVtR nFpVLvDOzrV54KQk9RRnHJUGe67GYAAhCQOIEYk0vlRUBeJwaQfa0zcvyQdim7gvYc8juHEUaTdh 00CsEAar391a4kJIk1QUYKyBCao8e2VEfQ5MOZNNx7EDFmiBeeqAKzkXaOXg56PGxrS9rvIknmaz Dg3Dlz3LB1RQHXeFh/qNyI7yIXfWWCP7bOPx+fLnYAWHaRaLCTZszSn9mczUcIj4fPbDKmmi2n42 RSeP0VM1h9r3ENFdH4hobSCgz9PuEtR5nblqn1Wh1jzBeKg6jO3u2d7LrLLOZEp9lT/si31YmWZf 31hEpK1ktzPRu34pOlNh9C/4CWIOvSct7xjnzqV1pePE0dyNjUCCpVQixIg+yUN0sjIRHumpy67S jMthDFR7z1hM836syiuMBfC6Fx6ZOLQ8+mKNPXD03kHnTP35tEfSAkPwB+FF+ZWc2/nsMc+DLlxi OG1yUP/Gb3Hk2rgcTKPZCS8w0D5QcmfvDrsFp+3HJ6FJNvp9AIyLFYtZVULDTdsdeQflssCoOBAd py7F8w0osdEPIGyEFLojCSmlNu4YGNbNAG7YbIvNzL4GxRa8kpPIeACUZgZCUoIWzY3G2L0W965i yDNF+aXVWWxhELY/6KrfLca6vst+8FQUBgC6brSQT3AcED9MgIQFb02897HjJ53SXg9LZ74ke1to ZxyYGvgAJuuuJoMiygiADmHG7gZ3oTiwWz0ZylEeTiE50fqbZGy+6KinXXbKgPMWjdRSDqqavnKa ZQWjJ1puw7AeT6dllkno5wedWG/r3+GCCgpXILyiuNjz3HlmSnBs487v9h9EkDOluelhxzZ8bku2 qHf1/BkQFC5HRr39C6uL8WeuJR+0B4HU3yaZ0xmlikwXymYVmYPltaAXCK3uUfqIIaisULbzqBpe MUlfOJtx+uc3SxXYx2dGhOuAZhrf/T4ewnl//qvgHvWb4nATSmRaPgtfJwV8qIhK7aDN24cZOFpL AnjmDrWzvFDAjw9bvdol7ZyAzKEPvK7JkWgkYH/D0VZ1AYfWF7iwNYNh11xVYjUCntMxS/gsuXJD 7n+KVRoc18tFJ+CO2K8OX1/TSfdvlfj99Vu0bgb8awHVuzuRGQVyM8+qsgY6MNYYZ0E34GNKbj28 nFr7ZnqnLhe3C1jK8NPyowC6CAEUR61Hrf5tNrQ73FM/5JNCIA/T0fULhotxtVlXy3J6Vq/zzGLD n86DuIq3LvTh11xHk0iO6oSncWdhFbdeKnjieZoXjG8wK+Re81g0kWEHSmrveqzDpAedtJ4fVCTJ fJ2MtiAL/MFYfGPtt58h84r6OBBWZe8HyL8Eiy9kIGXp7jdMF0adp793zo1hlEdOxe2LMsIEztPA 5CwIL6oGEJfOgaDQuaJdIRM7WAcHDIalY/WtLiy+SE1uIKB6Vj0GyE6LgkFLGl7Ay2/T0d5dS4VH HRuG+FdBg+nQ0/HKjoTsUyantq7C712M+Enq+TLx+8rQvHuEfp94wDiObZTvpQbmwg/rcSJsX8PB FKbfgjzd5Dtzm5hBCM+kw3PIlcY4pRLLVK+WWergrTleLVP9XmrCnj6hdoFXkUssSJQwR1Z+n7mR PZN70VDG/1V4yyrm1mlsy6wv6Q2DlGmtCLys5OCZQJHbZLUN8iA3HOYK8GlTxMZ1aZhGkVgY6UR7 RCc6x7jYSavtaq+8xCJllu/sdQEM/Lr46GkORFurfQ/GM0q1fggxC7iING5K9UHruHHzCFQ4d3hA pixjGuT+Dnh3zsxqtY7V8Mg8ANtakmNr/XXUNx1PfTaCcLdRduD9YAwEsyY5wKk29hxreXtbOI42 +72D95JaGw3KdLoBh50iJTQCdCyVaHo1Bh/S9dB2usc508JVWtskakStndFB8FLlNQFAunGvVYFf AD83nJY3lR1QOwfitqZ+d3I/glfiK9LZ13kxvHe3S44XTGt8VTFGGIT59MYExsyJnpl8Fg/MZea/ UvfBzw6rQuDKWDoFMOlSZGmFeMUtsVwbJQDZOdE4oFvqY065TFVEh0LEiXHoZqaXEkx/nxUdl4C9 f8QEqAc6naoVJOinnXZuTOeniAQHgmiL6K8TOMwhHTevSBpdolpzNjw6aU5+5Fx1dQZ92lqBn+sL EgGo3z2GSoT40HkXT1+T19GYE2go9hSYVGHYt4reDCIMtCbLI8LcFauUe4D4IA4InL7uIDwNDuEo NIydGjaqrlghVjM3ZD11kYxfCfPvhsw7f221VG8QkvZLkpC5teHFh2xby7egZD+yrmL28at/JKxD Ce1Oeij0BRillf+nudHFH2Vjqb0+r0dExqsXzxwA0jypw/lDCAJQRufTsg5Edy7DsZbyMaqGfqDv XBlpxYO2DD1HPZAKyEd1dtu9KzaYAY1KjglFURdjrZUc5s0+ErcuIU3EH7fYUR1xwa2efzUOqx3B cMUA6iRUciKHo4rVup0+kCdYKBITBNtJXX/azPIT07rrO40y13VCCglPOm5VVznlGgBucHpbNx2/ Qin3JrNsQ2CgUQX99SxvjU4rOUgCsFlcuxGsDpAiD25EqYlRBURdJVtn6KM4vGZHoRLuzEXWJOa+ cAZtLzs0OZJtRnbhYq7XH1dpMv8uEkca/XV+W+mA+/HpfHpt3kxz9XR7S5Ukha4hkEYOzT3FD8na qX0fopD2+Vmy3W2nAdR5PxiYLVUMYCZ8wDNcxXReF1HPCUjEiNvjeYg6lGy+1aZeA2xfopLTmL/i 3xsQTcLe/Olw9OTiyKr3C4Fyx69BDNofGwash/1kCq8idS3kv2i8V4kEMr1xi46HmyAj0q6xMsyJ 5S/BUCvOCEQK5jF7tN7Dye4WX/vKgSbrb/fME0yFRiDeL9lLTZZ1NCOg/n7N9o027j9nbMd6eE6x pSuZEp4R6wsUW34bPFy9pCpSalFGbWx/LrrDeGxPOKiqAwd2/IVXNTzR4JG7RJO9bQq/RV+BQl7d SuLN5O+5JNtgXKO5/hS667/4piQcHyIqBHiw0sEUd+RuFZh1tEJ4u6TUCS/rsNfdiRAyb+iebYER PbcDlOwysJkE0xvTLLdytjeU53FMlaziigvdkbMnuAI96AAMc7qs+YF+4HSmlvWnwv0g7ePjRaHn hO7oaLrqgCn8XHAvyBPObGwnUu1F/Ng+MfNP3yMlYshB5/nCgcC0Z8GxZie9fkwwYrYLvn6BALYG 11KSxc/7mnZBBDcWVZJMNJj7kG0hXQGmO8RyGQjdZJND/d0x9Rdb8kZ2/MJJb5UkkcrUQpAv+Nr2 jRl9cD7B0rdixVUjttUYsG0L6P2SYdGM/VEeC/hOG1jn7yMDaMbgTuFKxM0fVoBHqEn22kn6EJjX 0Kg9OU86SzJSMNNLhmPgxu8+m53BTMKy7fAhYWqltvMI8CVyNjZ9M4SzDjOdfriGjvjh/ilS6LKJ SidoJbNEn0BoGkYowQBCrUiFWEubSSBXWnZk6cNqWL2qzkkXhPuhbfN8BepGgk1DOCDj4olJGrur 1k2CDPM+PWVMr7pmwx2Yz+qmNYIPDJPztqhrZ58s7agkxGUGldSZPYOfikH+jIDlnxTRopUp+xCx G7Gsla/ZRqBl5BMDs160byyVaeU+o3y45XGi92CKuaNhkhoHfW/i9HibiPF4zQNNH6uh5FASeU63 zQTTjPJHIJ5TqGd3Xuq7irf2a9uwyWfVz2aYyRC95g50f6Jfb2iIIxZLcVjw71ApCKQvCOoM8S/k xLmCPYO3SXSSJlwfbwWphzgIT4Fkx4fOOLh1SmqOQAdltk55DrvY+B3aibgBgpVhEaTkfVaG6KCn ZWXE1gAZBlIWsNAd4K+o7FD6D6qDqhxaWsq3qrwjfjBmefqteDLMQS+nmywD9TWsVQgdUccL99OK 0/OmhjVxUDNwNd/Tg2EF5RMG9t6CvogObGh636PH265mBThXDUEcGNApxtKTwtE8hk96/mLnCkUr 9+y0SH89jhz4uVCdGHx+1jTiD5DCTgQ+lkSpV2vopSTBQ5DQazjZHviDlKs0MqidbJlbbQ119HkI sNzJRGegMdd8n37EuGNMqc3ADinT37VDxKb2CG224RR3i2N+sgd6scm0MleXBxUAXY03f/hNbXAw IAGouse2isw3GezDAJdF0Hqd+HOUeqi0U/yYFnxLX+dnaShtcoFk9WqPds3Lsu3I9gwiMB+GdH6v 0cAuFt5fQCY0tjLBmOuyH5wNc7hfMTLqDJTN0WGdwKVSxTdSWd/onGd6MzbU4jnVL2CU5S2fgPSk kNOMv9WF0st1ETNCZJn2ZyyK1cRVt6FF3vMKuBRv7xKV47fg7bs3omlRya1LnslZgwdwXT9WbKlX 1MsS63zeXBOrDzwJsVZ8VsC1tXup4ka2J1uRvKoh34cBkgJ+nxev39JkPb4GLH/GmpVwVJ20mzYv zXaaVoJa/JprWV4wNoo10B69Ds9xQ1Cf+RB7sPSAH2i5C6IXn5/cXxFuk2/9TUqvKbco+0l9Sv+m OxdD3z4EZz2Z2akYGpvn6fMOXW/lhFf4fRe18/g8RW+EYperPAur2XFT2vN726XiLBHixrFt0q6i OBYyZ/Y61IZrtfqTRnRogoB8gZm+I61iw9x/pkkoyr34l1ZeUnYbmv0UXMXM5b75ufHNkT4R91A6 N6MRzBHT71lK0enCuhvuogjB0Tb59Cw2aCJBinBqLembfF9r0JdYtfFVo5jMSNxKBV2D7vt64db9 ISoFBH+G9l8zepjvjR3El4wVKVu5QJJ8yQ4nHr7WzPz3AWfw7QTL3eWRSKCqqWlUNqbJCsWJGrej KGMEqyUJ7NBSGHe5Owl0UwtV9/7F/lpQAeugFYGlVa+cwrSwAqwYDJbviMDjbMAu2l7w6v0sOsne mNyvgQeK3+kjbHe2HYX5C/g8wFqIHQKoVH2c6mv9vWK/YDyMGJVKJz1/3N0O8TIxAtnsJ6eU3+Lx A6qZo4qvhwpaLxOoZJOEF9KTUhoGqOixeQ/Mx+fWILPJF26uioMMfI1WpeaS+bme8oBZQ/awDs8g eb7if/TADinAe5aLa6N4R9kSFnyQHj1bXFfpdpyA+IErt3GvF4TTQ+P0lAiyp7tfWR3m63FgRv0g EmNe5FMRLkWL88kroQjEHw5ZtJucNQE/2O6Enj/Toj2C/cjKgg2KHd+D/gAlJMdz2ZQdR9z4RBJD 4tcigVJibcYf1n3pQEi4ovv4PfA0jgiAkDyQLhIw2KzkrRH764gIzsCrovoar86PoOq0/Knk23Nd Pg/868QrDg372wNuGeTSQZl+XV10AGVjJozSHE73vGL5uGlXIpqpGJ8mXDgCQZXnGZh7F16MeGig ijw12rv/FB7jpo4pVb69x0DH6CF8cNXO+iq3YAUfIAU0K2ph+4/dmflsa/GW3c1loUs3TytM+u/B iRnqb3WS2BOoU1C8D+uLk4XNoWXtZXkssPYCpszSpD8b/kOHmN2sogdosk+0G3VuU9mXijZlzLgj z6YrzMYUwkYeujiTrKARyPYnl9qTFdu8UPU/Wi0dscKHiDUPh9qAvwo+29y+PUkWLbKGIbqZLcrm YLlZ++rrdFNaiO52U4P2YP25iwL1atXG0z6qAYGwAhO2aFGcgglgsqN+Rkd9MAGdaL+23H8XdwU+ uDKrNqtWJfmlkYPZwqdWlh07bN3DKW/AwaSW0TaEnWpkKbDb8jRy6/qG/Lqoe5/JpIJJCG9XJeqz FlkNFpC9tPskTsu2DyvR0R5xg+QXarHr7ocv+rBANsnE6ghKRlO1cZ95ILKhWdht6uuc88WTHwbs jXHDfZgfKCfTpYMd1lFaVZyw7L+t4tHMHIQrafRNjEYaHAfvzDPKWe5OhwSuQ+w4OD0HfX5IQGXO d9ch9DdYeDMWd+HWhIp6XimfZKKcK6cxMsxv+n2jN/v38eubHHlUMxAA9Ch/ImG3foEhY2KHrqXP pt2GQMDrO7M6EbEe+17GDvmlo/+rhx2AuIsIH9bTbkaFqUJuLJ6QbScJd1padVrAnhaklWJJtNbs YJU25yJc5WvpCwE2+4hF6K2AiYN2AqC1b8mEK3AQ8aAmKRf8XZ3HKoVTtzJRlPRRQWxUVFRb52uq 6ORn8NcyS8PtmKJYgOLhvONAfI2tPt0fXFSTaToyo/5kiDifm0CvwLV0ZtwfhMyLlT2bSN708r0E Ribm5dWT2ifSNnG4VR7gw/dOJkGd6+c6DLRQqD44tHVokkEreauorRGMqtwP/VZFWQ9CyWvFuFur zghv3cpLkEmqrhhDpxXwGJOPOqF1Ka8H+mKMLc70vZVEo0+Db1pm6CLWLQWk+jrGVpBPUolA/uA7 t9bk8UCIk+kKi74CGIpEpsRPgy9sSQsz1U6q48XU+fJzfq9de98lBOiO8OG+oHcLjFIrFZeeCBeq dg0Ku8KOKT0MkFl1q72j47q6SktmI96040kWA4hHuK/2+B8Zwfi7BinckcEUelTB/PrdpyEaCqwA 6djnhkAu8YYL1HWPXnVUfn1rPTt1YIVokjJKQtbiXykUhaD74ATYOJbhH/BDXOxOTcjmB6weEM/z fyx/fwIAeaVM76AxKIslnc2RDy407pWuCZrZ+7wzqUTn2SMJlzC2AUpNEQdED+Wp5lnMt8YKX2e/ SRtSmlvrya7oOp36c9eYPVvBGvtt/y32FszESFqu7j0Ikz8Bbh53u9yWASz9krGbYtYIpA99+S59 tRSqMc/jojdIK/ASb3VRpQbtZyZKgtJ0DhfbpM2Dk6RnBfBdjPgyfvG79OTBz8tirIJZuGGFlt6B vGaJD4p9AN3ivP0/XbO54mQUOU5x2+vQ396X46Ox7idtKMRTPfHPYklSL+aI/rNdYpWrkO54+MuR heFPFF32rwAoxJDvTKN9ow0xqWJqSYGrPCY1tvi5Fm3EnWIwPbWe3vuqF+N40maFufOSzPhf2T/D lRD/4U+SBmofSuzWrNCvxEb8z2Ze5FcdibhYG02k+fpZiQMuCyzIkE2Ad8HpB40zql4kgOu81Z9X C3TChfgdpQ4zbnqYm+9DQLAZkqXFyNRxVZEFJpHyU2aWd3WWpmB6e23RUG8TljIp5U5nWOBsqUMF Ic8NId+VtpwirYp9qhzpoUI2bZzr/IKOUehCRmFkXNlEv8v9Gnbg3LeStlfXKur2lbKlBG4jvO/Q rWZrpL7rc37FbEwbYpNsLpJ4imQWhwhsFfJ/y3SqkdOzRf+1jMu9zICWTZzQJGrB7eg9TQzqSzF3 iwudEdB+eDmFWhs4jQjGtpMlBWQICzqcqKLMRKRLORmxrIdqCT7ZXDeFH3wazTL4wgWZxB9/K1bL u+XAjA4PDI5ZdRP9KdGqRS00ZSnu/BcbOU28cahMAsCuVwdQKYN5fIGFUa4iJKYyF56NtRMNP0Ok uDvD1blzXWoyf7VTpFcg+/lbBBtkNF7t2+mN+m6j8eQeef8sPpuAfBrM1ngiNxFFM5xFq2hEMDW0 S2H8XxyeuXYiT0BEa7IRxvPgu17S8GB7jwEOei42rZS2NnNxM6pGo7cMUrnMn4CEvYYz5lrabvxD FuvTEJ2c9wyLbBdmpTTIGWqEl82g7ySypS5vq7/rRknjzYiWBklmkKJbVFhKE//BiSqOCGRC7KMn AGbKwY80xXiUI4DiBUNJRZt2x6G0vWojA8fVNWxbb43emXofuIYv3NQqcl/N78DJ0z3duMDF/aaZ zJMhJZXUdHBuiExf03s6ktyN1wnQMod0Y22/J4GWC+obK9wSUXNMZ6Cul/IDbqWfFp3bhDHCUFKu JsEvJK+aJKOfZJ3MZInhjgrQrLG2DPlLKuQtu/sGxQp5KyJCFyId/D8qShK/m1K0jCB8ZYFbEzfj NBMJA5/NOAIDpzo7vNhX1u2pNCAH/MaR4/AncwLpekJhhcH49///THGMWdPHJp6k6cNS2z/v+zzS zzeuldxASSVFyqriPot7YvWbkSg7z+rgsSZtlzer5QDTgdxHSVi42SHbsx9wba4izNHt6sLSWQEn E0QJqVO0lYMWRP1epSL5ShY/UigZpG9VySVm+inhYYoWGfrM0ijuBQcnBCSKDOb5KT832ENl5R77 XRP9P4zbB/FC0co5G1LfDpJ5s0ZHIXUJVWxZUfn1+Am4TbbZW+FCzSrxOCOhD/9HAmV2cn7ABPX0 DtRfOw1brWGH3ImitkoL9ec0r316xdlh+PlF+/utXbP3Ifg+UJt9D8Ed9nwCsHhXVDhmYShkxNth +GKkBNLvmNTqdlDJxkGB5qjSWMfCqQ0Vtf9s2FPqKDKzYVuA48JeCEiiK1pylQu7BaDKl9cTFV4q WetuYQfzLUt531xVyz/YbXLrayLkYTj3A3lTEui7NtGklz6neBl2bDgr1+3XeJzhhxMSME/g29iO uwndRlKDK28gCWLbo7QgtMZuCT2F9ISk2b7x0hSX/9YexElYlxMSoi8V4YvgAAB/Nk7JRGMR17kF aLkc3sgLH0/R1Hcf5t94LX0OmYxzRD63RT3X9hsR/TQMHscdpx9htMsVbOBOZbD77E9gGxtielNq SovDsTo8mRAJ5IE8YaGMkRy/IlnKnnqQergFWhibqJp5VzL8RV+mDStch7hEzSWSKUWCRMna4vm6 8PQYiDQ+13eiS7ZKJkum4j6WRxdNuj7sWkjgiOJ6QCO/WLd9qy9yiMtwJoNUq+Fsexj9hnJ2rGJz 4Wbzrrs7Ap6M6CNhhoWItTnXV1jxT+4kyslY8SGE6gs5I15H9Yzv2fNmIpddCUfLGLkZ9517kXtI 9SUhC/hug5AHv+9dJorUOtneSk1AiA0ZjxRwRIe7SYwUmv2sJWE7FYQK3xplyk0rMxzbYjNtWO9Z zGbuXcNHdjJS0PvWGU/qC/6hgPg1m+BD+1jlZnto4O2cDj8wdrK3e1tujPmdFtaVfTm85W6sCfYX zV1Z04EAwazi04uqFA2foc794mllc12Lazd4O6jQQp1BR3UkHs7svDIkDL1GCUuf8aGR0UHFjOFz Y//WwOdB/+rd2U7ZQpk/qP+x5pcihUfEsFkERF45FBld7qm0bET4PXUG4W/jZHpyoP14CZzSBIuL RKQf1wBlG1om+u65JbPM0P1ngUMd5njSb4M+ja6caOiCwTsM8B8/r9RO1Ns17F2My5jdMgOFAbzx 6rEiDlP2pUCQg0ugnz9VWPDY6sTkAE3LeKySSQZnBmmhMcLuCTOHzPgs2duiMJMRWeIKjh2Qxsw1 yyfBCiULwVbGuDzxHCe8u6TZBpchDHtCGnpFcTLuf7l5KoJw/fFX9ldTUoZGUw8NuyrZL7UnGIkj +DlIWwMDLWVRpKI8sXtTBDLzYogWsOyMeKgU19tFXeG3RQBovxDVNYeEmeTTeVMLo6065xs5Ile4 4+sG4XdePeZ+cbeRw9uFDzzMVnweuRzWPvdAM5XUknvdvJ2i/fEe1+i/Ij2hQC3/37IYapmsQuKX r2AOxpxXswUW0nNEnkFRkBgf0F8f+GiqQRvYt8GpsO+8y/jbOvVF2w1Ei4vNLfaZQOiXS7YVOGJ3 DrtVR4yFIMKoOJ3EEpTS++Rr6gN3fMM7Etqe6JT1rKgTHQIKAYcBPpSLkG+ZstIwNZE3SirABxjM fF8Vp6HyuqSGljxqgsia59Nnag7e/lU2p+LKrooGRrcENilVRa9vMVOU9cnj9XYSqTaB3/tXl1X1 ZxkiBuG35l9eRAvCwg3opxWrutJ0DV6E6B13N0NAXa+/+qk1XUfi2+QF2lsh9axOTwb9uomXgNQE lR+rYHttuwVE5+KpbXO4mn6VTMMpP8MAhGeMr7xhDKXEtqczvnN1/LGeu9/qL3L7aUeoF+jM9bR3 uy+4xEsDx+jCxjMxd41dLn1V65MKdqlQpx/DNIUSEeAZC7Smb903aHcQLKIc3OGLPi7XszRPyZky OhCVL7zE2ORWYuNtymRCMGM2d+DlCSWLCQeDBqEifHN7nAjITfeu2y9Bv0a9QhFDBR8AbffqJAet HV/tLEGEa1brxjUYOkDVFUAodipGKRDKCsVJJ1xGZI6RJZK6vs/2nh6uNMXq1KMzN2MdEVwC+Ttg ZogeDNM2eLgNIc6Rl+07i4PNBAJCj611aQX1LOlGuIlEWu16w6B5uQd1NVCbe9cuK0D/jm0E3eYz 9igu3QTz6blH42+/SfkMwpW3VGEndQX1/LZfO2elEswJ+Tv88ALO6epLUrHGXGnghZna5zPP1cdy K2Q9Hc4gmEn0Xwa+LgqN9TDgi3y/iypSS/XxjySKLIJFvyWkd+wLORiser7TpE6sTy5O04daRTxr eSn/BNDlSQAeu9J38bzWKzNMNr9dzsvJqccnE4/tgalGJBpjhIBSpL352fhPIFlNQzeNPQbtehDN CYnRixISks1NNTOIk6XnP6cUf/XezQcVXqZOAQPBoO/YlkNJG9Gac4zKSqwXuhbn5siK54wGY/Tf LQwAvZ5SKM9ZPrxR60T6k9LR1VWZNE2vKkcN3hfhLtrjqeOSYgq9tR6DuxSq0NmAR/8kukhmabuZ nGAA2qR6317dCTa3g8q3iUlEgjub38bkRiDAJt+1s7mPP66aYB2wedo2z0mfoCMU/sglvk5PebbP P7ql/TMViEG80ly4hMYXj2smU1SqIOl4n4B0UZ3H1yDP6nOTZZXH8UIsNBh8nBwdkQzmDSLeGYH0 C4EaoGaRaDw4GbO2wXNp7UYONkhhl3ad4UYZ/K8ifd5MikCb7CtSqAQqD8GRgAE52VmJPLEzKAck ND7yQWmyMIq+hn+hs+9e4Op3blAxDAO0r4xQBMxfKLs5/Hj4BEV/QfujvKyN9WudpRmyxHWiQQSA x1AFxPZeoEXKvCn7nPBAv1A7cX0uJpLGx8Wmzd0NP3QIzqolw97/ST/cZ8hfSjoESGk2G0QZk/Xt XoAbBdH4qPDNNyOMM6uBGQTpSAsV71+8ht1o2wLHUatdbzTqfwqbV89n8k45Rulg/H/knNwQ3ByI cE61sImJyx6HLhXWK1MjVn2/NHg76QScr0pqu271saiuhgnJgYu4YBIqeQh3j1q8wryKKsvMXDXk ZPVk6ehpCl64atS3ZTPbAgYxfZeLA7BhomNAg+lOaqIYbSF1jU1gWhKJgFiNiUpqBgqKWA00OywD 93B+UDWBziMAd9bkt50jAcr+ItYpgr7Svpmw/QvNOB4X/7XbZv/b4p+kC8kYf4ubCK4JyfSuFum/ BGy+quznJFCmM09hk96VhYF/lLaAV4v9h9gvUY8n2qegWIkXfyTPwn/KwcNV4bFWTYtfXF9oLn8H JZaJbr5yPR+IlcymsfPmhSjQmhNXQDDRCPZh5TVmVaC/DPmPSuNqtoPm3RcluhdN1KbZtb0SM7Wt H8FQN3DMvGM7Hr+q5GnBUgyIsrJ7txAOEn0hFXiNWZB5E1ZtNaraVcULO9ozdvosfa3agv1P2Dnk 5VNsq3sE6pKsjc8YPSL+pF+OECZVP/5aKveit6wqZ2ufrrhjb8UPnbiGm1AXpfDlmV6vtWXHlwqs h/wZm2jkDC4DX+3I1TE3SeAnjAUBNXo8QtFR5k2jO9oy/bUlkY2y1xZuNz46b9rsTQlsUgZP7DFc JSCreIMaY/MO8jnIgOWDLPkMaGE15WkO46h5E872d+pYkrD0QL3DGQAxhvuM+onoBFqvsyVRPghn vRJemT8+EiRPiXLkoidX57JjqevqzqCNX3p+iDH2s6m4dhwCJ3qcQoh+Ombv72gIeBT/1CrjQczq FAPfRo3GDELhzfp0XaiFC9Db4eiqh8FKUbsJap6guEKD3BfH7tCdM5HZevAnh00jbAq5kq7huQzX pOIXRHgHqT7iw+ay8OvJ+lgfbNuH2lF7I+s0e8jMUlYDivJqnhD9kxII+SKNIZDCxJwZ1WbqK5vE xc1OWYeq70bXytQn2VtmI6WcoQTeXO54huofDUReWj51NDj6FCXuIoVnXtQnVVB/GC0uI8p0rc07 Zto74EckvCb79elAnIcYfYtS2ETifhJ3+mvFO11rrY+JkqBKZPyt9K03JS/s0giWUS8Vdm8ctDgB adOCH41FSmQl7laPHEfYXCMLPVfpbW2l5oRNZM/5TUprYRHZt0aUc9k8qwFRQvCDFK5pi8Xwx0zz H3v7xt+6ZiYQmtaHMES5M0LgIFt0LBsDS5Eii45k3rB8i0Ypq19rJ/Nf2ISDIqjk8cCaxFLX8W2s uW8NwUwB3lngOAN/9jYpKyQDBadZGcUavu64j0pBnBe793zQBeHDbFuUZSMZR6rdLrVpCjunuy0E opHinO7/yg/QTDpBzT44QhGHHt47VypudbhJHH6HZ7E7Ttju2d9deEmddDoHLdKj4BeQKt4Jiw4s nDZG2Hx+eqMvFJ0ilwEh8c8Rx5IAesyYnXs9yf9wmm44KoRMSl++RhYtJSLVjcGC2pXGSFZ4klTZ kfsizhwYtYXUnQ/fkFBcbUuLHUAod1KSl8jhYHVQqcVTdGZH3zVyHbSLl2YegTzNaf/ROKShGw5L gZ8606S6Zg7Qt8PK8QjI6BNs6PQhBqVwnlgQM4etsG7ow5PZbWdF/4v7zsxN6MW2AFPKN+lVS+yg 2ciLc4T+TyfWkvOBVSileTQUsO7pN4YIMS/Fx/8qxxIbNith5Rf/st+/LEcheHBg6NPm5jyFLZeF fJ04oAU3XcgYY8qnaHERb8CGlo6OVwun+++CONUNoiI2QuMKuIJpAcUmGHbs8moci10wKtD8Fpa+ 4fqa1GC8/V1PflIQRYyCs8jPw7IWjRSZiyGm4HMJzArcpSzyJfL52ZyVKnm75VV3V4bZSQgjTA+C hoFspYYIsIk+rQSTu+lHjyNRvwuGBPajJPdSB3bjdFDoQbnYQRFA++hIS5rCHRUH0VnQAst2yIOY JKef4joh2UdRYz68tosQEupsiD8GLj5tYe3d39DBTRaCSoZgWf95sz/BbHjRlyglqjCPg6cXJ9cU PcM46X0Dt2Kq1a6nIxFJ8vp2EQ2JaSRCul8XTUEtuhN6pghwV3xXzTqHCIPxDtsMqIzSqRD9RVea bMuOThjy6wpYiokZuXeHfptjQ6HqLqMI6ddu1nSXf+Pqps3vPEJoPM8avD58zX0CgfX8GrbvfgC+ ihlw6FDtSrTpn7MWRhlf/DfCjmhuHVCUw5etO2lST+yHgPFBx/rZs7BEy+q3ccq0NpHkJgDfI6AS FgX2lHZvi3tSQIVUXLcYnrgZYWybHAkXoCitQhGXcSSTfSsGMWbe7vWa+OShcDEvvPvIUOSL160N KU+R9vBPQKSEwt4QoKFFp+AOJwgWUhaO5NQ/5w0d4Pbv47mxGu/ujvrA9Ttgg9g7fTyBypANCR3V ai9WstBj9HrpW1O7kcx/h4QmuvEszDqU2fstKsqPQ5/EYscYKtDorzcNZieKNrK5hgAI7NETg5b7 3Fu6ICI5gxJ3pZTYnPzV7OcjWo5ojAagNdfAYXExqB3L/668BS8lZcPnxaYudZGUunzQ3AsXrHtM NgwdXJK2dJUqeG2rnh8C0sXHLuCCSmwz8AzYOH65+2F/x+NXeM6i3in0UrC94SNrvktEBS7vxNVC zdzofSU+nmBcwvmnEm5laxXCFnoxEK0ncJthkflDqSLlPIuy7qkk+cfK5pHuaBgvW3o2c3CD1D1x TQKn7DtgQ/SVvl2l9twiDLqNMLYhPj6Cur+7qJV4M5FdpplznnXyKAF7H6x+v6Hs9QVpYBjyB+B4 8z1HUpr+DWEylqhv59xkJWCc5JrWYcYri0zUCQM0DTkGAXfDKPgxEEripqa6m8UXDbOOv+9QfWcC umAXvcjvuRsu4PSBeHVfQ9Kug9azHZRZRUj3HIHGmmIV8PxGz8ld46WaoQ0LSAMZdAKZ1c9nj5F1 SHXGdUggJ5F+qK0f+msQrt4vUBn9cugdTYnERaC4J5FeC6d98OZaHdfwpgQ3ncrZFN1U/LJE+8Ju VZVoCKcUBzbopLh5Qyp+YvAFO29WLrcMmIX7KwRnLDYqQOrNzfyIXvoWe+thEuRlFpPF6G111rdU i3wK2kB4CuNK0r2hIa6rElmH7NCCsVSB/qzrAzYbHHFDndax/b+X187FxU8qLdFoo7G8ic6nMz6P xJhESmhX/xP+YasSrjplLH7toBf2u2r6egCrCwsnX6RBRnhedxmf9sS22LCUZYcRQYZsH7n/KC4i NB2y20GCAiLdBI1WuTo666fVjpOFCrE0eKO6bWtjocVotaq68CP8VBgOB4ZR8/uG3Wd7iS3ucfsJ +ytXv7zYUSnlnnIH8kYKTYi3pbUj8qAwfjj5OX4+bNvVEjYjtSd/0uiQx1Vqbq+SMTGFQpT5bAkk HQnzkBhIVUfd8YMi1t9Sc1Z+d+6RXMGJCAMZkoq0r6SWzOsa7YXjENe4XF0EY27qNJd+DnJRyolQ D32mToEWFDGTEKjdhwoDaVMncIVDWNWqQ35+Qd/Jsel+xBryvoVP38sLzdNRMKc922QsI6QnfBnF xGdz/z4CUJZnHXb/aX1qdCbJyqaR6c8P+7ytlNnwNa5pbaBlcuWFbQuu2NGbwdw1AZNSWGVuckO6 9ZKPrBD5BDXqW4T1z0EqAXiWdaeAWFaXnVEPihEhjboddAwfHcOhQG5/TOTsGShBV+48ICzOfEFr +CNZboNuS2ktUmfNA0mXsI6EDlZCxs7I4j1AUQJeCFRuyL7WDd+CkubwC+KHNgVyc5L3qRuz/KZ/ wrV57MtP2Ya1AIEbYH5AwLaI6A+YxQlPIRF/Dp3stEUM2huRqU1K2D50D3ChRputL5I5k9sIharD N9sPUFPofoG+Kopyob2/U2qi6MGpZmS+Ps/Ef2itUDmuK8HGOV6hnptfaA+DFibNcoifbkp6k8Y5 nBRVCpB7L0tHEWWzwn3wlx12Md+4UYEQV62q1mAPrS3y87ZYsKU3y3MxmwGlMTGErsuCCgA9r/9S 1Bz7lnhZBpC/GQGysjO1uLL2Jsf0UpJ/MCFwkttjqvZT7qLulSjsVeTFhRVLojkVuZQ55VrFsosJ mPrommgb6h8fnGoTehGoXqX02H2G/pgGCP+RIr6mOJf3dt+pgEDIp2TYqxUHujh7fGIEWNU7UkFZ YXIvCr8CNiH3v8TCnn1peFeWrEVgJlGPFgKrqVfDFIfiZiA74thUI+q4/xO7Xe9AqIqh4n4fdB4H V41zmODPk7a85l1puObuk1exzIKIgaC2PkA7dyx6RcmsoAAFRYAQeV7YXw0GIKi///rh94FjDpZw 4qXbE6xmtOQ64oSfAsUbf2co0iDcLw6xGc1aqiiesaynV6kHRafEyTS0aV5Kbk97uPr7OcBc2Nnb yzdzIj+X52AScoK6U7fvzQnqcmHrIyK5ATOorzbVReALEsrpouGaR3re2BkRSABbmwTM8R33krYY v6pYPGwLRErv9U0z6DwqWL9u1ONT1IhBwaY8zYT67vPSxileu6nghfqAKfpPjPie8Wz7rGam13CG svb+U65CRa+4My1uib2bzYbEcIkhrpUBXnEgUUwe9fcFBlZH0FARPhd0PhPfa8TuaO0vlJJfa7j1 TXeJR9ommUkj9c8Shx7SnOm3oOEAJijD0UKuysvQcvcYZBb1O6fefLEIk5pFkHjAuJPG9Ea+PSjA 5xImqCjpifvTMWD7rh2Hr+GxHDg9n2KMthlZLRTqcu9rwp4oN0evDZxm5u5QSCp/3p5AnoZs9wGZ 34wzp5IWX0rwvv+U1nMMeDrN+036jBeeD24rV15UyFL7TZK0Lqj9c77xXbNvlARj2ujceLwHN2fv TJXIwyjQ+u4Bf0Ne6AROnu4IwWNLcPwir3kRyvXakHR8PqA3CvmL0xJcMg2x0ycDJPP2ecgRx6pf H3EkPB0o1Hom/WrdSPK57u9I6JesHbQVqM60KsD6Q5QIMGFoMrzfJ8Cx8yZUhfIibZUCwQA7oQ87 cKfPIo07uEPDwA5m3nJ0dNMsP1t/Q9sdT6q+Z9scGaX28V4/Z6b/xabXCJz1ZN2tDmxdPzqxP/dh c17KSsoWXlIfN7lBmoi+AYYbiZn68g30ZBPUrpI37PkM1ftaPXQmRhqNn6V6hWAD4LWZBBkKfytV 5MZHN09iltJ4xk7w+ynVTvSqdhKW7alSozWqVbH7kG9hfrjaW26gTc7BE7jBCUCeJgMQmCfULdtz gb5i/DniMXFHHBYCjgXh1rrNvRe5Ru1BhfLDd447Dz96/o5J4qqf3jvU1dycjhWuRzma5DC0ibM5 8SRZ74kJTeCrOFKdiWBPeikO2zUZ5S5ZHEtdkPnAUvmHiRxLxLAglcfYJPnT1BcpPyaX9uhqXHw6 uaJgpqGW/tWOOhOP8DzsazpIzjZ2xUfJdW2G2ewOtTbfacffyw5bPpXAXfGBIYEPVoH5JJ5J7dPx MT292IWwFEINUiq6ScLl/3Si9Fq172b+x0FnUR6gw8cwPZfRo6dfvGyfhMj26v2H7jbdSfSXd0Wn 0xk5rWOW2xvTN6+aagRTOVhp5mfv6i9NT6/gxVtQQlRPkCmDvc0EJRrZ748ydVuzsOMR8N2dnoTL BZp4Unyr4VUBfPxu2CQheaACMRDJQfTjrPeRmCSXHggPPPL2FOPKu3GyynjMzFYQwAy9J6QTwbQO zTxRhjesumPeaCH6vENPrAodRvqEyXdaFYjvgzi4Q5Eoc7pPnFiNzI1m+fPYqZxbU7gR+7JdO7ME AXnqeVHCs1dsA32gVSPG/L7OGU7cgyymDIEpzC8tddjKKOyBWt7mmtWFsy9R5cXpaOfjVK26vVAd fRbA7r9yhDNUMzW2d18MeVxdpBlWWNRk/6HbuC4Mk8f3EfDKr8BEEc42zftHH+dfkdDh5ZA1J7+C jClhNERKTvBJ2NxGsyfFTBG+VAgq8J35DRkIAhCB6M3auSoYbFy8Ye0OzGHq7nFVZ1EKotEbT+AP c01UKxHQxa4eQO8+lcJYDtxljeF4cnL+H2Bvwwp+lQC3jdQ/aD9fPJcDX4z3wA55bPY0aqGz6Dpb lyDIYQMxnDiWaRrwuHxh2//YTC5JL+V5IG7vwbNaVeFA6hk7DsFHe05jS/0uGOCw6961a1760zju FDBbyxRIYgCcWxevc9pBhZGxJAmOq/YBBBn2Z5293PoH2RV7uOGA685GtIDV7/0qM/gd8FNhllR/ YKZCilghp7+VYoZwLYO0eAWTXd0fBE7zIDb3wdFmfLmTpKK4eOWHbHRpw7BNHY51DOfBxTfFbOf7 gW2R4wb59t/1iZFW/5M0T6F0ZT9bfiHdn7acKhOEcupjshqguOWBu8M982SUyGBcGLTwg0x2FUPg nsnj4x4PBwqujHLn2t4wLlpQ5BGW5XQzCjUP1SMrHNkXYHGtBWwrhx6Q42OX7GCoQ5bcjgIF0fan 2j/5j6OZydgBsCZdz0wXOfOXTf5xDOUU+/Z/XTEW/BufvFl7jNCSObZrj3nEYe+t8iMf+MXbH/kc kHorQ7EtgeenpgRR6nd5foOn16bT/qU1kQ0kWa3kT8vvioNZhD6TOQc1iEZY3qLuaZ3MJuB3PKqo lQmE1lDxWcQkcu5IGe3drl6kxBPc2kJJaANjQ1RQbOoO4CRmwH4KCu7zs6v75eCWMV9mywyRk2lh DSb7eegPL2hmySqZX7wOr1GSxfOB3IV2F3Aj91PAT/zNBdwVuSj69Fvm49mIHlDpfTCPJydjecJZ nDPFFP40pkkwnjqOgYET6rwhvCbFVRE8qwjBMDHgM9DoGbbiM0+SF2Px2F7o+nphg+UhGsaV1pxP MJrKxGiyKjsPD/gMtTRHxOl9uM9MqvcK0jmIx1eZGebXmHG3j/8lv0yLjQoWD5TkrnaJ/GyTwn0F fS7o5IYS/n4SAOC5IPLDZ5PsT06bFgzFp52BePl696UsftiGr6supEqsh8c6MUJTlLAo4c1RKEhd k8O63cPEaqbD+ZumQqTm8AHClLAvHUNQtuTe/bTHoLAMOqRIZye35EP7dIi+z5HVq0Fwy+Snd+u6 FzPGQepV9tx6JpnFITBheKmpmjLvNVR2F3g5eY1WA257F02meGNADxrMuYDwKUlZJ+GP5ymdBo6e aoEE9zwsAkPuULgU6LUV9+ybj8UN4rWaMs4It4iy6ANRqiiGtgPa9NOG9iiwal02YW1oYIOTWdpE AGgMcOYsjYj6uycjeQ0cDbKB26uCaB0wicXqrqEMrvc3RC5uSM+PBrbC4UUfQeFnLRU1GLZOPP33 X73KfIeBTYLBrac9z1LIA/DPuJA9+dAFLtUYca3nFH7EC4T9c0vjnqKVhr+8/WMcNhB+Wu/ytTw4 xpgm4SQ6z4HexIPGoLI9JJNH+KUwGO27RaEzK1k8BNYnkQCshsd0Qfy8ut4akf/6Y46GQ0khYej2 SC33y14FTn8PGyJkN/VJ8krp0mLittvZaxhc+G0wJfQtQx0cCVsAxW88mrOAsT9XwMgSUUNMCKvH uI1NIkSJwOnGaP+1DSHhcIBQhrsIgynmklNBh0pnPfGjSeSXcMXB86ue03MPeRP2KpDkSHC1jkiL 7HPt71SRGH8VW61LEbiQFwAhCgEy0g4zoNqLv1DollszSVd2FyjTtRR3fbsWM/KclomMbdd68CCq bqMeuia1uNnHFNzJXOYbOZCMMtg1FOS+owydDALEgjJvF82R+48kDYNwQQo1UAUPnwqgGXFXACYZ dNqi64up1M09jlZsyIDRdpabOr7eVAKwkzJKQ+rKAc0HDbxkCnQQpncYX+v6PJyyxe6j7W7GGsT5 BJe2kolTalsg11GPDF2zGVjYRiUqQpGga0hhKIyqRJjNVmXBp3vksbZ1Dk7YT6KkHu5CjZ8Ugtx3 DC1E4L43TYPWq/3BlVc1Clf3uXsy2H4WahJKaOrhz45spVMA8xmKgKyPdbUebaDmUJHNxBr9/QSV 9Rlu5mxQf3YPcBFwTiSRF3YRrNehZK5QdytsKe0UeOfSkD8Rne67QMJh8erOc+2Vi17k0/AA4D7b /TisHKMGDC7tBcp9bXm+g44tjc81VWyTYjLcou2qWsR0xTwC604i+FnQtNqRgOJSHdgWvKxVk20D jrbiKUIhicEem4pZvD+YBqUsMEg+fDe8mum8kis8vJU0UOpQ+Bl1sQHMHeq8RE2xWVEDI2+I/9YT WkalrRPiFhJ8U/a6/fipkcZdIzfzxi6G0O15Pxxvgan4ZFzcx3/IpGhOuWagDIogT4zcVnZvQpgf Au0mmUGYQhkE1rwV0IQ4hy6caiRgn2+ATLF7ZRuVM4zvub1eq5dA5bduZjIY6PlYwcx+STXc+wlI 3cCZqF+Xu9cS3vN5mgSE8wGi4M5iH32Dd/Yn6ixDfn5eiLe3sRs8R6VnCZl94kdAqLy/c4STXUUE T5hFzY8ytMjCHqeQyxt1m3Ejur4QwYLU6lcyFb/+gel44xslge4f1X7sqI032pODfc3lfBaCKY1h wNg+cZ92Gn04lPvYXAe9c6ZziDc3U8+RU8YCI/F+b9A8YlDcoZetNnrQKCQ7fNIUJ/UNYMyYLKhw JIX9cgPKNAkXkAUQxucosRofZaiDJl1YqSIcU4BsGmHyw+Ftp/FM/saOyPHl16Z77ws1nMyll753 5Or4gHbNFL5/Y4mh5bjNmLoox+3M67UJvdAe73J9OAFc5eXnbfJ3aoRVRlcrw1/4THjpIIo4yD4a 2zhhbByVfJkGHIigJAB0MlQuQPiCqbkIcMXRD8ba8U/ymmZLz0AlylszWuyx4rl1rP9GQMEA16PB gcXMOYIJ4bdqfEBXw8bZi2eMJDB94dDwErxoKdl49sZHqeEjHg63/llL1I+1aCdGYEHGswQhvi63 oZMo1PIvR+uC8l4iY8o7SaD9ixMwHvaJH4uhWEkYBiAgIIqE/M0YwpVFK8GIiFbymCx2nfSm9ICF fBtYqQXTxrHk0vwh49xu4Lq299VEh+XbQKD1CwYVnOko0Lrufg/elRfeAZprLRdD/NlHVbXYaVWo /dnu6oP816HVD2ND/p7B0meyWZMhAo6jOjIK4sAJKNIF0vNMboLQuiT/0j7qOxb5BHCf82Pj+Ej7 94GKhpeehzHWkleIuwjI7hBx8o3ayIpHyDLc2O3qp08OAMDBiOwPOX/3eIPk3mQvmTkRSkc0hqvJ aGlhOU2riNNKHtX7mGYgfRyuEK7kCBYy3Wzf1rNp93gWAgXXUkLbTI54HKIeRZEvlnOPY/i97hP2 p51bcs5VIVXD8rvC8oLTnIdeymoJEyeabab0I6VIZIDmYGSZ6W1oSGX3U2b7z2FAilzz3Xs5wGSM HMZMPYOooahJ7EffILaIV0e6DGoit/JBvq6NbUxwke3hCuAqkb5BzvaIWwbj1/NgKMC/jOtmlqP9 v3DgU6uo6ZbbyOEokOmZEM4p6nqOvDMm3DC4MNkSIgrpptOYlflGrO8go+KWYe2g2rSytDDAuZQD LbBOdhs88BLTW7IfrAe6bnC5Nc8N4ccLLLQyz4LLQS9w23tlamcaUTT0JiV99vH9NP8HovaL4YBN zkrDAKeKBBGXaSM/6MF+MHmj9x1wIgHzhpNf+/MoxT2FsqcndjmxZFuWaZNc6w9sL4Wc0aIJTwiT TDZP4d5jn3Vjv0YZ7+TpTsRhRhAxw2djrMUjmhSa+e0nUydLqx6PGmIj/Wk1o+aF8iAGT33anSLy UmFwQH54C98WaRftmXKgls0sPWL+JPDucVTiJqa7UKyvvgxdKc3BrnXgOCXfHOYRLZgRoUdGHuqB OkQiMIqQjrJKT0FriGzL+zk2PAhwak6uLPjmTs9kjCT69iwWXeCWgdZwJA6TbXlY8OZ9J7X5DrmD elHYfXscwPc1LDbuANG7sSG/50simja4UqpEqk66ZB4cQCkWdZaY1zuDEw7dq7DgLigwJNjepSWC czzYOrBtduf4l/LGQ9XOFSUf6N9gwwmAWoOeYKY/CrKMAX8x14cm/T9GX1Wu1GN1bCGmvaaC5mZ8 5aqajQtvfEhkN6erbJwOW7RXQLXlQIhivKP13Bu83vVR5zOpuxP9q4swNKQoLq5r+EjZ4lPFkBfj 5Lng8oeDKjFkNcLKlNJY73Rttad+X3Hnr0vxrPaShgWa3LPUB20d0jwFC6SA21ydH7M1JAXNuXr6 B2oKkszPOCdXoGTvXVciB25oHsLC4Xf650TQqLUhQzT+on9vVXb8qhrTthaMdBqYPOFFO3+L8AC9 VcwMlCTwa/N75f9ATuJnf8HlonO4W2WHn/G+W/ZIKKGEGqhj8dQ5UVddxM+qXNEa2jVFoZpZqrH4 pCVYme48GZ0o/PiiG10z3BGKHS6B8UU/E/6E+eXLA0q8AEjofMYA675tF4k5Pxcn2ltHEO+qnOYZ GoRForqkAtQh7HBY72ghLKz1gVtbAall20g01y+UBg0QR3F8rr8KZXp1+gzEmbUdpko/Iuup8wr9 9m1hdlKOf/eH1BP9eRggfwmli8TE/CDSp3nbg71Zirem4oTqr9if0fG6LtDTSl3DMmW6iFRN8HbJ XhkJkKp5suuRq4yf9EfNLwW1ytaOc7IsFsQlJF6/WJHaG3WUZwcTaRAOtyJYzS2/LeCdAMhXnWdb 2zZhiaJfTbHWtpo2XtQ2WOc44inkSCqpc8/UKqcZEAUZvKZSzeuF5tF37kV9574AzkCmM4Azqpg0 tlPTPL91ZihmGMCiCu/IoodfzYSNILFIKDJDSQ5M5VLRWBLA/qfVOurJAnku9e+CzZUl5O4h7Bla RZeYpj9AO+JiPCYXX0eq2VN2XB8sjroLLg9KSy11iaZl8kRlK7b9ARd8yWnnLmNQKSZIN9F26LUB O6KqaMJEdkZ50vmamW1tnOXNfEKe8D0ylsh6Jh0pwfuzQcopbLeBn+9X5JzuwiFkPdZq5xeVUphZ ROgLVYwpI5feT13Okh1D9T2T7RDGk3+0Cub4cUpWyP28P/kAUE+j3zDUl/PYdb6VT1o8ouNr9gD7 6sQ/P4VZRyzjIN/cPP6343ZwiQuz+laJ6WrePtC24W67yh7cntqOA63qCKOgNAV4507r2Di64NVL /KLubgG7Wm+Z0DnCQjuLOP/wT8P30HF3I3Q1y7tgxOrWuatPvBe70E2VWmdXoI8L32I0u1M7zgIL /BQaGx4PA4xOZ6rb/jbgVBPKGFa6FsebcwO1PystrHNyN4GnJrHM0RyIZkIulIoxnSqro9oDW2Rj nGsUzmv9v1Iy9c1kppEI/XF4Ah4w/N3Lre5QTPPrvio1K16oxciD1bKNIPrdRuU1pbuaXk65gYNG STPLRyteUGY5ftiQB+ZTkSOVYiNn+dd0m21sLA2Ce3ALyBotrOqymWN98oVVrUAPf3h13m6VpcGy NifIhRILMRHkGFx6N5BUrNZ2VUNe6+lHQPA28T0KzsgIP+OLx297uwSf7lL3XWRvwO7L6w+X9Otz 9GutFFtPiBG9Gv1kX/PddzI67E6A5EeCzfhhQTK59sw9HLJBFMVWNNsQw5s1Yhgi/85eJaZBXwVS dvw5ixUFRTlVkgQ8CP1/LxiVvHh63nDYXwFy/u+6w4O2QM/YnECLKclIKnDry5aAX65CkUYw7Mwc KRbbOZHdTYQL0qSrP8GxXMUZX2XHQB66izFKD7D7fcrK0tmYc/shJGMuu2YZ09IzB10F82ABoZd+ U5dr4p9HgxmoStlg8RlxefcGSp+olApZtaSgHZ0vV5o77jnnYvJ3eu3J6NQa+g558U+iJ3J0uvzk NTezUYCLFWg3pbiGdKUNJV3TxaMBWDurPet5VYk1IaEl9JeJBzZM7VPzx+ah6G+e4TJW1wv4wjke JF11lhfr3PE/zj97dPFbFx1IcaW11U/rgL8IiTlA2QckLywWapBS5Yl5QuNtv31U4l/vnqHjrAYz keLQ/WZ5oMDduzuHWeavmdM8Buk7KLMigLqJ/YAeLyyY44C8EBTqqvV2bpT/yH+irjo17mxNNd+v xckx0WpwvpD7esd8rblu3/vg4ezkaiUIQndNkkvezj/jcsQw+4wuxw9kKmgL6H4VD8C0ZS+peYjL fSPvIxMDTIn1VVTxpFN7ZjTtwX4sFCjTsLR4+tlL4g2K4RU19p9u9yjbeKl3LTR08gj1fUtt1DFd QRWq62l5SlZlhFwL20nhrhBQvV44B9eGr0vR5F9R8pZ+e4B7HCg1J4tAHVeep7YQXvXtiwrUr9M/ I3/sYoRS7Im2P+GKdoN7S4iVp7NZeaoN49U7M9hkFNyGIUmxQgHHx177ODgdniPaCRhpPR4ec6CZ qGI0ryARqcLkcGy909oUJ5L9WIwMCP+h0MrxosvN6SwElQ4J0UJk30R9s755+K8veQW4pSZf96I4 2WZgwaay35OvGOjOD33bTDIuVy19V/cJtOJlzZ5lFeh/xXLM8zlTmrPn1fun8jQXXL0BDa44hnao F9SWNQYVyR7dm3a4qa6PV1VIS1NzqIuOfkPpNC9AAa61NbN5d7izIuRCOcKJUnUCIm9mGAvMXxmr mxT2rbji3MtsLHHSxLCD4OoC3zrnNCdCLFuCLkvNTXxf+bUaq7w6RSrKO+C/hwEsqZaO4aYNNt2k TiY5nxQLBJvy6IRlDsQSZZyou6xdwWa+m9CNY9R2X7ouDjIRKyoclhcJ/wdfchdrpo3dljodN5Ni Ml3nZz17OWjIbowxs19x/KbqicLLCblsemEJzPOpTYHEGIeiQ+/PJP8rHwNeb18xp38UyoB19T+h FdYrwvbvPDuL6sShkNwM3MwIavo51HiciVYH1V4XQAfZ41BSG9NheRemc5mlWUpMtS2++gY21zgx du3S5EtEHQ/qWo8HFhrwWEtQfeOdS4pU66lrY4BOPZC9PNCbfqz6lgAGBCsNhWx/eTtuD0TpvoRP uqoyaVB9CgKqmbps0AzdHPqnOicO94Gu/6KGaXeB+SvasFGumPi+E03Ws3CSBzL0M5okcD0RmU66 EYHjHweI5KXq7F/Q71FSQrKJG5zpE401HkkhXqt7AH06h5+9EqhBp5cvCYEKFqle/2cTuY4/ya0H ZE/c9nZPxaxrJypRGMOEzy0vBaRhAJiI60TkaMsMtl1DFJ+bsokHlT28E1ZWEsAolftrBAIe6iFz i3ky7hEa6gOTIV0XOLXSUbVbSV2aYKPQ8P5CtV8qFL0zmXF0Upu68e5skP4T21RK1s74RV7yzMGB VeV1PBilYDso5UcewoJp6zfqlKwRp0WH/dd5JfrnN2gzIYxmoF3TlDGQptRbqNi/MHobbDPNFd+A 921vEZNwLKyxsBWK3NOyuo2gChAIOcUr8sDRMS1rU3RrbBI4zWwkt0xttAvlC6w6OkehHUD+g+Yh NKluwTcVc+9PvFIuthDt3piEpibDFs6Md97G3TNRVo01X3L87LosX+W8FRsqSB24vrV0co91iX6Z WzcFRFEJ2kTBxu3RGTkg08yiYdn7TlgQS1/Y2HEiTmpnW/gnxYbSPkI6oHeO7elRW7LyGj+J4664 VDNUiAhZxovLJ5tLXLtjH1MsGtybLO35OnQLanT7Imv4nX8ojSUd4yZsPUbP+/0D2deBBDs/WujN j65bglu4VA964RlOO0Nh+w9FKTplDbwg3Uzv+F1Aou/PJQ83YIVik0v05F0oubntUa1BsQcLqUWC 2x9EIVpjHIThLVJVwyO+9pNmekcXk/7ESDiibJimhDbPWK2Vs/l3c2k/AWYjuoeIEsAdEH7gujLR Wr+x06yQOQtmvo+EHSPYEm3N6kTHqshmFPB4Mc4U9F0yNXV2T4K3v3DlEEybDxuYkFRJXcHkrkup 4AQnr7FaHOctibuqLNauhPoTH6AXy4WU1V0brRSBwRkl8zR1PsOeK0VLZj1PjhvNwBdrKc9PdUv+ 5WDOtrD4Wo5roS2egN18/SjMhUc0Lz7ClOih0Ei0mSJUjw+mgty+TzRooYO5P/fa3tkGbryUoY8o oWlKLJdlP4cCAwo9YD+tP92all00iCD+0peDNEsu+Jqjl20RcnmyU1SX2pVWDbrzkhW2b4qYTtuz douO1VAuPtd/l4saW/Y2Yt9z/LNw7d4BSOyR53OVyOErDrOFshXT2TqtCmheASF7ZXnIPniQFB8q 7eLC8V7fUz027px4swRtbzOUiAzDthfabAsW/GbBoKf+S2snL3fEFdw/Z7n5ez/9ujgp/DzTWaNA HNXobv0lz4jILzqusWZrocaZI/zvYXYBzhvXlazQsrWqWDXQN1aqTidiHxt80IdEkRMVlQiUIBEg 4+YsfIArlZBLWBLpDAIVSLVXBKX+u1vlRrRE57oE0xPx5nDZCABAALYy2VSrggrKbiIHNzamGqIP +N5IX0mdkE4oR7AyLUDKKYsYbkYba9ZIwoHm6btuxXBJX3cmpaPiGNGinFVhvCEwX74gP0k8nv2K 1l6lg+vrvfoWESAKai5UQwgS36f9Ct9i/8QyBn3k40v4DwPmDp7er3xEuF1HkyXZU4cAVtcubowz bWJ54xzsHrdEhlevEskJl+amJgSV+ibpLzG55v6QIAkmb5GGUShbEjI0QsswoItXBNup0apJk1gL BJytvlkFvjQVXLujOV4juEzVuMdRzbP1W5bYuCdHY3GYtc+1nNu0Bb02PeqazBfJnmLmzEC8X2Nx 0bRt2emD7G3OoyyMJ3Ux6MkoKESAz5+cGumC0WdhUmJecnzvI7RowixrI0zumDAzi4uwOnfgJJxH D1AERTnd6FV7P9bvcU7FHZ1cdiTee+U99rxQx3Xv7s8QBdwdmu6OGO3h810r1/z82dFuyvF1l94/ 3U9Dqkr5bYkTgIfIJYSTF1RT1/2EZ1r8wToAkXdUxpaiB+awyhSYKN1bTzDicKp3TbY88BIF3Zh/ Lgopj9DBHMK2VCa5hlNxDLnD/PPt6zgse2KNPAgoeMXheO3lXZkmWG3fPr9JgRXeuYzDfDS7Nddd H7eSSXtTgaQ8hrhMkzVaRohdS9X9/xlWf4dR5tliGrddVlm/Q30SKAAEfRfIRXoE6tcMg1Rp8DWs b1yzsrbV/QruPIqpQKe8hifR4xrGxRsxvNa5wI9fnx8Ivv1dt3DYUs6o3B3ZYSz0Xd6xu4/N+kSp JB1s4YpklQwUy7DOSY+MCfXGMf47SzO6CGij+OaaMHFebyQWqvgY6GaTftckHFTrqcuA0t0naKcu ysSXRnTgo91jhlXoYwbvqkjs/5VZWKN5GGs12ZK/GVo3RWQ3U4DRlajy+rCd3KBU61WUa+M/ZCM9 oSdw/1+PALfZVtp0NA9xpxVPCmtAlpAS9TJ03b/vijwIuYpsOrf2BUPUF/iabXZNSLNncT91pybj 6mFrinw9dhGWiX8VuALDereJ3FIHLHketgNHi5wvVUnHmI30PtM+fdSIwNu9JUIFNmUigZYfMKos gXeu44bOl+i2RkHXDDt2iaMn1Z7MI731vGmAAtQ+bTU5HWNoVVssQ4P7qZuMzVra+m7qfQo+WV0d 4/j7ti6tMr8AD+8Xr6moUfuegTLJhqzqaKIw+K2+qCzCCwSXPqr/xK5tOTEQE/bwirc3i4nYbyMx UDhFLdusvJd4SXML/zMPTq9JKlr0xtwCltVHP/KvSUCinEPvaSgOPcBPKk+sWE1a+gsYs6Y03y3W TithXlQKWYl//tchRX8nPbSADQUbpB8akxVNusXzBj3+lBAPiU/w79JGulC+rz/y77b8zg6ljhda Bkwa8Dq+q8Udd02u5CVPRKDeUODzW4KHeDKql+YZ/KVxlXcTWHJ7am4sSn71E+TVRmXpR8g9qsou Zb7ibH+gT1k6y7sKqOhCIPVFLuWHV+0p1UppVK6hHElybuGlVue2Rl6MgQ9/ge8FfcoS/J3AIOxu k7j7wDtjAdyzLNkqYdSZqJDouanzzrWejIy8undP2/uutv/UNVIcLBNQslGOxt2h8dgCccPjC+MK cGzKRd+c7hgz7VBi35xuRGvkMZ4QQubnQFL2vfJFsM4uK45iGvoWeBjQD8Qe1bUcxOfEwqkiQ7Xn zF1t4E90bBkgZTzUt+yzQxD6tuI0GYXZ8NEQoY6TtMnqajf6x52xD+M7vjXBwTgpJEbXVTM26Zi0 gCMF3z4F5PnEMOyg4VUAif/UqGwMDLbrIdk63wJP8tN5feN5HQuakazg2wd/9qT09VZ7mKpBuqwi ov0lKx5tWlHKsrRH5E7dXd0m0tdDOCkRbHB+bTGnV/V55OB2LKW8ZQJAy/Bw4H5PfLNef9wph0Z7 upyGjER3922Bpm+nkK2dBgecvKKfglgPoscnGPxVq/r1U5vwye5seAhAmnJuvWbgA4/PNQpCW1sx S62RhorT8kAsTvjYwS+OB4aNkgubvREGKJ6rURDgLv/G6k+RfaLt/crYMysX8AvDcitesUQ269qU JLAzB4msS7EQz8OiM41IZA5awvDgpMyE0Qkg+EQV9B4sMMMYUFkVGSJlTpXk73pWyodCXp5+8r+M GPzQr6zM30AUr/GgGmBgIi7lWmQXurJqWj61VDaEaynWJzwTAnrDms0v6/0vlz12iheW+doSTlfW UQ4gZN9TQWIr5kRdUfbNJGEMc5jLjNhGnaIiQ0hUTkA3JtFQlD8fO20eb8fDEsr23cWQWISUamsL mUXmHPZ2oEjo3krQgvTJld8HiPS3h7lOfwqXW1qTjth7+rRPvRlSmPJTkq1yTwG0Y1erl5JNsCv+ Cs67KOw0fMMtWQWSV5sqnOUYOe8RUAcbI5wCBL3C7oXDAj0+Qtd2/E4+x0KviNrOEsOFejIbV9L7 ykFM/YUBUCZonzstVQFfyLSind7270gGXT8ei71EqIWt6j/+X/MoLKbMziwSCheoDI67ug/vngJn QcerKb/iubQO1woCppbCOhLY5waUwM0LyVQjxYGHpRy20LpC4NLPXG3q4e1X/walzD+BQkJKSPgf A/JLlBRJfpJ7vNWI0xMRXL2OE2heQDXIbDGaXOzT2c9NgolTJOEchWwHJ45o+uotpre9h6GShlHx gNyhW6NfFq7a/2+OrwUxrmcAxDiZiVM6Z4xj6yMDcnvuZ1A1nEkGgzNb0sOmcAS0V95rlHUgeFP2 Azy5nxHszx/TpZ3sotf6EsyBcITIXIQUbvgNG6bGqJJdht6mBnxuajS5Z2JF/IdKMwmJgtpy/BXd TO9ivFoRvBFrSTKEnLC2Uryesp7eBKVEaxRa83z7yQDIR9whpR9CM7hzCcRqXZ3nlsJ4esg+gmYl DJLqDdrT6yGq9NnDfYz+4FJld7HQDvSTFGPBIEknPFEbBk3t11b5yLe9UGTYGumLGW8nCOndwSjL X2HbcTF3AOShjYQ07XIIrFk22qq+F20WGBvohpriNMJxLuIECBYci9uB8ArwMcPD5WV2qMJmjTDA svEpN47DlpZ+WqnZZZRo/IuEsT4LkQza+PELBoM2LU9zWD2vUQmoExzKRdLFP/dueYAq8JMR35Gg IvI5sjTMST9cOsTH4x1YdNX3IkDmhVui0gk9ednM371OcP/LA60GME7fS6/PZ+0BbhJzWgXGh1jo 3ugTlh3YsoeI4NJ7Dq5Nnd7Dbu6gs3u37bBpM18IuKspaR7MpdHnr+3+Iw1Hf/EITJNrn5Mx+MDF Xj6cFtL5HE49WqyDzjl6YbbLgh+pB+eu5Sehu1KBV5C6yRwk+1JTpJbF6LQhITBSfaGAA8/tfyi6 aYPiKP+fmb1yIAd3FVKUwMWZWZZO7QGe+bxhe9ZOZnYd+rQBNareYdLX1OU7wE2L8KLlub0ovOlg NNLG2yeWfMWn1a477FQjqi6T0N0uNgfHbbyW0hPm7JhILZWAPIFnBCw1+8oScrVSZeh6IhDAWZKO h0Cmy6prfsBB1X4fpRqT40nKsvl0iYylMrD1SC5BHywmBDCRxzKV3ndlrYjaYY+inMX95o6wqDrc eAPcZnZY3DcDOUJEV82vY10liZTe4neTT6PVxTq9Fi0sxEEGdmoyOPbC9caGB0XMfV4Nc2wucnWI XZf92f5BbvQ8noos4OWy2SNvc2QbNQQIezV6ErS1g1mVxu4ibVipU8jE1fS/CU2ayQGkBC4tJ+1S CihHa0jITZkTdf6TVJ1mMbxdpr2d6uDg+/PRG3K1jFZrbq71iyaTof2AYMy8gL7RRWHgEYeSpAQL loO8+LH7pM5fwk0S+zyQks1Poac2ZQp3QaDM0cVo/spJUim0drH+6CYFRjKJ9nNIl79Qs2oO2YtF /X2ZfWPON6cEAL47NmFffzkT7NHHC4duYjMRQdeV/H4/2YrNCb6S+SvCZHPl8Tz5hsJVsLcOTXXM Kkk3qOXHaVCFU4jtAtNz2RNn9ctiEhUMj/pN0NhhrlODoOfOXMnVT0N4hSDW57VcY5++f9SXAhxl loun0tAw+869z+sneRZmWiI5TLvwHjbaWko3N8Sub0HxBrfeQuiqMc2t/tNzoqhDz4tzZYskqNXg 7EzgBSk0YHFD7nrkB903HqMml2w2IDM4vbIRfniU0fPuZ7/yIXJrR/x1Ux4sebiGHoIomUHfcKKw Q2+ldgIumDX8ZBOFaNsx1cpK25+5gcbM7dKKc3AGOligSsWuaSNFx1B8TPzcIEjLbzMaiI08Z99b g5mWIZnllGD+Q5BlLjtkyUFSapIlJNtYiW2zmidTGTiptRro/vW1E/DMLxnfasTljN/ffmLezxSO Aln5VaKBY+JrQW2h+70HIKptmqL931xWRuzMVTW4eIeifzKKXJr3ZjUV9V2u2ixJHvfULGcqemWt B49dQ+5SSkeUeEiWU6TR9SE1rekDxa8Z2fJPQsMeOx9WQophabJknIBP6Y72w7DKJ11vkNaA+plO 48Z2PQ9ZwykRcsMQAJF4+IvCvzaU76ZkUle0+eUqU0lC+23K0IZVr1UivZc5DW/8lW7gw5F9QNpx apt6LTOyQrLip10YCiN0cMKwY23RoojaWZxXGYa3JjGynUJwy+aSdXVu4udqED8phigx27ibLA32 JgOGuMfHooUDUWRBUhS8zJ+YIMHf4jhDuHAuOSc4P9ckUe51ekM46Hazxj9sSreNPseg+O/RBhuV cz1oqbV9BFU+2heQtREbfhqomR/+ORJDC9X0LtP+UwPWHszYeTgeqdjWlOtM5JG5I0s6tYvvbEZg dtAnTY7eiVK8/jPwFWaSVBe5KQwRywBjTZZY/ijycn/oltvvv0w9pcVILObZ8lyVx/wnL87zhq50 9fcXq6yDXONt1tEgSBNqyBrgaKaQfjbWLrQCM5YpkPMZRpR8pMKXnUFbA+S0EM7xpXzpAVJjB/4Z Buo4PjHZTBaYHiWqyYtg4MAEUVutBr4MRkLYonwaF4gSmcZCCCQvbo7dtTmv/PVijZaY9s8vPlYd AQHE/TsN8P0fT0qs2rCA43vpWHWeCxkzybSu/c18We/MeCRkC+7jmEOPN/Ok1Rmq9z/L4+14QRy7 LOD60gHXa3Cbi8qDAH9etKmjn+i6IvxWx0pI+HGDdwHYFhqGH72dODJEFO3jEglABizFAjfAhl9W SFKI+Geh5wiy0/LcKQV/1w2H+lUsWjtmG+k+m2xeHZ0pNoAHoo3EiZvN3jdiLgFGYZMU/kDvdiiu SAZRiWS2NoJ+INmDQJhfv84WkoGhIDzwr0Qo4OKevQisOh1FZsVLWmTAyAq9ZIowptwugts5VP2m 9zyD+p5+/6ymsiStezioj1Y2JzgQNETgCr5biPI9hzLpNuOYLYMVIQBMSVm2/BB1PTZ5FyNsWvab UUAACz7dseI6SjYWHRqmVySTzG0jTxBi47gBaOOWl0P5B4KiPuz1RS9pbpyEGUM86/xcjijAxR4P 8O2FVMg4M4aVuYFhyuwqRcxMHft3AtHftVHTi/ni6MwdokELYOjBscHMjJIGgP92P4uP0MMvDHVm HWAQtXu3YcCILp8dtGK/Bs5ROnZu/M9N40Pt+yPhgE6M9GOuqeydJirbF00rZ2AAA4Ii4xhajv/q Frp5Oc9l54UD5U5p1EJ7EVLf+8+VmCmOIs0eiidbj1UGDv73U4ddH76wO1hRJKU2WZRcJDyXgnpw KC/PHXhhDxvDdR+M+hahkz61VbXFqqYN4a5YnmzBOeOW7Q0NmoMQDzmX3Nky91oNsFcDiaUgqe7w Ew44lRuzEg2cWbcuJF1QCt44XTqzdwFLtBGLu/gFQLxF70Bcw7O2Qupmuci36vLrToHP4CjaJ0/Z nku8TfW1Utl1nGHkn0IyGM6AMWiIG1xyTqq7vyIJRiegzz5p7fV7vMYQXRQGpk7WCzvHO/uZ6ZIR 3RsqSY8zAnjBXre08ib0EntaeSNPRAW0jvzEq2GzwiTA50sv46vzy6iukT5jx9Fw9fldtkr3NXPN csavSKigROjqakizSAs3SZVbyYBNEdMQ0ZOuHSxerComQtKMh94WxYI3d4khg1ybuBh5wv35RMT+ guw/r75EjCWBG09n1UyBuJjMx3F1wAmsgC16JocuvEZKQvPA6RsXdIAvtrax4BFZEV71HEBFcVgD klAWKTGX/QpSU8KfG2cNModXUvDPpjiG2rHw2nLwxLj0JaU4WogDu6nCCMfJS1WdN4/qXKb2KF1N FcIgN3UTr1IG9cuNFnm6yGIE1V7D+UESyUG3r9culfnfrA135NjyCVLznZYg30ZfPxeoWyK15+3L VqeIZIEVI7j+you7VcoGms0IBSPBa2zhKHQ2Y0OyUCYrGGUESkSNiQDo/8bmHlLUZvrZNKuBNd4X ekcVsFCMa4mBbXfagjLTCd9OMMCDN7el9lA5920MG/H7GlssCxKp1ywOkBTrM6RwqhvYZvT6nrIM /YoDswGL6jOuWvnMTxFauv1N/cwuI1wlOoO/wgvBAEdGhQYli8GwU3fIQiiSDWhsjKLYbDaARWc7 X48D+e2Dg1ixnbq5Oa3tqGoJ4PYrIl32csGFUL2rzytEaz6ZZEGS74vA03cWtzWf+7UougxMPW+1 P0d5TXnQtntoLp4vkP+RLITIZK/hyuv7tR6tuF0w2talQA3SEINAHLoEhI1P/VdxMjfgJ/p/zYFa UNPeGowfES7qDs4qRou45Amo+UlQ9xTAtBh3OwxjvJXYQs2A5c2SYv3mH+EIXFlSJacvEgTWGIfa DQoxfe4gJygmTepKtCBxlnvD/btI8LHf02cLjJB2MhY7ksr3PYgXY4ad9o0RexzWk6fpuYOLvLkm DZa99FGNdIXVgJWEFboxgwzoQUmIZkZ570BKPH1+FUz+skbQ0ops2VbxMGyN+6lzPGdGRVNwQzU8 2Xe2A8Ew3Z6rTiNBdlAGF7A/etzLG/xKNYSsOcj1WI/pfnciQHpgo21RKYF1I7mqtgRCGU7VUYcU GYc+uB+tSDf96oEQM4YmOpUAp1oX9PzJlPMHiIDzFXyqJZ3ny1SkzjHvUNGMrr8zJS/qLeg/CaRZ VSd/aBPsss1EiGn4ih0VCGRPsdARZTC9xEO4w7dd2/X6bvmaKVYJWhvmvSo4myh3vQoiws5ssyJG Sf9Ov0OdkNY3lQD8h3psXFUd168cqZBd+6uLQ6ELT8nfiqehwtCyb1x2o8v5wDR9TzBKig40Mll0 f3XMj6+P4Bvd+dCdFLqEoRNb+F6Jyz83HnvEe1tKhSjTQ+tnRENbNmOZKEsSQJMnLeJq1CiUMNim J/a9jC0nzU40X9gERVO6uuXYKQEe7FkrnZ6wM50U71xnfigplhGSRmiRrh4tVdZf7UT+DtpGw/Do gJToF+12dkSJmjZBfjRsyv6oAsyMrLz4OgtG41VtSWnrM40Cl9Y5BfIe+zNCkdC71agaEHK7Y1f6 AuOBqz6P9EmBxpAU/SLvig+oBimTCWauJmXh9ie77FN/ziA+j6BG4/wesuEE7btoJykWfWM26Wii PRwv7ilm9pJs/a3DJtGYx9e9DK/rcl9zZiTnsru+ljzMVXV+7+veYBlI/oAZb1u50RJ31oXYcX/d 8WSmKMuJRlKcdsbTxjfyctOnbSQMDKFEA6xMNiMYab44x0+tlPIFVRRfSDQr4XuSe6KSV1yl4YdY QSopAfREUFn3591HE175n2fqSPoXjvO8mkl8CyH6FA+nED3pF5ldFKNQgnC+NS70gDPLFAi34RYZ MJ2YC4VlefWoMNHstTfwQS7KJY10uZVbqQw8ono/QrjkiVqQhbCYiArsgbVta7LxNB1rDTu9u8ld dGiTySsOX+Sp3BaoZku+N5Lq8pINWqrWpMRzimO3X7iDJ4j0hv50tZLzsTplY3hWWgXVba/w0pTE RZXHb+lE7Vk7ybcmVTHRCwEfXZ24CwL5XZ1qMTEHZ2yTx4q/kC6Dp1XFyKyeHdu4CGtLEafE4zqF EMs+VdW5ipQRiacWbPm4rKvEONnFSZ9fVHd9Ur1JnFBmdBGWDuZ/mNBEVolOHxyoxisV4JTMW0M1 p2Px6L2MRsrvRhyKfalQadxAbvccWgtETDMIJ2AUkNGsKvnIhgFNYU+w9mFl3gJP0BXigt2w/OSu gakHNYqRMHDCDv/S29uh4kdUo3immvvA7SIqexzaL0g5PY2wt2Z5yZwRn6l7g5/lv3ZAdNqP2hak H7Kh6NGCSvS2WiqZfxB7FVRGIZm8jTHpAx4H3UsPtFxUA6JTf4EChLkhLrxB5vuIu77mhJSJdDdZ jEWLoUNQDLv0s+FqKBqwzzCYxuNTxDXw1vrBW8CTL8W++e8q0OXEIHAH53aPAb0FqLl9DJPkPShU H+gRRFwR86qulRUGxIzZzj+6/PvJV8wOJEhmzEnIjJ7XyDJCAy48VwdhRXQ4mJ4jnXEVtq/jHFSt DM3MH1+FD8KfXqZMlBCvoGE+Mn5yh57kPpuQ/XZgZhw61cwbO65h+hdDjFAtIoV2lDpNyqfyPkS5 DYBP8/mvJKgCRFGHoXJFxtpyjKXk+dXXqHDaOsPGrwX4IEdD6oKpi3BNzS7P1jOs7j1lVqVqdP/F eXHowNXHkhcj9YMRr+c3tFqLfM3soq8+F5n7OjtoG6pPYPNKnW7oGOaiBkcA5fQgmvTrOaY10/Z+ OWAxoFdBEr45HWlj3X3iu6doyncnZWPEafTr7IsZXWwkEd12nl6+m3jcVLV9PthjJMNJukA9LM8N TzWxd37ohljfCTAMEzdHs4W/mjhFIK0pO8bg0WnJaeWPX+DZVFMs/IgpAqobu4Rc96/imUUcBra6 WG1OdetRIHmL2HyCqzujmlxFET6UW97ZN9j69LjuaTdSt9niRTDI+PqL8cS7InLBSLBlQQfeBhw5 9IbUHyGQJwpoQ7SHPM0oKLdxnSTDdUjlvHSHRCPo9V6t5GB7nIgLh/qk9v6rUxCM3FgEhO9GPW2f kVgTz8+PAAlr8GEEDrFW1+LT39rDXM260Uc/GrVxXF5wwclrW2BwdpSCb5twumMH4PXZN0QXzp3o YpGAncudujf3YrcFbJrSA4tX8wJfUbzmrxlAVTmLspS8lm3BqSvFHtK4/gZ1Ay6ghb5mIdho/1t8 0tOLN3Ckwrg1uiC6/F/i0wKPai9TIL12pzcqMmcISnJeQjNgjpe2wSLAkp/s9OxJFkHGsLXvgsu5 yMQeBU8Kdvxb4RsOQnMs08rxP3WTwNRSP3h6XixIBSMRQXlSNqwWfMTow1Gh0pnQOI9ugNGMHFL7 kZJUHeIaX7nxuqxNWfeKr9inGTrzEx7LhtIIWR2uSrZrhcvCpw6ORyM81S9eyqAa6HbleS/hbCZ3 XF59yomgGA4Z+n+SQsdxlF5o/o9gZjXdGmcXC8JouU3f/bpUoAMuwy8qA03ugPWL61WtMAPhP2Ya nB3MYEsGd54DhRu9t+z/iBIVIpisSWFQk4952QCfmwgXQuE+BXm8f9q7De6+fmkJgSQlnxyFeyTe f7dIaCTBMu6k6Nrzhx8PfHialHRdRjcDueMbDDYNePPxu76fta5ftaBruowiWI/LmgmCdFFmJi/u Ucn85ILaSjWYjLfAX+bdHeDq8BmzFNOgSi/zWAzKMZuPKEqKJRo3omh+QSajvYkwwWel1dwRN7+e 9ezvlFITO+wjBQ9VBIdSnohiu2hoYDKUu/YeguuqJhHuAgT81yCv/bBtM4CeWnKg+EL5sRQI+uix otKtzei3pszxGzXyRWvyn36bAuPMY+zQGA3QWSr9527Hzk83+LVUpJGY3RU6aq4L6kFPBxtTKumU haik3iKzQxQxr9nOeB5q0VJE85w8WJp0mLux3D4zgVmczd3tW68M+Cjg+/HAgqExq9YfpxXwMORa YjhKolS74e6aFDKLe8mgWoYRo/WkbBUYTqAe28yVf/B1gMmW8JX3Jfb7GMusMkRRuw65emJSjUSN v6KdJhJVpVVtoztyvclYiM/zqyYXzCUQzm8j3hEcMJzNaVWOh9Vyohlv1AOFDDy4BF7/KEsx4zeP ZR7wM7ZYvXxCUOoGFSRxsrWkdvpzkDTo1ptoBYN/qBLeVRBWiaLw5Kw1MirnGqFwe6vjRZx8TWZQ 11mzQHnUqOMPvfucBv4AdYmx+Zcc+c7pOiwYLMOYYUzMZzujc+s/ThcBpIK8SfHHp66Zfm88XW58 NiiUjiISgHjio3v29nWaezlbR4Oylk0Filfl3gWq9pklwx3eYgjOMTOW4rhzGTVWS7uwmYWpAOpJ pOmbos8lSORDfkiYiUW8yFy2tnwfIgrp+tsQ/JlPCjmSCGIeuw+aHJjYB2sqLSaABD4AJD/7wEhf cDUJlHQk6NOxfMoiE4q3QLN8CN80QarAi4MTZ2Si0xjd2dmhIChxM2nmHZ0kxWw/OjOZ0OVLdUhB WxWPxt4D7sw3PdPul46hFUqlmzSNuKOZiYTPSMA/+tRv26uqOgA8KjBWLpl0TPlA+zwMfjcREky8 GfaI1wHIajd+Qw4BysFGJyNHsiCrgf/1qauKDhSOLCOyJzs91pGdlYQC4NVLlKKk602L3R7WQ7MH 7DlZuDmluyYs3ZnbvAspgztyj8Legl/DD9loe+KS/dP71kYrmak3FNV3wsGycmcp7Btm2uD1HHRC nCXahnyUbY8vddoT190VhoaKltl7pH4VKHnvI2rBk1DtVPx1+DWJ6Zj9Pvyno4aPhIz/CqWA8bMZ xqRy1DHmz/vv3vBi4zCiU17/7YrBZnRRikjJBZSzSTnquC0yJaXhxfGO0G6KCJDRBqnqUXO5pJkR OVnGPjxVMldSTNNQFsp4mfiCzP6xxPY+Njf4J4ELmgxvZTGj0uPLW87Gvc83AWnIAfQADz2KZjPI QNY+0lEQhIJ2AYWiWm5Mi8LvWbgdbhbaJ1DPo0056PAZrcr+1toMeGvkzOnnyPh0t4E3WtRgfPMu PbsHghDWso4uhvf7JmZb1Nyfv9QuJk+uZ/raXKQh2B09o8n+AxFvgjdfExlVzRxfUvtbaizEbVq1 X7WTPTUvLBgV5OXyUNkPLXbfIEUf+hB+h6HiOrKehLWhuSmgxX2/GCKcz2pgZrUJbgRx8ii9KUaH k5R9iIccnkYl5Z2+6W1s5uOB5MF8+0L4yChr3+gh8zfHrvSzuLnk0uxh8gUqMngho4g1GJRwUo6z 8dijHaEX0sFs9sU9OgaDRq73nTk9KMuNsBU+mm9rU2750h+m9qbMoGWJpQP/eTtHZsGvdcnNZkJF BGvWFHN6j7FQX4tZq9dTzxgBxR+tj5u22nAtJPj732JV53/PKMlVUWuOHvE7Jxrka8R18daXbkWI FyIpLkG4JX1HPr+ne5QJ5ytM1aG65/7cJV3n+3+pJktMv15pgS7g992Z5QoqcQWI+5vD15MThqwA Z6TP+SlQTtFAqnbef1UAgR4cNwCYwJQAbk/2mD9n+P7pV5NlBBFkyENZ3nNMXN9zUrI6wzHr6zsF swJPrZdFv86iTpOU37HwF0PX2GTdvvPskcmrZQoDLb0Ai/Xdx8nVTXS4N7tomQte5QBPTyYkY9Eo 6bXi/2Acm+XF8OLfMUzkvZ8dAUH4ThtEgcETcB5YFweBmOKm4wnpnYRAmDu1m5An7zfF/B0NYJfA BoFYBd0r+HbztXGdJmRwKKvGVDVctK4sv5CvYipFFFmsDeDjYxGKf+hKKFBc3aoe1SLyfkjPGFwX fjegE5DJjCQbAC2PytMNe8CZIo+x5JLwnFiENsadCvWUQBCnqcEqiCFf7r0YVdUBTiynTsLF1/yC pNXbYt9lHFXTRYAQd/n35uZXQIKXNI9xFnnPH0q5EH9Pj9SwXf5AiyYUl2J0YZCJpL/S8Z/iTYwA YNi3rDNUJw5pxaxGGFU0WedshbvBQFjx21snYQeuFw4iZ0qQk7xkrv1xZIFz4XYyrsF0wa0+X2/b Bi/UkPXDZlFdoJgIc/9JP/i72QBYdqau1KtQHDa6/+YypqDg9fUe5G0MfQHR51VBAXg5vBuhoPlU fo6PafdWWcu/fIINjujO5U0q3d+/T33CYgQIAYXQuQvXM/qqpk/FlzUutZJEkihMVFleMYKvCfEU zRxFDlPvsLZqmhki20tmFpC0KmD2nbXp9c6Zih0grdGyqWe9jeb7O1y97F8hqaxzi7nhoZ2j1DBy qNQjURdgnlIbtfnVyfWXxEri4B6y/GXQlLJEzqbttz7mpYHNJsKRPSmOgFyTIF3zdDxYgAHL6jv9 0UdV+10Y6afnOKl13bsvYPFkpsXTV5jDijvU7JBC+XRhfr7DVxwZfXjy+J2/ks8HSRsIi7Uh3tBy ySCJO4PstN4Jcz1MhRdPCoWT371pzxmB9O570ruwRCcK/qBufPoiygXklpZr/ebZcXsc5y4yVMd5 ORC35AMYxnuK/uteLrc/yrFUxGtCo+4RsmRxsNcbFnCoqiqwOyCnHlvsd2SIIkov3mVGg7Xnqu90 yClN3zwz64/gki+cqoiZY28gkivO8G0SqSOZpZ7r05DllWVLW2KYaUCEwBC4xU7gpqoi1EnlGccF db7qVhrb0m9c6fvNtIEAG+8+n6wHwxN427sMCDr1DyD2AsPJs0bL46ot1lZuZIBzP62y+jnHA60K ikvVWAzu6TsFo98SklLmqHjOhBmesnqM/WbM52MaRadAsk0frId5wROPZxi9hvCtxW03FieDbfqE YtDOMslM1IaDRLDsPTHBYN9Fa9wVzFb2uSBFt/g/YZ65ZO+kI5kUYMJ1A2sdjzeSDT4kojpFS5Ps dB3kSDzY5ljkuPSAvFgizcY8yNfQJqFjwNCtxy+GN5kT2n1VflOeRSMTuJ7km4LexYLZgbwCJx0s 4X5igYnQPUNrzI06fxRn4MRqkCWMhmp77xWuW80XfdMmGEIFSufg4p6NLLOanPC9biIuFJ4XorHf T0U5KBV44DL1BFuItWhTtc4AD9nsKx8s2J6+Y1+84gxEQ9AzGLQ+tcEAR8qBFyIl+Xsjh5e0GQxX qNfajOEWixCh1CX865/3fJjlk/68oSMTUeOYTG9zpthskzaESKlkQ39iOk2v7qm4f5H6wJXoNCmj CAvDDcO+tKb3EVFLzDhmhdmr7SfTZcoZWU032BaKEY8IcH7FFh9gQHh9GIeQ+DQFopVOH574a8Rs 74aOutf6Huc8S7vsNoL8+zeb+9pF0MyxvoUh++4g9C2CG5/wfDJzLwBs0wvfhgwLu7WBceYUYXE6 6D8ZqjSB1kM5K9GD40/0K2Z2k1ZdJ8lknfDtsoRHLGFQbQsTmcWdj6xk4dVKA6OXtv57CimnQt2S 5q/XDoi1572WFdHee2CSwQxyNZJ/QTsWInH+1XfQ7AHSYOOYla4YcA10YhbyOXTXseQR4OBMdURP b2grTtdQd5hhbuEitfyCtq8n5XThIOOD2N9eE5KOHPMdLzejpUp8SYe8D+qE4Zzku0Xy4U9fmXLa MPXeLX8yVjEGSRi4FbMf+9chnhKk7X+a3swelAPb/LMDpc+kb2OUyVFcjCuOOw4llXWo4mWIKS74 MAX3cjdQOPVsHwgcN/sofmn3DmYmt7i7CxO35G7ssWlX7T3DruVMdVJwUYmih5SwHSs0kXrG2B3k fUxqx29bFnaRK6amXsRvGW7gxEvy+//lx3yXsJlnRw0MEE9aMme0x55BL69PYmsXtFSL0pysPlru Hgwbg+3a+/Mzlx+JCx6JHS2Ctyj87CN49yDON7ugQwbNrkoCy9hMwjtI2WW3IWVQp8e10E/MPG9W amBxSpKkJTGWvBuTDYAMpfgpmJXag9C2KpHFw4vKTofXlXT13QA8ub+P4v4FxHYtLT6BXSXTrD5L fi3gScr7jC1qqS4o1LujK/Z2fsF3zBzgQR3NWFhmDI7r6AEmPU+MtuBvLoVP35OOlIC0M9lt+8fD So/xcB5gkJTnAXtsefGvtr0lb8XSXvpGbDKsaeB+MYpD27q5mjkpjIZ0swhaww0sw8eWo3eVU8Dn KQCCf592n3Hy/vEcTuO4r7cCYypHuqQQyhuoeWq2MytQmHr8eNlOcpvJ+9ZFawKjnlctf8VkpCC/ gMcBpT2PydNbveWmlBdcP263u+xFSws3MvFrrH+upuA5dk+lnyprA28tE5sszXnIpgH84Zbxoz/+ DIQohFyy8n34djj1fhLZVBhoW3MKURozkLNSItQsBqglqhz2D7gmqcUXy/FqLYhPf/Rkfb2cn57M cZiPxETTvDnzS8lqwDtghwjq3JrtrGYNnBq9ErMCJdL5/l8MxSgtWm4/TUzwSy6cyhP1VkH6Y3dw NTf7ERWyldiy7ocQyI+guFiLev54VD0Kg7FdfIfyjks83okBPgD4yX3LFOtlrZsyf64AEjITOWq+ nriHX0ifsqVnMEZ7iY/yBfljjj2ssG0DpKhpRDovyIF9zVsCyoB7ogK4ucl5xdZU7gscIlcJpnkl UmJcwYfjA8A0358GEErQk3YPCCQbFj4VtkrWTIxuxpDjlXzzPm88M4BeFzUMr4FAH6CjWhYuYPJ+ dv36WmyBF2ig1li/WNUF1p3He6A6Xt0n2bYvSU2iI4IB5GibtWIHFFyVo78R6AfJkTBiuXG07eNl GmTO0cJFN0aO7ocO9I08emWqSSOoMB50NuEvhcNUc3cHg3Shca1YhPEndWjtel+s7aB5WeL6gf8o uWxPyAXaWJfRSEjdCaLkQ8/BSbFyMlGBKQ9TPQp4UNuAHcVAF3ifnNoFpdb3z8arAiYUwQiRzPEZ mvPQTgtC1s54lo4yXFifuP3sDVoWUgvWgHAEEPVn8RF5LGcKiEtRINjNAO95CJH0S4dtmryJV0us Ld56ocSu9dmRGnzgrxSHmjA6E6BAxNrmxlEXC/SdDIGH42GwiVg+TeGOwcSsJPSbSEemyqkCJY0F rdZ3h55nLJmIe8Rk0pkzgdUeWzd5+eQkEFik02j835QFI9N1qJ8/+OLOVv2Yp1Wj9eIcfAmiiAg3 9xCvSp1iQNBsApzYqL1pTgS4ulMJdwcWvm1w2Yl7yt1TJ+F4pv9jE1fpO5LxhRxZmAxkGkbXlM0M OUKGXyoG3IUIu16Ze2ht7iCRBH3WaBbDxLixuhZAOGRv2kO+jkepA1g+YQhsWn7ELHk6QDN1Yhfi rG7zTzSrw+GibhimNlcdiuflfTTqNWofkZbAmmAuthh5N1vAIpJw5xnK9gIsXSV0snAlx1MF4Yf0 eYEikZx+4qoEKU0GDN0u04MjYB0wjNXNiN3pK0uCQ7a0scACizCykAkYBwKo7AQdTJpubgXWOn0J mtjY9QaTrJwEnZ04QRzEvBzXzDPWlSUtPw73c312N+zWZz8y+fo/57x5GY4TTZZdXR/7UoAiDcV9 udtPDGxD9AgWlbF+UO5aHExZIPhSn/02lVo+hCNJvyzyDlNUEspESyFnuAgND5zQDXbA2mP0eih4 sofXAYo6gAJkYabJ932vEPDXgD00vuupDdQVxOxgeYcl6x3GqIPc9IMXaekMmr6vJR6bmQCaUofN nMtiT1UHZzaVQbn3w6au8QrGCeWn6vRWlzOE9NM8gCt41WsuSneRF1eA9nji3WjuvCdCKcGsohKu pXX1eUQPpxBeyT3r9r06tavRQzeKbBmjKPhjOa67XKeIwE1OSUWc1cBgFGMDEiI5TGN9d+B8gR/C KVnCTOCBfmm7f0TBoAOgc/wMuM5j/4YOzrqU3GI/n1QvMOq8l26607Qa2v7ne5dQwByX4QaWLR7n oP8EzQ5EIda1ZUWXcXX4jH7ke9TZBWjfU4fV9Giii/Lo2F0ZyFCNtoRncALib0ysz8XtNDgaft52 KwYuTfp4uhQyW2hZSuHsI4Ie6eprCBqvsBM7KNpI4Fgo86jP34/+owEaFA608K0+Kk1vSPxg/cJJ gILDkb9IZB/NWdge9apkXtBQW0SiArr2mFYuGmmYnsIZSb3xzl8W3c68aCXL3Nbc7Ut1kSwcBQWy SoWpHAuWY38IfeGGscvMa9EfjU1js4SGJEKXebhqxjTITjaoXvOWgW9TMx4A81wSCP8LmCmoZMNJ WQctmlANeP6w+TRAeEuywrnnVsp6tmSZtU+JuqaqzKOFM85iBwlyhCBsXohr6O+94kQLqcjhRVDH QWrKfNUunuJ6iFDElHaX/vRC6b41UAg8pKfexWGBJiAakmq29wRh3Mk8LY6dMMp6GxQVCT0rK41W SgUPXWzh5uDiqUgRnoFiHo5SfAO0ILC6CikvMhuUO8kCHG8BrHH8gN5qK5JnyGeD1jIxQerXOZZs bfEL58yAFjooOcwO02DfrFSLi8RowVoUkQaf8BB8PUa7ER+BzzlB9Bm5jmro2ogVG8EC8Ek5k2dS VDtpVAT42UFt06s0/y8GLcJ25HqnYvVRPjdLyx8h3FhzolgTswCWoZzHFIV3ppCWdg89N5i2Q7cs y3wBbq/pR5dmHnrAz5qk73FYQjsoJO+yx3fFpPXJeiGIQQ9vb75DviBKVUkXI3KKScqRV3DxI8fU OrKy0tryAYNvJDwmyYNtp377WU7xeDEwwm6wR52sz08CcZwGGwNRTEEpn8rva7/h2UEomfBoGF9t DhDXtT++d+seV1HwLi7xB4/XHxky0cmzpRGuqcI3BTiwcDh/dop1RXH6xledVQ/7Qa/4jwzFnvAx nAeIc4txY/+hIYGLc8YFt7ioUZqtIffx6mq0aP1vraBP9VaD8bukjth4yVu8OkRb2A2nVFdOOUb3 K15xWvLgqsLz+jWkNYZjaKQ1mqXpvdcj1dAL+xhatyg0kk1KetGFzMrnlVmUS6mQzoF1xufTXztg ZQtCAYqsvGvubWRmig8f5NrZPNtVlb7A/MoxeryoGiASTzmLfq60KTpUivT/H1tZOq1zDPwe1V9c 4YUsXGqsY+fAC8Z2zCfkfuVNru7B4HMdx/5Rza/SyqvXZ4+V61HV9fLX2i/Max58fLvkz5+emAF9 eepAYOICbzpQIADkebdelup98vroO2esX5z9kUEEoAuatU2k6MmJ6fVFDj9dr9zYMLDFRNLIk68D PT3yWH2ntUwN/S/jz/u1LH6PozHXq8cUQv7PkpdnFN1ivBZj9ZrVX4JAD4sHsI6XezJuOZiFMDec wI4kzKXHlv+ohbvCOGglQzaeAgNmt/LvDfNQrL81m8B17vHdBES3MTLsASQh5kCl2sFTBnJswGVh bIl1XmwMwiF9vC5zG+gJqYnJgjdBkdnJd/wxKI1fXlC0DgdIEgT9LhQ05osg+k61yhCL5Nn/HkYB Jaov1em2Lpza2eLuqLtuQxSmDHn8UX+LsDoHyd7bDWeWouReUJ4Xep/Pdj/w8BEmmsSm8Vqhyss9 D9ocUoi3TgsB44IU20kRL6N+dL+L1p+BnMIiofhTG0K+AuoO/5EvpJ5eockKUKIlpPHHUl9dkXPl nit7f+CSHkGs2wvem03OqJY2ceJZRlI4OsnL0wg+IKEQbzMiHMGJOYE87dpawJMpFzRKgsIXnXk/ Er1J5uTYyVekD/am2Zri94gNfhkFkbKa5F2z43UgqXW9Luco9B9FhQ6ikZEY8bYBdPs3nvvGokco FJJvvitUMV3a6Dp6nDB5usMoGYJAaRzxXprpOwjPOQ0Jb8du46rVWD7tNb50BkWyVkzIpRPLrC/D /I3rHL1hp9f1ydna34yGr+vOHQxTzqZESTkWgOXLCG/JG20ZiJ3tK60XQ9wENIZuUS5apFGiWSe+ V2wYoqHBoaFKqTbvOpp/1VVKOgIg3RAUiTZQjVmMgc6ktUheHE+UPGldtQup2rfHxnGV91ljhK1P YgxXU1Q3pyGmoh3JD+wCW7+3kQzvdldqO5LIukAMTW6b4UAEtW/aDJQXdVhAG9XYlABGRnC5R3sA hSPjiI4ACd5IOqQJ0NE5PQLfH1ildHJCegMYsxd0ycdICnLuvbSUSiPq3SYaojIYusQHAwwROU+L 8aVoywzub01TrAeZ31DwfQc6AC61lopcLbi3QcAY9n8IPfhE8q3Lp9kNDAv6aAZQmTEmqIriMRxp D9l+PJjO9/HOznvpc5KiRkOx3KUCHjK6wBanYwmk3BZXtZldhft++gJqq2gJfrxzqVUV7mT3s5XY Cv3rwT4r/GBJQh84c+PGKazGn7x/aQzl5dI8wAaWbrSGtOtRi3ItjahqBjtipQCg+aoEKDFzE/X4 WbOS1UPK7mCcbQNboC+dPunaZxmFyylzcfcB3jTR6i5c2xSkANrPhJxttl+EC6p7JRazC2Q9NdLU 8PZUI/ZeOtzuqMel7nEk6mP9g0bEFOyHZDso5sxNf8mFlHgg97Ce7JwuX0pEUP2yvRBMSQ7aViao siAWa1BatzuOR95oCEdb3h1IPFig6wpccD19v4QLI0qjj1ZcVfUt/VHMDkIFKB7Ex+3xEI4rKMI4 py3b7vT0BkTnMrcXVj3GPfTbxR+5cGB6JBRi88HT6ipAFPFBgT4D19J3i8WU8URboi1s6SYSS3uz 4J8Ab69DGcge8GzOyAkrzs0WgFUe3ywCB6M4bVwdTHLz44XxLEjhia3sRlPmrcewPcmgC3jn664/ mX7h12zjj1Xk+3ie8irk17eepczBMlkf2qId6d2g104bkT7RosKkbjK3wx2b7fbaFdO3fhdv6nk7 zEbvD07dbuQvZQ1xCuqmhWnP5l8rlgV1xhE0IJgeFcRQPdRk72G4tfGTZ3w3PgCLwQRuSaVBh5tZ 3+b0AV7evLRH5Wv6HrC473fZP08IFcsuwcJxCeZgz8sxwlN8SjKj7PPZFeHfLCBb2e5K3Y/JkHcr AvfzfhVMJl/5I+jVMc37gdRJ8bbzOFoqfpM8+z68hYZu99J57vEj/Wou0QPhju5eNBMEvJmd/QqO dginglkcYtnR72tKIySO722fI3zcl33Dgen+odE4yagL6Q0hqn092gPanPb7yijGcvfAhRcC+mHD cyclO+azMaphabWqebxih5ztrhRp0mnKkFWBdBn3p2zrioJfgkZKc775uyDX7IRiiZzbKrg7q5ZR PqR5OLKtbsFLjkiIwEmO7X72sME+EwFPj3vtgOqI1bdcRo/4IsEX5v3/J8l/5RdZNLvlvXam4UeK vFAN+O8GadHRtOWfzs/QdZqgEug6G8wxUyKdOWhqwKcJoMQMzr/HB5/u2TMNivaBOUkvVHXSVUvn h4GpG49Z9L+2YL0Xca1TG7dNoXUkjmH/VS14KAOql7a5K1b/Qjgb02IZtgZKxBT/fVF8jAefn8LR YwgNA1lwIvuxPGcaAlpNPp1RxgbKqYAgSJna8Vbx4Un/Fr+ZiD4tnNBYPnhN272JoRzD8fZNDAlo 0QcFF2zyy85HZz1A88LhQiXzaE6mH+4uBKXaM/EgRN5FGTUuCBDSytt8erN6jAxs8cXNnSdTOfjp swjBNb5HZM7WnQ4+13UexsIjmSLKOrM2Ez98ieDYgSCxbpzYnAIpauUpbk5P6YbS7FSaqYaNMrg3 M4zLPXmr/U0sWu9Wq9Q4UemQdoXPiwzFhBo49bP3IoawlvzNVBAigDy+MQVd4wHNL9b5Nu3jWLta 6O4O5v08CszEs+5cjDdHRz5gUE5fY3/lGNDCQ/jVI+PuaHk4QWhkshb49a2GKqfmEsG8ApccSeNO 77zXDhcw1sMxMkARKizynT3QFh6Yqf24MA27xsDJEVr4f+Y4jTmlu+v7NRHBmFCVqcu71H8USpic COsjd1udI35hCV199puMp01nuazau3wFuYgBKAOegISfCXvqPMmGIIGtMXQfK95Ucs2cyE1rgs7e BWZ8rBk56rOhjBEgmY+IdQXl5vzbp4Fsb/Xfv6BC4tIHBdm9Pt3h0VJiJYLq42dXAP2W9fieHxI3 2uCAd4vas5NWbR22k7m2P7+ontPKCXQMAHKkwBahVlu6XR56Mf9HB0SOV44Qg/dbECBRJBE2jZB/ HbhP4a6Lw5EU6SQcbJBInlMpIDR9+UhFE6/M4ZWDzuVbcYBCar69SPLRjfRBzdqHu+3lh3Df5o3y cJfvbv08hhK51wW8t4yqJxtPZDDnK3UtxSeyLymVlMxqIJkIvo3JOGq7u0JDDMzngYMmN5rT1Ovp 08VuLLPcXEmGL9D3yqoqT5HPS4VqtA259t80mIiVUJG8Ez9pVMPedjUkT5hhrwj1ooAo4JiMpRVi A8ZM4GAyAo4uREANmU3poF97f8dk/mt5TOYq09udKTiA2pvYLmu+bLPpHPCpeH5MTUZom/eCaiBj oYcE5oA0GqFw+HdMqibY83ZW2bwsxsSUVGYbKzqWB04LLRH1PvAgYNRLTx3CNhm9MUcaK1bgs1s4 6mju5xdgpoimCawqXSzUzZWrULRljLTb2CjLwiUT2no8IteRsKtq/FZNwXxHS9IplnAHwt5fp/Hi dgZMQKIlEUylxMv6Lsnyu1A5NvYCsKhiy16pWQcrs6CXZOGXitwxzwo3SntDPqqTsQhJVlQ/GKZs 5kGtpCnrWnX3uz+/YVyeSIA+bGxFDudPoa1lwyaUHEnnvhEB5a0Vvgn+wTv3Eb/JwkO2yLu2XbS+ Dl/6cGlRdLpvILTrtOkv0YxvqE4iS5jX8/Fdf6sDtOaoX8KcUdTwamC6JwcEq3DgHsXfpZwZe/Am ZNi4CtHAd5DKlureGhxLHZB6J1dhwvS+vAfex65r8tQVCzNc/nyDrONBUZMbVBmmgZhZa8ih5EIq ehedbLFXTRt5IV3to2Bcf0V/bOHVALZoRdWM37QpFicUFzvWERg5Xc4ZwQAkMY7S4uYh7uZ6FDWk R/bO0cUgnAV21o3iubTMqJkX/Js+hfX86z3zqsGjkqmv4xAW0VYZU72XQUOrO85mxAj+ZN8BmSLS aNb+ikHJpl82ft64t3RDeGCedZZQ53Zry0dPKxlMgZtL+M2Hq4ONIaPDtbZv0kVNdYtWLjV1HflL Uml3pgOBofpb4z+IqjdZIQMCgiWgpXaxuu9eQFs7UNHkyNlhTM3GnXx1p9RYY408ehdnEiAk0IU4 NeWQSmnsn4GCT850MHcmUi9GAlv2WNclbHQ3yBrZ6vBaGgzLYvF1Mw5xpcVkMh8VrcBcP+egDzPE tr5XYHravkNxDULmT5h/mxugIj5zqHZbOQlwpBISIFIMxMPGIUrcW1l0FcpKyV/vMfjfZW/oXrKx 67/EBD8UnvuohiznVLI/2DEpOqcyPSmRT7aNRhHH6D4BqECg77GK0ure48XQ+ksCXAOwyhQkxo+3 uvWYj404JbjkNYKU4ww/eoeULzQxNEcPCPzmA9HHCdKAdc9cGeI7DTouuSgEpnK/LBK+EjZiv6gO ES5z4coIwfYOe6HUYXnv7QZ1ibcsq06o8GlH+1w03SRQ8B79kzZ9H8jWiGfHDq7DxCSvMr/x0LSC RaNpUvLD/RVz6vKbX6ThgFtEpU+HjuwNjGsrds31bQkjOyfwKOBr/93d2EoNDOw4UWUJ50ba9AHf Jo+IiGMp4KgQbXJN1+GGWNnMnTUD79FnfqDmALDCnKjs53m2xhmLKwfiDEutZ7caB+6DyEo1QoIQ 7FzdcOrg2MYdoogWsh9xzZ5eJpFWaXwKmebPgxaXD6goQoYXMioAiJOIZVz3PwmuAEaZODWNjkBk iJ+fo3P2dDEOn6c1RH8TsflNrLC1GVi5qYWxoACVswGk02cbOkh5obkKjeXcjzt/4xbUMlbBxfaj YlXBurMU2PIGZCOBqTn27JZbdfybm86grVx5Jlsi0hQnGyi48RR0CySC1xBiCn2GX6EPdNrw94gE 9iLMDFVa+y5Ck6SAWsprJn96VMj6r3XF3m+wIpb34boXX5Sdr2RSToeKf6Wt+xmFi5OA9cf22xU7 AE2KNyP7UK5/eXPpyh/4ILkpDvl4HfYCpfYG1ZUvtVKgF/zm/ikLq9/3JrnX09JkBzRptr/ONVkY 6m5eEglkoQ1XlPDUVXoy/BmotMqzePkGAQiQXW8Sv7DM4Qro7HC3ouXLgxhgzShejsOXzeDSTqOQ MTvK5JtvSSpndeDfkCNTh4Q1WwRwmcQ7if4ZhhdCKk1em6HY4ci6GINmGb8GLeUlgoowy+L2zOIx ZghuFGKf2vh2DCb+Zex+e7/ZVPNU+FAtRfgM133zzqarDZzamAU+SMeAabt9V5e6tAdklZD4hJZJ OezYe3TLVZLnZ1R8zQYUhrgZR/wvdrUJyICn4rh9qrxqeVErGY6v+qMOYHp3JlArqTeaTg9Gu8c4 6i8jblhdNQdvatPwh400Bl1tNOWubQsF6YO1hIpZbN4eFnTuAPDqIZPl3kbz6NkTDZZ1JNTVXpUy hnOh4PPK1jBeqKL4UlOIWOBns7xevABEiVtr+JtpSTAx2vREq00ZwbplNi8pVjq9GeKPc5Fp6yuj CzRE6xlfZjfzfTxQ/NWOKUMbBdRnlblmxrRdFIl6wIkdeEtYAAFWP06taToYPfZwMbsynuTuYdVf 9EFSoyqFCb5y4Z752Gw7UURX2z+4Xi21h0vAiGTjilQk17ugJRfVokNGFiwwyOhy8x7FVrzSV5sh PCyvuMDij/d0ZwvPQoT1WRr6SdDzZrFvUsdV5i01LWlDKH3YGeZmzzp3JoTRhY1lyR8ZIvHeAf/S LZUTK2MQhoQcD793AGxoe6WTlpmKEH0aI+pkQ6G3aRvA5uCLbZKd8GAIchbtpzg4VRzRU5hq1zzd 92wXZMBf2Akq5EA+DG9oO/MIUFctByFFPV3FGVOkNSUNpFC0id0tqsObGWJMdDWq7SHmLx5atYTz huWVLroiRaL4YrP0KquZK3ix6ImNumeM0akAWrXdGkw9dERX0XESBOGnRV7Cb0JHe8yefyFjRkb5 9z0lSADi30zM6ZHkuxqz5RsjIsxZ5uq4Y47lamz2fRwj/Nq4tf2zpR+pY/L2YY5xRlnMK1cdbOXm Yf1ZFzPaTs4/qJJLvB+YFW6zMw3PKXEW0rM8z3oeBVw3hqWFosyZWalUgE8FVYeQpRZOMkphfMJq sNPb0ZSRTgm613d3MDuJ94FumzhZiF9p4OKdd/XovIPEzhaPfv21oXBA3ajlopfBII7I+qst3Jnb ELo7wQ/b/J1uGq2EGvQfGBvhWR1abWT0u6UxuUboh5ByVvcmpDWKKgqu6ESjsYxqgMT1F5RZo8+O gzHBAgYdSsq4ddTnTLxp+R9Zs0peeDfD5iE7kQmBtcqlq7a/5hP6WoMlhyrx0EkdPM4C4pzqkANN 9kyZ02SrJfWuAVyoh9+T8Hav7bdl6r4r1wSI+yltgjeSShznvruZ54dA+pnM5Ng2rEADmMPhSKbA 9WM3bH6HJOEWwFqOBtarODd6uVcqqZ6otVZPZwaQ2mhfYMc0IOjvj4WcRD/6YMfsn4CApRRpULx+ oFG85jJoV5DgLTcFIlOXo4Rc0ZRHAVvUZLK8pdFByNBVW/Pvnt/Np9KQxG2qe0X8jmCGbUNzjt/K Xk/KBEyIPJXL4FuIi4OhCFyQdGm46/QduB384w2mDcChYizgqkgwlLgXoxC1aGKcEbN1sXwZB+7w TaBeb2OS0IgkCtakq/4TsBU6YkIeE2BwCBtbE88qR89AoWZjWMxHlcAOkujnWbzDhPCuagAGMBuE FvYuKLZ+qaFCk8+J8+toA5SbjCNu1rx1ol1UW2wVYYDKpspinDjD3xKa+GIWuoNsbYAO9qLKA+k9 cskiHUspiWUxk5QhA3iEuLKNkCtVYZL/wV65KzMvANG8nXGyo9JlW5U2Q6yN/ufKNJjhW1Cco3Qv Qp6V1fu+A2iO6x9FT419J/bmFvk1XhL4R+8t/ifTIryfm7f1msWP3SWsH+Pi7StzwhIglvfLih26 ebz42fKdPt1on+tZsbCsGYV6VIJP73vfyoCmTgfG+UF3hBFowUu5CAUsqI2zzf2PtbsvNqrsjmoc AxXFImoEeO6XA+T0rXUjlWRaoMNjcX6d6k1U/SyffMnOsyMvQ7idBKWq45RGZQZik0UU6ExdCXyp RMuPI/7HOfxrks3JEmNWe399fokqp8yqEXmTY5Re6WGMDlun+mRsawW38Njh9N4h6roZtLQYdDAE rvBcGBEoCtstyRqX7GMOxYYNX9p6QFkAsHOrEc3yD4E7HH8ejNYz91Fp+v4fdYoJOln+fCkhC1GC VzfZQUnvCLtQhijwCqyq55aU2tdWMVaPtPWa1NCzS0cuvrfJL4Y1ZPF5koSamTTFUCodYw/WQfYH jvZpDUh5T+ga4kxVOkjAAds8idoX/+1r32y+HUv77kWLpGbapM7Sit+ZUegyOhQt/UuH9xaEcbTP oOZJWYBeheKaesjx+8XV8zgtM9T5oZhm6RBzZ+8JC8ZY98tjetFDT7LtkvkUnfzawzqlO7lLNqpE KxrAKP53XvlCxy44p+fIDXYf5AArfPIHG/E7bfp+/Wimv0Ytje/mfIGluoHkia2Y9ThcXgf1GBf4 tU5P1w+ouRa9ECQhcGUOly9IYoquy8jkfNWpLUNrGyGsqmSn0RLOeK/K8C8Lnf/6wVb3kW3ao7jN 0vBsA27zksd1cHW3vPgLN3L1ID0BLrOXMs08DOukmCEdJW0CjO/Z7H+CxLD8UPz3hMKO2ydKJM4o USqrNc6iki8fAcT7ffbviqpJBcL1undh7dPMUJ8iKL3YFEmnNvhcakdZxdGJDYDIoGGbQXDyH5uX BZCKsvOupRZOnCz5Qs4F7+SEoqYKQY106Ww0ZcUwXG1Jhys3P4kLEuqZQuO2JZpuS225JMvWMFBr uv3nCwzTJ9rxpR4MnbWJPAcU1ru/C/j86uHNclJ1aZhqmX3GNknKgCgoqmBXQOuGlv6IsRbE+nT5 NvwQJvtmRYO4U7GCEL0S0n/Y6m87KuYR25OXZNDddB5IKGr5pkMY/i/EhF88bU17BWBlJLqW/k8i 5xeM3CMScQHSlv67JRkuXWSF10wa9SzlqRUMq8rxFcefsndXngLsB8YfE4m0VegxkszJPB5NJy+f 3tbggjaWxiMuaRojIgguhG/UM8qpyzPADquFfsMfMsoTOuHlFrJVJS8JX0q5Ee0C2NgXg6K4KPL7 kE2aqqdyFJuMGH9IkZaCJQwVNibVJnQBZinh2RO0vOFEevpPgznGa/MS4vwEHRDqMEMNAECU2fIy a9JOC5I6iK3i5j3COfhTpDinEAWs+WwvqXADGuYaqX52R9twQAaCUiToe6jC4jaOZzHThp0AhvPr iqXN/o5qkOY3qIsACronlHQgoZfATRQO4XghXWBXVsPTPYomYuNo8My3UuFdxkkVmikxfGsyXB2O HTah4G0VZSVK3Lw060qOgzJX95fUQrbD8wujEyJtVQHMKbw8lPz+Aq/mr8FGLjlB6L5Yuurqv8bN TmWfYsUwaPqEUV/nwviQkGuHq80OBWQeoji4WNKJNDPbSGJRx+xxqEi3yERx9Zh2s2ic5EPVMXKV jtU/C1w90R6QKD0pi1AVi5XpCv2lnTiNghVzyG+n2xfc+7J0oidEWmAS5CU/h3wrPm2B2tv5XyYH 6i6KhpyTPbhcqLVgo2OMgrSJFQcAUeIsGqnNcZIsaaDFj4bQCMBkJ15/xn30/Nbc/SkwchctIOd0 +g6SNuKd5Vp/gRp509POz2ipzn94eUY8zZjVAi07cQKsDcuC2u9i4n7XR7scH56bwudgJO4iHcST 5/Ov4gZK1rWbMAXj1hxyMNZANeWWM3CMq/FEhctqzMQ1EgepyjG3J/hTJakwti00rJ66J1tQbQMQ 154T/gA6OxZguyYS9iJjE3Rg2ISMHv9boPjt376RUKwyQ5uoVP0MBS1sE9hvzD85BnxsNY4GL6xq a6a8sX3UcE/Dt6bAgQJNJpnp5wKPeIhS1EnI6qc2sn1BvXElIVfmr8Pb7T9fEna/rkaH0nNc1l3p J9b9fXXI6jl7KuGJe4FWliDVWcx/dUZ996xkmfHSFVfo+0s0KjjaANStyEINl2maJB1IJUFq6lVo 4rzcJPAKwd/iD1J3yG24RNIAMUzjjH7l7rA5nggBxB+3of12lzy6Ozq/gp9ByTiP5BqcVXB2U6CF YNAvbEZ/j5f/Elwoht/4eSJ+eSK/IwofN5cZhIDCzNwpS51tsC2npA5sfRBYSlKrEelTavpi/YVb nGxH+RUnmtzDx3YJKbkGGOTv+Ain55W0N27+gUO7biI8loLHIZZNxmhkcnlHooza6itIAsXcVwcz PYo3g/ym1Z3eeAzqrBvs9p2+L9+r9NtvWbZ8k0/bB2BPT7ky4bBLPKIe2TCRYOmtFkUtf3kkgdPm 6gUYKogJrJVh+b5ktV4bdrCA6kA/+U0szm8b7635ZgSgO6Rcmr2UWckmUhOx5DfFaQakQtqnVTPt KY8FMe758IoKGZbX/Wwmlq/E0ouc4ATerUNWSBSpjErLXg3+KioSwcCSjd6xCTa8MxDrxggUiBhS UGdPrNmiU2n+xUv4CGT3GX5pMG6sgXfI0kElMaAgtUFS0Uuza8zOEZwSYSkROaGNueOQxvtiuJk9 5WuJyRVrFHLgnXWySGRMKVbwo8skIaNVsXUtoBUqxqHKtfxY21OXX+ft9IDbAaPYu8H3gcPYlhWr ALGWqAbnMEzcknSwQApBXxnbkRnKjXWJqRZVGvqYsq7QD/+iyWlXfomWx7vSah7zD+GcSxyzlLDw FbopS3XkV93QUiFU+s+IVqSLWzf8y3+sIh/WZQcwcjv9gmD5/FYTzjgcarIl2rLnGUgXlLGfMcmN 2yK97D8yU7Cz1olbsgDezDz9lv5ifmJG8HtF269OS1KdKYkC0btK1e7CqWCFOYJduVjmvIQVNLXx Ri7Fv2Syhbx3P7bBlObbBzPr9IkQ9b90E0/3W64mxl6XqUOFsG/OHTX5umODG4FC1OvF3Wcv5lRR GISH7zTUr17344tWhzIEhuUiU2PV8mxfQA7fQtGybTJEcnOQP+9IXen6dPijdwqovonvlr0+XXeZ 6Z8fuJcsdI4ll84IOhRutgzhjSthwVdd9leWZRzvurLZfhC7E3Lnvs98EJNyPkQkruballtcEE4D QM+rgQRDM63L26KpRuRu2RMCUiKN4I2CEID59i9oeR7MoECnU7QkqHsqRO70+5tbSkmvT45M5bB1 YWusl873IRu1WwfsvQSXDJm8HQuhVBncGbKcrritPkHsDSVXAPHnyyxLkwVG8rM93bOG9XoxypTJ aXIabJ+lHmRygj+FA+gwrqVMPOVXh4B0P4W+svDZaabfo7kLFG/Ak6P+HqC2ObyRqTXO+4tHgySb A2f3O+YsY95MllO9e7hqLMPl3YyKpMbdD/UWDbIYmQsKdZ9EdYm3IQoK/vOK/m/KtCxRNRl4abOs xXXEZ5q59WZ256HDuKYTXKjEjc2GIqiX8JJnVHTQ4W2yGM26b4znCTsIUL8LCcHQxIp53KgOzCZg e5qOR1wvxpQwN5EJ29aiZl0RJw2j6HYYe6aSgSeYG1yE21IjwWmNYcMBeW3Jzk/IYQ+jjAZEvZoj V4prfTJxFnAO42bv3O2arM4xBHoyZW6FyKwkneMBgN6Kxsl5t+emj2td9BNoMRsOTh2bmOL6TYmT bypBrd0MKI9Goyzdas2QA38ED4MRYnWswToh28f4sD9jOJ2xHdcOkvtfNdHin5F49cIsT36Fpv9G J40fSr0zwaDX3b9DWpe1HGnfePbTbOZvfxWyaLMOLKD3JOdQczASn2HAQur16RuroNh2vUPW65nU 5/M1WDUqE+BB/7lQFgzk+HN2ZuWQVcOLqGnbYBISrTvymBOK2UDd8rdi3IvdvBCQzBk7v/egI29q mj72T+Zp+J+LCZ9SD9CZ4ojOQgmxBjTqGT67KOd+Q6EL6ab4yhVwgIpI3KGer4So9qHEFF7pIuJH 5pGlo8ihEKgaczPU+sa4AyUbZi656JDQfxsHiFP/wZOOxv3MeaoJ8EeEm6sTcmQg1bF10puSp61j +vUnSm3Er909zL0OJDbVhTNn2T2HaJp88W9K4Jrx68JdvCiOu+DhBBkUGHxvEwXpCIgROGFh1t6D W44Xfsc+2SoLrSvi7vLOjE5MruHMYdNvvovBd7yRAGojqSW82/ffYR3Ush/2+xxfHjKpgGcmg7n2 MdCavMXAV910x+5UKoxo3twF6VJRE+ebBD3zU13GTc7VgQ4Kkv5Xs0RzNqP601hy56n1h/jrqIpu ea5ww1EK0DyuafTDdc74oqx9fMeFAVy5qI+d285Hry3q4zRgp2QqRRDTUoZOgLrKb9Dhwlpw8XTd 4e6/mel2q6V9fJN4as0Pv1K57a+VW3zKKlNeuihsH2CR2XogaxfHjuBbkEWsJVFtjG0+QZmmEUmB YAE4kT+llKIbUNkcro+B1OnUJh5uB/rsv0KVrZqyP8+LzcLqiKFCMKIAtW+CRztqVPQ5eUofwOOi zRDAPjEtslFscY/C2g8TVdJHxFBTUheeGW2QKVOWr2J6TBuO0c0OCXc7okDpnt8MHhXMLbWNToj4 hF/7yYqTdeymzfupzmYv/QjVtEO6dDL+3u8BGzI9eIlkDebQiiuGwdA5iKHOF56n9opaCOymx2tM SqWrKf9TCsbhi+abKR2XpPl7UP2jyTLuWlio47EXE4o9AjXx4zIQP46m0tmtjBZmZJxIsJVEIbun RdTRSM/MrX8O2kaRPEQoU5C9usg30Rq66tgE4dcJcDZuMvQMj8AAoSeKwPUcAzwckoQn4nKrh7ii hqEdvpYiZdxzfff/KKNJD5sYBn0vCyr9ueZHENbEt2z+Z/p/qoByDvg+JR5aQ6dbRGyGRn3MKbPX Bp4CymSGk6ElgNIrNQx7HWBKEan/7eDOboQ9Uv+O2nczxFvTlJ4t8J4NSZDqSn8CgmCvx+6bdL/z fyF/7bMUXzteBt+WNL2CCostvXpXFVDBTqT0s0eDS6mX0RlUBN5JEg2reAMbyM0FyR5wYNhF6HPz dH1+gpLwdwuWgf/Ke4Mj1qo7CHMggHTrPY8/8gTcdsYSbrFFTigiiuvWdo4hOUN/ossui39ElG61 McaHbU7te4lNZvcKQGUwdmrGNnhxe5V4lz8k0CzHv8KUtT/d02tvEj/V5vMNmIXSyTnbh1G2pCKh rjvghWZQnzqtg+C+xgMLJ033CNsVGVksY00Z8QuHUYgNHY4b0O1Th3uKLKBJPvkQp8LTr/jJeRKB gFkNXEbX53JU07bPyUktyTwggYJi4fzA2/b3zvQE/7D0u89Qpkr/O2QUxKkFAM3Fq0VE1aadgqQa HNb/qetsJorQqH2MLnHubQK5FF53iLGtKf/AxGYziAOJob6Og8wW2am7xmWPN3nwHG5jastl/bau 5vP1l2CHik4qLppgqveQ6/rp3E3ot0m8vfmWCc+CsizBYx0J6thH8LCheFE1Xl2RdfiTpQxhD5w4 Xrjxsdio5WL3IFw9xDNPxSALJTnutiaxNvgLGnk7PWczvjZTj+DYG06NQysI7EOFZvtyypVnIxYS W/SNEZ8HYKAFjK9dOg77cqfX5lxGre9IK/rBorf8ghTbv8Tn+rsPXcj05J1UkoXGr+7TJi25PypO j7Sc64UavqKrP2a34OzgQ0TZuayfw7dStMlaZhR0IVOvY8ok0DUtKGfgNlIJJWTdLP0wpi0RaS9i uMaKn/jLHegjmvR9mUVSmeR0BlyQNvX+hEJz3/p7/znZGJEeU4nBgA7tUZ2PEG58gcxU1dZ2nVOd +sKEeYZldfNgRLNA7K7CcSiR4N4fh/jLodENPNsilvvRTEyXmrt+0WQxX35oKc+bGO/xjraKQ3rb uWZzdlEdMVw3IiL/5ygz/IanrLtDJBnCQhtyPQ7p1KVZG3VK9RU/kLp/hXeSknoVaKqXCamRQ7Zv xGa1dnlpvsbP6sTkJo+2nC+RP0QCZ/NZt+IAEcD6ghPdTvdoUw11eheStSCVGbTWiGRkfdT+yCSs oiqh0/pCgNkfcg71cQYZOVi9qWGjhYRZ6hXenzJYWuQUnUW9LOlZTSca2S3IEF9ApJRgxYJL8TbQ 7U6WWKWFfJzrvbqWGHa98GCuWjFawhGvUkFB5ZBpBE13arHStzEnn+H5uXeLig9rXH18Ovtw284A Zebn7c/OIwMZvmXFPf1BgHm6obdb+qaqGVqWH3YnUH8gs3bkywi9Mr0N9I5IDM3hRswLfYodEAKB te+5308YJO226qI4YFbendpt7FZpPe2E4WA2TSevKPqgqfnktNB0ax536QtDxjycecD9F+7PJNiF FlQrFp9wE0Oq2yUAvuOC0pBZgq21owM2KMWFETqriUO6SaeC3NXBUZY/E+gtPo24wOiaJDFwr5BW CYLpnH4omNie5w1Jb9A7LVdwWXpDi8DGqL6gspNlNpLWq9HDNp5yHf2/BnHWPuHnI+IJi9HD8U7K HfS3TCqsoNow62SnnMzCP7vYaVKDo3B7n1eEziKqRWwQFwsAaEN73XiY1EhJCj7q02+qOFKtYHHd Mq4CUgifwhlp2GIXTZMRqylQgeJ5WF24Evf6mbCH9/e4EC/Ahr2svQVyRPOX4Zgejjahx1C+7oJc LUJTML9AZ0puxlparHi6tbYeUQdNxMtd9zt4u6kdZ5JX8gHzGXHu5Tk2nNJMZdK9kXgdP8SLfERU /pfT4wxaykqNI1cR79E3AaC6A0kOiE/Wid3X57+wSsy7yrP38J3sa5PWqktMP63XkRBvG0eWrvG5 4Qw4YghGyURQQ/G//SB/LvBT/Qa8uguzrVCogIf5qQM8pa8I38suZwHNqWV8pWW8KAKnCZwc/Ztr 69XOBrgETDTFOpRJr7oXDsRJhfs8/txraZdUu0+1ZKnDseSD56J9WP4KhnkI+0sLDl+SH3Vo8WR9 dDwmFXizcyjuICw1F1xlsXPVd3MZ6UwXKXDjL8ppybgd0dL7P1kwORVj3ykA78czUPum4G69wGJU NF5pVr1cxNzAO/Iic4SsEFRiawm3yJCggO6hX4/hBtYPw/5PQBLDLAP/DiEm/ClSvtUZ8c8J/ZwG 0AC8QqvqizDs5NYjZs5/sCIjoNlHh/iJA67dffnB79ddlFM0wun6Er5WrzHAJOGRWjlQkoEu4UIF Oy7YqwO+xvXS5gnCQMf3z+N9Rj/mh8sR8pDbc46QAwOWGeX2yXTn1/uaULGQnqwZ6ccrxKbhfN8M WAIItSwvJqp5Ds8B5MT9U0OOvcDNCbKVAWn3Kxgs9VPB2+NB1b92UGjtM/9sGS3SD6htyWy3UIZb CGtRUPRuQyJJs9amYQ+tg7FQCyuL0wFOdWKd8wy9ogTMz1WFbnPcYh0uzA0XP1omhR4WZGrr0xeC Qn+5/6Ggf4NDgd8CtylDtOoPx1XPCllZVGMErFgRKEdfu7ZJhPHem0zCQtC4SzKnTFGtjXR5t+8H Gk8gU1aZP35/x7E9Zp5sAm/LJxaK0sU1gYE1VT7W+13K8Mj7dtQyA/brK5BcK90BhHRZEPlZqLtL J6zrLKUnpl7EljhVsf/wOhidQYWgNfXo4M4JwsVp2ciTuyjvd6sumRWLGiZbuo+BNPlbsaJzV22O CVk+Z8MfnK+gw9eE9voTjbhfAPuLwjTNe09gHF9UJd7y3/To/19GBX2qa/DXlcDF2YGG8WwcOn8S 2PKkd8Ln6nUSkrUfzwXw+lEx5PmNEWVYXgWS0IEs8QxqbPhdGnIPZU/o1WaTWR42GsQRplsv9Axo GQHu6FfZt2thWzzcyUuMwJ6FSh5nLXOcbsi7bM6ZSnUxfbIVBDRJ6Vi1toibB8DEDV5z488N597f AeE0UGhzn8drQW92N6PgTeht6DPSy8bwZRi0fs/THrh13a+pHByyI8fd/90KN6BiUsV/OF6nP6bn eLkfhMWYcAyNe+JSuAptpavKTLEkUjhP8w40S+/akDJeb8mg4bRzNrKr4UIGjQYmo1HsKJvYFJ9s 2qdhjBmCzkE6GGtxUlwHpX6KAhpILZgw1qVzs83kXksESxEhtBtBr64UDhkUlivjXB9KugTkkDrW myUgPYsNJdUK9V/jIuC7lqGWO9AOcoimm6D5kj7z9d0N3gx1PLlQkJ6vMHcimIfoNTlu7ffGW4oP huVnefhzkHhAf/imjb9VlA3f/AvwUI2gotjRvsIjmYz/msK+PDVyK2XOByAyY9Vz3ZfnMHZyW4s+ mXoqYb1SA0D/qv0CmuvgOwRK9C62YEJGPCLLV4roZCxqpoQeoqaZnqlScbMKE/wXj66Wx+gXkXej xqJOkd9HM3qKGS45pmf3AWs3hgQAq3PJCJg5dMi8IaUsQrNxsKILZyQD1J7/S4+qxUXC5sKxDbZc xUeumym/daMFyeOerO0NgMAvwmhbRkcf5khOY9/Kd8gLxF8M8lc1chSg9zEYKKhWoBwyMEceKi/K ldD7nF5zSVY8vZJEA7l3DSY6wSnwOoWNUc8UiPKmjl3Xt5/AKIc6b0/d0WOMy9wHqoNZG6wz0YTr oXzvVuO8H1m78nJ+V4E4bTsPdvrse1Wi2aMAHjUjHb2qCnN8t/2huP1jb1dtTxuBZRRHBOFRsxPI B+5h6z/b1T+xDxv8ES/Hx3hPJqxYwrbH59zJwb+f1YG7JoLhwaR5JkMaqxOOCbRK7JAEGR1T5NEZ RXOJkind9iiHGrJsJCeXClQovd5WImfBbmx4Bzg7qw8o/1xBaHVd+TPWloz4teIooXYzG0NJdC88 73con44o3VWrHhZxPrbpfGfYCyY1EYBSJVHyZQoq563Ml66/GaXRKrWVBMUxkJwSyC6GEJTRKOO9 2eFS0Fw6/NCzDM0CsSmTzMKFgZpju3WnyUM9fMqhSicQL8JY85zcOCgNuG4bivdkuMzIcnZZ7J7v CLkLAQ/FAJi1F8vVSQA5PG4ZTwW2UogVwJodM0ZesOWK/hFBZerr4yAIelv6C8BgfUXM3Rr9z3Nc 7GdMABLrgVhjtkNjzC7u/2HPo+qz5p2OIpjU9k48qmoip7s6hQrEkNgJw3S9cD/teJzF+9pxYof0 3rjFOfbcAyXjcuKkbmh+3xEgg5e+8pijoeOQnNgTct6S2m2mBq3u/oqPvBeIIJJQVXjEXQgN/lrV M6NjlDWGGYbOLoCggFofqj6ewju6rfyyAVq/CMpnAqfcrwCc7PcIBuNTrpEij+u0627OvJNR2hVR 7nEFoDSoYCSw5PsGJFLU41CXPCEWDLmCt5EXhIi4Qkax74Hawdgiy3VB5aQiF/19H3qzNlh1fV26 +RVZfJU3aHXc5hUTyustyER8AzvVErx0l9lp6+X5gWToLwS8b8i/cEUdnEJSCtUTwlJ43JV8MVRI Akk9t7CQg6YYaSYq6i616Yd39pryrfqs4eMcZUZVIIF0hdMhEVvJMIwx05fkvpCg91biiqjQx3Fe KJubE6KMqIQy57o/84Dmft4PZ3iYmtWiwA7AegtS9k0mAMdrm5K+2ICHSpF12uJ3XRDn2AInHjDf Lw3avsCk4jHky24i4jYie28gJnpcYxGMpxPXk0CDcHd4R+++WEY9Bos+Tr9hHPgz/AwaP19j94rB Cj3orRhO+WTc9Ed0zNpdIEbVLp/sUzMAsTc7i6WyCLvE3DgmyrcvnlNgy7zrUU4NcbAxHQz9iECo ZLqOdC5eiHM902WsoriHwtsLZUiSQvGfguSlo9CKK3X+Dx/88/kS0DYsgsEhAVw/WAOmL6zDWmOS QM6uNDAFSx/EOBs9wcewpNQqEcGTrF8ONn19GLC+BZCs2T0m3dh36wLjxlhLZ9yhwuj2xnkYSsui I5FbdjvFm4qJdAB4OBJ+GQ/R3y73TZc3SRaKY8WpCJNl/2K08mgsYqP58qNPnYFm8wJX36kTOVjE RA5rNYFcjLyvh1pldNl+p7EyhC8VvrF2yYuucwLkc429I4CVauhAI10KcZcsTyU1J7dwE3VY0Z86 nPmV8UuTK3WfsDluuvXGZsBtMYOO9HjwIWYo64iI2pnEvX2qtPCD/ZgKts7VmFE0jGuelnyotfr+ jJ9/mxSTct5gjqf16bkep5jHdxRLVlaNjsrk8VoYhDyCD0krj5uWunXAD/9P9eINdE1nNOEKbjvW BnfRgRrdX5SIQzWpgP9ZvWhu7zaVt7YO+FkpAlL9gP1trEAv3B4LAnNQqH5cEgh95wzPCs7EBr5R CTrk6JuQU3XvlW4zSPIXVJtY2bvM18dvJNfY8W+8jMQiG2MH2/NMPsDj/1zMYVcnpr51MPSi6wIB 6Q7BBnZyVw2fqwTlfZ8kTloErdzt2yHzGGeeaErOzXL0RK0EdBWiTfuzHySeefiHw3j2giu7HSXu Fks7VsC6BryLu64GULtsCYqpAXw9tWwQB3pVKlzVxPIAQJ/Wr4TZtCGZWn37apIK1KFz/7Q7wveT b1BH2xjIBmphTc1vbNyNUTp06IpavKAkOOyvFianvsEzR31qHTk9USiHCRPbDLCSHJexkvoXWRua yU3chS+hcDW2z4Uv4JKSSGaRP80P+qbRHGxqnGTsp8vMBySPBEfBY5E2e2FJvO3BcYLQ/ll5R9DP cZQnS0uZCvK+Y+pa9ITFo2cPXchk9K5b4f09aCkCedZtetbM3UVQ0XkjWXuGgfKI0UcWM8XBDtN5 03BryveRO0BZeKhhdH/mFYKMYXnGALR7/+LMXLdA0G0bcACIYGwSqKv0bIr4mJ9q68gfYDgX+l2k uOwpJToVHbssTPJ5J1iIqTPZAnxSD8jKo/bRQUcxhi3ZxPnpU04u6CjIJdH62wyhVLwrvRJR1O5+ eOPzLluRj4/1uH9GZzE1IeTVtuBSzBlEuhJPht7jrxtBWtPueXA166MmA/FjFOGr2QYY43R8qwE0 Ykgk3pC0n5659Fe3vjnYj6QPHkGJRF8cOUjUwHenw1evUk6PcKBgvA/EALfazbHAg3U5BWMPwJ2b SqSRcwtNzlFmejqBy1BsvlnWy0hMNRsha7At3OFqsGAVUQzTBhm4JdwtW0nW1opJ1PaCu2T09L56 hot8ln/eCteUfGUL1hM6ncdS2sexncYq05ohCGm7o5eEdK+yASb/w8eghlG0qYKuQrQeosrZWmGA uB12V247G26Q7cmKOJiTV0JzhuOM16KYe6wYbBaBOCi6AIgpEWx2T+ix6UqpDLDIzV5wgMurMTYb HWAQRfFBzXEeIHZPDBVbgO+bnNBNWXplu2xBi68+E9WQ2jV9/zFz3XuDVxf7gWCQS98PYXShOFvB WEiqd+vub3yh/c8nlf5TJBYKlOtv4aOfCzhsfcNmbfFJB6/maAWYC57ijxenciYaCqCQR2aEXuFe L9c9hR8RmGCvLK0Fi+eIfAJKl47Ly4NDV75yscDONiDid7fhyDqDgbD9UhZk4OT2CvLotpufAG5U p6dabz+T8bA0XVKcVNELp3Ks8iD12QZfOFjbvaENt6qrhqBBNiILmOcGeckbdgDqG2eqhuFmMj69 RzbCsp5NC9xmfARXmoepEtv0wx6OD9BaF8hgu/7lsv460CRHOAQaCwznPPljRYqMO2zvSAkjkBir 5MmQ2Zb1fVOfxWVAZDKmn2lRcId8GPi4TYHRoOtugbiCyY9GwPNyROuhKzys5BUi/IOUXKBCIJ4+ gaJF+oAK4tOYKPYrztGkKMmFk1AdiOXIv3Q5xSvB6i2FG2GaAdUkn+RlYoNizoi+JH/AvKNUz12E JJovgHbgG8R9sOuFyDVSNvx1N+sQz7OHS80ETBuhaoHBLBTqDCIjw39HYufy3r+8NPmCzKB4NcSm Ld75jP/wq7B93e8xk7JBFNbY7kIhp0e7ESN2vFIzNkQ8VQCNRICyrz/lVT9unaRm8RBnWnVknOiR XDrLCzqoS2vCZUReo1RDvCo6ZYFfLfB6B2yGIQbVXx8Gz+5+rZ0Kjysxkyms1HQXTekflJDXWZHv FMrw4ojH7YmIigl51z156IXIAh0JTD1ukPJ8+sk3zDp6MPqzAdK4NLSidTjnz9bpwk+U2yukLCR0 xM84HYuOC4SNRi7V7b75jPNnzbLSSZ23nedtQSckD7rx+aDx8pMP8o3Jl4ibTK1QMmQQPfr6wXT5 1fMvebwsh3FCdSV/25TdwzcGs25gdjtnXBHo7a3sFEzMFKltsJJYb9GruFPkjEovCX/Owimzfd/V UUeXXszr2PqSiRCdPA+xjHShszkWOMbjwcELXsAgHhoLog89R5WZb144VL2jZqCUWKFUtQHYw32x pH5r6anfFcpqNR3rDYuVNL2hRcWoTozMHMpHCScBrZjkD5IiKtMbp30JpFPiUE7d++Xu94hPZ37s 3P6OGRfoq7Waw7lhjNCAVlikq4fxMfpw7Xy3CK084hgigi1ZlzeCGrEtc8hXrp8GK7ENei5Pnhn2 9fh6/DiXxAZWrLc/DK7CEeFH2Rc/dKIZj2n3teS/wR/rJ2n1zlEn7uYA0kk/+r4NKhYQGzbKU1la 7u94Hzns1lvIeaXncePSVTQ1I2kEFUD8Zf7p/NSuzelW7VQjanb1fKB1qLMOZPOObM2zXxgCWlcD NJ7P1aTGamipTLVNNeHjad6FX83bwzZ+11wXBy1gzI3Kjey1A2bd5oF0E17rO2GPyTWBvi4IMHf/ CfPIfX46AW57kpZVdrK7EknOBOWqUICHuATnsHTUjXbCA6TcTtYA/oqdaMICB/IOp+iNVLr0KPpv hayVrf7CbpYU3FiZULyd3mhVcW5KGyLQJS1s/b7QHA7WLqH6xyqldGsntWKXkbed9r+DCauue+Qa AKoxNa9ppsqUrEVU88WspTm3VdO1FZWXl3PED+vzKpFHisV0gjpPFJeNy5GFX5VGX+ZMhr0Gi1sT npe6cKayjOPA1aXPJaeXN6TgAxzeplx/sElcrx2Hv2tl9IZcvtDu0XvfhzzixZh0bkJcb0SFLoBz vP9NbeX+aezzUzPe5NPociR+KMS5y5vxDKqZL0/IklzrwZkCHIt+7fizL28TIEG2lQpcaj4aPGkv mp7q4SFzi8DQKxt1eCG2nrU+26yFGZIPcV9rxUKMgrfyVdy+vFrQbQrZ5KekZ5xE5rz/beq0XL2d yGm0tPBsO2Een+QW2mM77QskzvBQRDLGmtPgoUbCA1T0UWRtoz2hDvG1mid889I7UrTQLtNuvgHT y4aPC/lw7joRlZWkT7HWm43nLMYZsZqORIBkIqsB1uzozrdOgzXtmQByT+MO2N4M+rjzQetQQlmO VIlvdCutvdNBZzJ6oOl8Q/64eqbsMc0OlOodjEH5qnvzGPUn20Yk+I1BYZ1DlBSuMTO05yOMJamZ uCQ9Zd/6ROe37DoHEitttsMJ/sDxX9tKrSXNvfMfLUKLtHoYVsIkgsARhPu5yRgynwpNDWZsyely vRwNm3d2QbQi0gaYDhQEyMN6ZStwVN/QUA/jLL33lyeivNpZaunVl1zGJVReAUS4Xo2yye53WOAy sbI7poEWzJNsvTecWlaeAWdHkmegJsFkb40SmGv0LRN/871tMyxXaevTvUpiB4F/zTcPNToS9t7+ 3Auj1RYWN9nqLQbT8GtEtgKcyrEjs8gsPOJ0Vo73L6O2NbshpNasrK5HgiNIESUAdxHu/cLBLhLV qITlFCLFb+SnJFre25AxuBmbA2fAqHzYRkI8ZOui55C4WCiKjowigyONwfuUWzHWo0XFJfvjPz8z 3sJXDJUOYkjp2TxQxSGJLy7kcr453aEJKjp/W4TLTtxiX+cK/Z46cWm5LK0s43jKDbaYqS0K0dMv DxpgZdBwbE+ZYBE6RfCMWHPm7OMOIKt8RQMR3BlpXQ0mqcu5wuPCYGTDqF/K5uQUDLqs1LDUPVzQ XEnKEm8x9yvzFjioD5xM8Cqx+FuHvKPwynNx4R8JS7Mzb8PnDUcpY9lkZLhH8UnlzHJfM82Qyi39 CuNtG2z216oY+0McTHlvTlB1CqwnRAR905B0KdFSBmBDuXKO3b5+JaFolQYctDwVaNIEHbjltgoq FRhRK1ynLk+hVRRcQRZQ09+hRDQ6Pv2qbemqBEVxU1faOFiJkho3muXxCr14r6D3bYKLyfzIqw3d NDAldA/Yf6bjfmwIueT6BNRK3VW/vUC6phMV2kyBGMEi9molzgPFcoSAyyr4QwjmL1mlwSA2RK34 3kCJmC2FUW3riyUBY9xK+jfq2qplPnt6PKpOHrEX17UvnfYvwPBlVbkHVBgxUwVKL+xanjJR5BgO k7NPrQYH3ooxlWddLA3F3dTGM9esvp8NT35rvXteRuwnXpVwUFr5Sac6dZlUO+HJ417EnxCySRZb mAhZvbLhhVaroGbm5pSChxXUHh2QD4sFkY9JFC72AVpbDQP+QrkTWUKak/M6dYzSoJGv2nnc+CuF C3BFQVVXnN566NLAKu0b7ArjfRp/vG7cCVPFVKIvjx+dentuiAVdVkPJnfT/i7XHjZfoGO8iy3as 48n3ugFu0ygPBEic6jvTzHVQ7Cz1byiGKE3YHKvUtKp2v43F3eAwi17TQzyI5h8A3/wexv1cRRwP KG2jt6alMm7dLM3iXonBhZcEiV17J1+8mWH2XXkuUmolna1uQboJU5TZ/dZUNLlv/cnYj0ybTdug kqGpV/qe6Ctho43nNDstRS7N18dhJWrw7+PrjyOq8fbj23TTOZMRvNvc7lE9BUn03qKuw7Fh4iyf gEE54WzeRxRiU0caLUjxDFAE62DAg3ICaRnrTEgGKA8YVCGznFp/2LI+we6cNk5X5cSIykE4k9Fr xC+fmBuABjkf4MORV32jlWIBuMc6QHMSoBta3TDZyvqTBYTIKR1BtSFAsrGbvI/rz3rg/Aux+0DJ F1W1vu7Vv2yfOCAfTdqzS5t2lP8td6a4cuH1x4Abi7I0eNK51pxsvyEJQERyavc8kHXl6mp7xSxD U9bcmik7xDvMj2cS0D4AK4+ji5IV93hvmf/wLGMPPSTapxoSlAejWBTNPh5AIxb0p/QwxHtbWU9u Ga95IFWfD8uQNz6W4yPOcPG7gjLSklM4Rs7IQAsNEPCzSTKYGsUGMJTRnBWihA6MNfR6L/ZBOHMf frTiEpzGkAqnpvMXccb855Eho2ofE2sG1lGSGiPaKBiS0FuY65BeSoov2M7Cl9yJGv67bbbnN/Ly tir16GeXXA2SFDf4QvnnGX0EBNIASR1O4bztpe5KHmRPfbV5PiqXgiU5fJ86yTw7qTygB8ZkX4Pw I3ANgVHVMR1XLDywF8YRwIpa82tl9rtUSOFyS4h/hnUBUpFpcmVWqE9GXVOP16G49VlhG493kTGK GiE8uXx/r0f8Lk2VFnb9LEYB0kgwXq7YX+7yTLK8JDUyqd7oN0D0Y+T6HJgg88rN5c3PnQLhDp+Y A8Selppnh4UXPo4//QXcL3HUgxlSvoUC8V7sBJlaaTU1zzKY1Rzn5rRhdFWVP460mdZrPnYxSulr rwWP6C0org0q6dDu+Cv1EirF3BnHO1JzjrnvPPvKRTvDzm/yPZmLu/+Gxz3D++AcbSY8qVTBzbOs SKBsRSw6b3hpKjgsth/hfLhsscFwksJa8ra/iAnwwnsuk6VdnQjLKioVhNys4IsI96/VfDo6lTxl LKhNH06mgGBOLOoC3trDbjaz563FTiHqrh9emGYVh7Bq33ygATKro4Jxa5cmzezJXl8W2i5qIGn3 ZZb1r2ltX4S3KDp5XBorEurSjdLjMgIs7JGXeh6UHCyjQdRMB3eVAw7UGanJY6QNf7k9uBFXIJyn r4RIB4jXXAK3XctKyqI4sfYKAWExvwvkkztdM1PcLyOBx8Po5+Kzc2P2V6L4DcKZ8p5Zf7dSHmiW 7SH39mW9OP828noNhUmShgInORzzDBKUWMdqAiplfP/0iQHO+eoK1xrjQBCFuExW9PQh4jiIM1Fy 1etLHtqxgLKJ/r+3YOeovctuHmji9xt9VhECrq2s6dn34e+ZYgiJ+93d6gHcAwvFrMpuktfrtC4/ u4zdmlyPKyS6h9zpuky6iLoE9E64LOf0sAMMKEAJq4VohjqElXcMt0tgY6HhHCw27gC+vDmhHZ1K JQQZ+TfFyhtoMk1U6biuZXMDekbXZGb7FLpTow3pXSTmWr8Y2BtrmO2n4bMkEESQRNDplV6KUfKf ruA3D2S4QZQaV7s1QXskMrdVqI9eOLgYLJmwvu0E6NUfa3pts8yPOl/ofaOa/1HqK4TTbtZg0lPU fn/JI/1balxgbGeIR1XHwG1tM9YLLD9/66PnXTbRsItYE4+YCY5AYzWrWR22I2r8eIL90LqpXziS hQXZpqQmUvrSIdmU6k63apApSqZjGcDjzGXaWc1W7lwl0lo7IOdgU7Gj0L3amNbBTQJZZa8vag/C s2U5TtZnuJ/DEBZEyOsdmpHiHtVDpPcf8MWbGbkC1j9F59TNxjMIPtMs8FBS/HSO8RMtjR3LmVhr XGAQPkdW2uS4llElUvTNzFABRc98K/v+0CX81xCJWnurVK5sSsjXjD7HWPZ5EMOvXZ2cxFuIpHQY w9fEIiLaJEkFoRTP1K3zaDi2bn2gfpLQHas0F8bfS9t7urBVch2kkletghMb0RZYIwlSrPr2es8N zJwiHPhy4wOPlqbun1/3uo1M6+VZPbU+u7T8vJYnGvCTYlDj0kbZSxuv3LXGXxgRErloM8JBiYSo PL8xeqbsKD46po/ndOES6JIrmb639IbYey9j73IkL9/GrFBex44qcr+b4I3ay5QB4957ST6wYSC8 X/RXDpJF9bJnTzU3ExNUK2emoMxQDpIwngEr1kFQ6c+b7jNo07D0vRE1S6t5/gz8OZOVOYW2jsyB xzwR1ixgIEa8SC4Fk5jHLjVtDDQ41oGIdjQFibRY9nYdmw8ecocKhXf4oy+dLqW7xI+9XIOK6/RN zmtH+LD4LleXeWTeeDx6Xy+yKoMmiTYhEDqHwlbYTSeOGwo2TYrgwP6uIzJ9uU4wUMYH1g+9a3kU HL57PglAmnMuxaEzDGwe6bBDSyjvpA6nCDs0/0Grhwnf3gKY97fnC1HDTe/Xpo/imjkcLmUFS7xk dfZFairHzdjuwQ56ZYMjSkaw/PfREudor9fYX1KBIORv7ul7q7XmAmThAN6NUJfFY5g+CrGYVz7l N1vbz6RQKdZ90uaCYPHmNfUxxuwnRs4ze11CbkyGCtnudgpVJrvCBu1JzqDU0TYxyuMvEVtxoYIx 9VnjwpEz472xqJAHM/I6v3yAEt6BVKwqREpNn6+z6+hNhNFsS522PWCH0kQ6zahxVeSXOk7yC3E0 HuXQ51ONOOBs1VY8cAJ8hKW+2D79+KOwy9vTY5EWQRqzBB7QOqYBLLGpQlEDY0bJ6lXxqoSeay9l J/BxlFlGTdzIz4QSBDZRyI1KnIT1g9SBfPgZ7iXAhwx2/f4tvZWUnwpHsyfmyBE6Ew+RdJed6D2n fSTVEAUzNc/BTCRXc6XCmhbGiLedzZwmv34DkDHVAVfx///5xbsq4Qgv0+FjAVt+tjdu0Q2DsdZv K/o/8Xr2LdldqAGmblpbS4v8rwywoXbBv8HNVq1HCF+ANBRY1NDuMWIP35jYncL/ZN1aWFZXuC6C PeyM4KYUwhBT+csPixVCbpS2ERVzVPzMbCGQhSY7Ho7nLwpkd5vL4EnI5YNjxd/5jQOYaH97rVpq gJoeLYAdqh0pUzO34PPTi8OXWzsHjhTqcT5F3ADk99BjR9JZrlAAeJng55Ys5zB4qC4p241bdVlc 4yFEG3ONXvBOirwvnJaHQGfW8dZc/J4PhYv2DVKL2pyDIjQx1cEzoPlYLdSxRMcAmSIWWHdWPBEb pUYCqKf/XEXthPq5N5qpAB8SDBRSERBTMHXYKcuGFvYNa3RmqAkJfZOPsHpTYfD56jUqzRCXFDNl /abEt5rtcCUiwxI4ylJyk5Z9rJ/k9i5aG2gEGFW/qNUcOQqxVwndQM/cNBVNRDha2rhB1YPXiYFg s7ZDAc4kG2bwBrppljE1xyN9/y1DkKO73nWXlZiD3CDW4F5CLDWeKi8HIZNjEiW+Cmy3jQZuQarr ekts9g5V2Z8PoGunvKMsX1+uPM76y3ggzXekmQY0uO5DwViK/RGYEtF1YuCltGm1CVWizomNAbpH 80mlOPxzXI6muM46nx5fNIr532BjNWWxzSGEjhvV5QRPygWXhRFFZr+tvrJ3tFMkniLafTPVe1+1 W9k/JfdaqAj2+3GRz8x8aJk/fPsV/x8fGTenMqACW2NepE5B4BoDdcHyejbQx6RFL4yd9ugzVhAq XTQxinliSj/SYwaYRavWLu00lz6zojvdQvGRLor6+DEU7GQPO/2QPeuJ4YGONvmvPQ1LHauwWnCL oH+FL6HyKnstRtJ/jUGbbD9ypBB2Ft5pEGMC46gH4T4tmJdIcV9rTdm8hGSXZBkLKlkzXbpv9Wmz MuzbTUNjS5PmeGyE2E/1bMjQzzXcydS56F9zErbCan4UykyKxzSoKN0lIcA5E7ezawSVRVjvyBIt 6HJYL3OcQ25qIr4TQ77i3x0jqh5iA3kQWkTt2+fz6T+nnZQSe3nYqOJGUtbyLx38YrGOYLgqg/2W bo20Aia8Lb/dAKN1Dg4jlFWhbYvfGCie4Pa7/PQ4rtibLw+SUsPFF9VAJR48/+Q2cloCG1pVDqAw Q8b4LixicATdIiJdxdvtG1RTovSUjZ8afDrZf63d69/wpLW0PuQ5phq5yhKfH0Pe7euTrj9LkFBo J8oRnAO0ogJteg3EuAlolbJ1SdRsjQSejl9K1C1XE75vVgAwwZepaTsBJjrQ7SAmGJTtwgonm/1X /dY4aEe8ohqNfSwhQNLcPkyE0tWLmtXaADdrCWHC57D/8+42eKOcdRec9HP0dS2TzzwPxi5O5iTI aa1Pz7U8OSnhkAlIdhTqCFoXmyRjn/6yzLJo3vjuzaZoFI2tmNFZ7s9nhshQNcXISHUAZu+7rHNe xOGf/rpcmGPnQhPxu0yG/cOia4yM/IMuh2ewtriZxt32y+Srn10C9MlxSWekjO85FruGG2sRcqBI HgMNeqi2Qq0GIyB3VZouSag0/wqQNY1ka5OVklA5Tv/x2ZnJjiV3VSpx/o+jHZ/QGDXniXNCKAAV Awt6UwLaFckZ/U6o7o6JuLsZKrI9DCs1wnXfn2CmdLYW1K+vzN+5ONs0Oe0hEZARwMLasfHJFlhl SldJ3g9CFSLX5qyrAS7U3mw2PkQCSjcwr0LUFTV0RXD0YiQOoctFLpVmCRk+jH0VBQ4FzYeSIsKq lXM2wn3H24OekTus91vq6RQv28AFNVSb97hLj7RjNrUVYAMpyb1Y88kd4fCqhHZ5aaFqtYaPFwl6 B3QehVjaiDhBh22+/xz/B4gCkPqjk8DHccFf1HCtEygL8Ep/fAmbzBvHyVnGjnw7pn+gNogO8FgY O047hC5d8pi0lP9qgZrLuEKq5/swPW4F/Mzsi4e0j0TO5Et6T8VbIFvE/M+x1SUVu0rKDYtXa5PV cXAEd0rEQ3izLcLNOj4aKFJiB4ySW6RpPaL0d+A0+hLkMv+WcjsuZk6hTPIMnPyOsZFU3OeSZnxW +YxVrgpce6yf9bAHioZ7U5fdr3BIEKpz5i5+Co57yJOxgBqmZ/EdUXCU4kq+zZaH7BqFrmOgaNTF 638n7fFQUbelt4LUYeXJtWQ7I+7Ryw0/NPfcVh9oKNLVoK0dLhXG2+MfqbGdd9WYjiLnkfQBX/No BkN1+1lbPIqZtCFQ/3WSGRbUFxXSQok45dAyZ2nvG9JAd8ARigdYaVucGyT4E9ZaVTd3VmsAm48N WYMMeiC14m1RWbe080ehapQ2FH/3XC36HYUH1tpY8eIpp0cHmcnag/YpaHz8meFCkfKIihTIO90R L/M1ssZJlDbmcGZ9JYBYB24AnNS13Jox6kldTCab4GDrWCwxqsMwv1AzYS4hyMtyvlUH671jtOrF y2KqcKm3/hFgCyf/6dUniejqVv5+4EZIXZoPttvqo9bkHfl85YteQNWGypYU3VuFLXFm92iWq+4+ TZk7Qzihhvo5GFDQAyyhAr6ksZX2l15U7ZpdxblZdJRnt8Eso2U0SzpEiR1jFbmccQGKse8Epi6t 8v6cNRjSe531dNsIVkKhDX19Y0k+dqojRP+XBEk4wGxoiWDnsYhRVI8l6oRPahToESvPCuVcwhBP 84mNhh7NjEVj4w5b5MwsCAL+8a8aPlLyGMQmz3Vfki8HjgXOR1Oq5TtgFiUBpXT+6/Tr8h67eSIe ur43IZCx803UeIfHl4kLz6Bep1LarZdCfiesr5kN+K3BjsIe07XrXgEf0++SoeSCFWBSSDwO1cXb DnmjqSOYLu0Tv659hMZAm43TNpTlJE37tykjxfAkYs/KxVWtJV7B33G6kyIiu8XnKmHOZNUVDX/Y fZYWAp3hoXAdlsI347mJQcafSlu0b+Ob0XPB/cGtYHS5BYQJpRJxckqiZ+dgEWYUh3jfxmowk+10 OtkVTwaP5/+1XwPVfKmzAZz4tVM4Ou4V/E4KppvBkJanTE51ynLCtxsLEzDLnblwVwLFkUK5hUsD Rw365YatLqU/3hBDvYgekkD3IARPTQktuRbWfS7RBbkz5uxuT6Or3mcde55iIslQTGLy+k0d091k QeyOArcp10gCG9PMMucjmOBndIwv9t6vqmIiYnobR869wGKoBmiOejIlQ+fHeU/qppS4EB9UdbkC bDTSPOBiR2sE1E6wTzwhGcLf5pDgExPJIS+5XuTfkS09pokzs7WBvaBxgx0421BYT0wVXf1N66mb 53aj3ghIvEe6tWWd0pf/fb83afpbsed0Vlms1xtQ1W8D6UTzpbipsB9dNQIr8gr9iUu/lTE1SObk c4aC0zCLMQa9HbYA/FwfHXL+plQ4lHsC9IcK+1/Td/t+skWcnAJ0gIRMeeU3+ipGpSTXviwspDzg P1bTDY1N0fP8W7Xpfv4cFlp/5gv95IeEwlRht74Jsmuwp1HQkS027lawFfT5LAcktI4k/J/s/efT dWSJvTkMGNlyWX9rXjLCWOL//k+r1tNpcyJtrIoVUckSQQKcV1QBLYcV1DD0sjgeGrxyWaHI4vLV TLG2hWcvL0OggMu6TIy2doPdprxPX1PBY9tzzHtFh18KSsXOzVnIFg7UlG4m+9FhpzU0R7hGfImj cYFN1a1NBquUoa9zw3tYhvIrfy6ZglbxSNzeittmkX/QlcJEJigv7PKNAIpb1O33GwuAdsn37cDd BJxhrlPZVdH4qMhVHZCF6JOd1wOef9txYlW6p2AH5NWAzLrFno7PqbfEU73FZyoRdrUi/da/M1+s fp5SJMu/fh2h/lAeniREqm9ZerH/ACO346wU5u6OMI4HAKvbkoy3p51+6ZTQyB6PXvsRCPkkdYLz urBRRnY5RlJ9SIDp3B1SLcDKyj2ImRYh6a/7KwSb62A2Mn7IWTnzBmRDaS6iODFSn8qa7LthiKol eq/0VcqyPcc2arC+Kn3Re010cyeaaTnpilBtYHQbIphu3gity6J9REWEhhAoIKilG4js5a2fHf/w VEdHxDXyFlFXS2q1lKKPEPOpJ7e6SEHJA79tA3i/IJjamJpORpkxFEasIfJoqk2y1H1vavM7SZf9 knbaPRoqs7L4TjVxqW6Jo04a+R5RYhdoa3M/aAGo2R7GhedRZa7fEoRTjdQXxJTgZfb3Y1i9DQEZ gZrO0lb3+S3nDWNnV7xCStIZVeEuHIbLrTALgwwXPBiH9FTmOKu8SN35/aUSwhLvlfQCFYTi1AqA jHt6Mi4GHtPa13cEWASB02wx9ztk5Kd+esj+OQNaVIJ0w5u9Vj3JRpQn1RCx+ra/ocS5a4MLaEqI FkDLB6J018ngyHpQneqSnPBQmsm+qp7+xiifHQi0J8tsSaejkoJZ8h6IR2zTBqq/1gGYrdvdZs+8 5YhpY11BKTAiK+ftIZxtsgcy85+jPbb0us0BzW8nFDosP3hi1Xagrx9KSQD8jIXtZfDRrVz6qKqb Jynub7XeM9Op1fuTZnTR1PQ35/ZPQUEmjiWgWtrkIOUdIsNDBg0Q5SpKVES0fnG6vaPF/ZqxbG8/ zDt+MkSff00fv9HgUGS7jTnXAxr4b7H3Tl8Vq2igbiFKBWsNxM8ZTxboikWnSeza9Ki+kpBSmEW4 GMYHBvE1fkOW7vdMDFWe/Cvi5eK/p4Xq0JkWAmFHr6yAC29zo0AIEkURzZZ8n3GjisHFDXNODvD+ sj39els9//9FmiiYxZY/xyFbCATqbeuiXe2MSHSqbqbm5jeR3tO90zFM0+eFoKPrdm3T0VGqJFut 6HdlGX+JaiDrXNE4/MukuOqZo8YeDjodmL0d/kl1nGq4fYDCSH5hgfRqBavSGwH5lAN7TgX32wfi PQ27EJ6PzcZwQnwsWce5Tt9MfZED29y/0mGbLTO/LOfWMuauDDZNqjRuGhH84izwfF4kBHS2NX+l dmegbiOn2QqFHwVg+/ziDy+LcnJvWnLIbgdrJ+UGh8S+gkm4xCa7d80Bj9GsuQfXBy34gARTkkqz odja7A8Ag/F3/TcKvpwKcqDzvIwSzJTVQl53Qk1Ftcb8TAqqDkYdv8+o1KEI1JW77cC0C9AkHKIF p5bKeWlPYGG2xXVifOBrdqgHq8WZDd+bf683HvKMqlq+tHs76G4BA2kOny9O2FvsUl0m8Xg/HadD J2slIDDKkUWG70cL1Ih8Di5jFcZV6n84M9GJxzMMqU22CQBIFXeW/YpTjfscTZh0H/J6troTMVuh LMgcNAsm+ZCt5tPtIFhgMoVMGoiy674c40Nm1ni+DSknndIX8uReCuxd32waBrGa/x/fTmME7S9V DzculWKtJSqWqImH4/SSQ6A2caB+ETP/yjeH/AOXhrZZ2P63ao/xfTZojTJBU0sajcbUBVU8T+6M LqGUkBDM4BTPIP3XzDYpJt18/xuBUKnV2bQHzbNplZsPmGZhdvr0RwvRA4RP5TUNWfHkLynzFX/b Zky6pFpP/GOZFrY76H9zaJT72T/OqAjXtwPoCwjdTBXB2YyDobB7Op2kSKu/7LJyDIT5sa/s9JOI oiT3JjgHO9/4NmTxtPch5D32J+eI2pzSQJVzP+9z1kNoWdMmHSd0DhOlKe46DbHVa7bLD7f6dKZJ M6ooLJ7LWqP2VGjkrL9RybiufwOXbZkxuQ5sW2IGobyjdNqrtF3YjkDuMt37SSOgAo4wsF71H75p veQX20YsGFBikcV+3xLvKIHbK5J94P8EZ+34Z2n6dtDOPBaTmmMXhGxdO/90NJ5cnzyw26qPQdOH Dumt6euiWoPgdZcqYkuKR6sSu1bRa4Z2qAOIlr4/KsvaUbbxZTBle787nGLS401lpgDY54hn2jrj 7DkzyjFLzUeJ8/okZ+XdJeMkKLAV0VTUKbN3OhGbh+i6fEgmKzW4GTLWlyQgb23zZQHi4MFctP79 +9HNMS/roEVX+My9Go8Hbtu5P9OjHX7rcUS/OTzVL/DkdiySLoDpTR6k3Zv1rZO7lylW1bJp4OYs 2sGuIkd2epe9KpL0LgltwZaUSsdUYO7ryu+sCSL4J/hk0GKsk5XJ0TQ+BHjUv/umbCwANzjW4zf0 pRV35w7TWKnfquEelYqcSEjwErLWKa39Y1gJmesHNJ65wHSpbNI1/A/P8xo9LrK382KOGRPc6rCk 0n8aZmyRbVKyP1DOzjemVhzo0HQ4cBZxny3Rk1VR9rmawk/iZ408mRcHwXehn67GFRKq1iXCwisM lBCfEqnKly6SH663kwWX077NsMRnUN32psL7YeB1az1baanR9NGJzFXDVY669ZJBU4f8C2Bz7qEy EozvW1MqUyNkXA3rCqa/Fp8zs2MT0RWiUvvefxi8/SR8uOUFBaZQZ41UAoQCvObJRzJ2FbpnDD2o /FKrz5QkU9rnVkAPy/5hr+TFO+nrzZfrK2VhSToZ8HuJffTTqxdGq0z7jsy4njGuPoCF59lumkNm hNd2F1pBWrACWGzHSfnuo/UOFY86ldLHziZ6TzIp5XAhelAJ8DbXfanO2qH0nmv6/gJWnlgfZjIw iG9PzGim9pU5bDZ91tOm24D5bR1cpsz37I9H+CL5lqo4oz0r67w/mpLS3jlQifzHiWnl5JOS3Hk2 4FJCbxx42E7ZoKY+I/wi/IfAO+F+BzzXiajfLeryuZwYR2TiWX7WCb2WKOG66Yjj17DaFfysL63Q eVAmtP3K6u5BuA6XATsYXf58czaBl+R5K17zN95fNa/r29cn6OtSIIukZTnRDldW3F8vgMlrqSnz HeSQ5K9ANOpxnurgDI8X4AaYkHvX+2+aCnictETQ1mNERNtxxGWuUoTrkR5lM4Wd54RaxLrL8jAl U7H4mn5ngiHHH44oNcvSHgN/VGOYi3p3g10kbrQxggXDkMbQRN1DhOKn7RJ28ZJaqkc9k3YadQrC BL3pAJ7Ljz+Ca53ksg7roOrDXWuZp1fIS8d08tZhaIE9KNv/nzd12EU1uUhcHEIBZM9QcFxIo/w0 LiH+uqamxwqtXtEFsvIVN4Zcebb12a10993cWPTWYhlJqeK1EZ2ueVgjfVnz3xVZrjtFWu6y5A9M TD08UPgnWRqF2xmwgPpCZVhWgR4945tc51hDUlAO6bugDO0lOjmwOYqpjSZB+sJLpJwInYlIrY9F 1Ridq4iT5IopWWZT1J9GbpQ77SczLcosP0OdsdUdJ9pUcJxE6IGL4phPE1tVi/ItLSnmTFklCehM DG+6bKtBKDyEZeK9JLVuSxhIv0x8oAJ5pZM04MrHy+tSC4LAAI0P7wU4GrRMFHhnLnSlRwfUyBoG vfPLD0pYQogZV1ku9VCQAZilR+8gVEPXilvBgVuatVDn6KXywydFikii4CQtkGiVVYAgAP35iP6d ohZcu7OrciwkuAbHWjqvMWOvRn96x27w8kBozanikU6PDrg4IexULf6DaztxNJ/3wtRQNc5+Kno6 Rr/AQ9sYpCWQdfu51GG6YKP3FOo0K0o3dts5jiJtdrGrYJlyIhqpwjEiMg202BLBX4cEKi5MeeSp qAkwqe9kOED43rv+zyPVJOeuYyEhu4GZvusOnVulfzMe095S3TTIILaVYOQcCmfL8xU5UwYPsgP/ IB1H7JaP6KiS3z01Z6wKJGXNIE4rCsuxyGwtn/u3qYwBvS131s5/2+/rNqld+vUFjtn2G9mULrjx ntNJZ8Ys0JmUrTpYE6gyA2ln7G97eQ33ZfbSqE29hSd2aRCWgYiwoyDmU9T2XKdu6R7efDCOCUuY /J3OnUoOL88tYQpupHL2MaV8f8uyZFpf2FW2ajoxpgT/XZxc8OplegCU3abbrJV1kj/7HFDQIOSr Mm/pJKfz03PXh7V9QqLv3A2UjwyYv0xPLLsLJ/lWDjZqT+Oye/o5POcIRK7Wf9V/TAGpTrPSuMu7 sPPZUJPYqmeGn15Uls8Kbh6jwCu4SRs4fB70QoLT3G3z9oFJ5WQbNgm+1ajE+Bs5GWE2aVlaW3kS UXty1rSd+03He+ToiCkudzpmQdPuuvK8qaRD0KInh5iyHIIMJYDzWQgx9FmptWER3iw1vIlgQSvj ILTXJj209lqXrjmw5dOTCyU+NtyBGmqC1qRjtHxR4a7ffCRZYg7fm3rBci3WmMVd31ymtkKccyBu /nzLqj7elOtIDb74Oo4Kg8LV5qAKX9bf56phO6Ff6wy0T6dLq4jfPa32ePrCfWh8//Ukpta99t2t 5nkY2bkFCKf5hUHr5ibX1J46dUfH8tN+R/EyNO4fBi5LeWybUpcF8GMx5BtXGUD/+OBlIRy7H1iM iLaWdTEDIWa20n6ll36FeNDuuOFMVm8DNGAWzyhTzobU4tQxiOtSPpf3ae2/THI42F9XPnovxRFf HJwS/PWS73Zqv9a4JM1cPu+wDVPzQNFI9rPSOH3dJA8qsCqPUMILR/ZFiwJyMQmYUzE0NTyn6iol k/LwawES9Sb8JWRQavmfDB4Plvx60piruVxN4YJ114B0m9FOrOqwmBPAWYi4++x2JU3+XPL6VgDS e2bWDwl61QZOChWaO76xdfqVuMOOdCQ3jbTC9ClpuOZo7K7vurmNBSow3A+WbjzWVFkkOOQmo0dB P8mdF4T3OlVSKPGKaAAmSDVkCWW3+Vt+Dsm2E3J7gshqLnYh1WGsatwGeBbIE0rvedX50sp7WNEJ iU95LTlsLNfIUSGrQTNoSiEZIqHLyDrO+BPcW/AExwMRZ+zxPJdo/u4N3jYbg3Uci0Oies3RPb4O eZ3W6fUHnUDQGonjDU2t30cbVienZtbJJdBqcoqYW5Cz3b0X6XL0iM8SeM4I7Zfn+4XkZDw6HGN4 N7AuObMlWXwYHH85Gdh+1+4Aritk6PopBMvjuJz3WPRwIoQ460wwnG+VvIWGkAOD8JbJkPnKujIQ foqkbWlnkUrdlG6YPh6fljajJvpEcs8ihnUXMEudY2FvQRPtdeQwLHgdoJQTGaDBP2CqkSXOew50 laXgBsP1joyNM9Vo63k5iYQo+g9khmWzXkB7I7yfj7tmALZg/hWgCfZA9ndJygQ5TLZyE0l5tzcb /SPhbdeKFAaX38fewdfS/d0C+f/vS2cTOo1qACBx/bXcpbWO9uEmbJUz5WP2RtkkYNL/bTfElU7+ jWQ+KgarNLhrrlSm7AgdkWRJFYOKknWkG4SQe6dL6vaoqfItMxBJ09qBAirvNmoVMJwxERqauzJg anM9wQNdy6Fvr7wOJIU2aVFLfSL1OPs1ustzbEidQRqf5RhNHQKLNJfg76JATC0yqo902u39c+TO qWbcAvVoxfydEh8FUXlIZfbc9FmwRw2FzD7ISDzAz1uiTZ+Qi7qbxYqTu0QJGNp6OR3SYsnXBgAo HF8ughUeXnbWHy3PCq3PBCUiScUmhfSfgu8wX7DFX5790bLxl7cWNnOV5S7SjKJXR7ZjNwtdV2tJ HHxWgkiFgvUxoDW1tx4ie+l8HKJj/hNJWZcOewzVKtO+8ugQGTnM33pPijp0IXo/4IiaHuG//5LZ c5Tiz/jazuP751lsFsD25Lr4+kOnwL7hm0YBYRI2XC1/JJNaGloiRWyd1E0/8l4Dejf+f4XLCl48 3WPPFA+xHZGHxW63t59ujEwtJV2lCsTkdyeuC8D7yD3vvYdANw5kDg2eOObzMrdaZWnCkiUYmFrj 6tkxlLGJLaDSO/x4gB5I/YjokwD7NtGLFl7FJ4ZD9W1tIjzcD5zSOP+hHhkKWrVQvOxLvRDMT20Q 7DtgrZ4SlT/+as4YKfKCkpGpIL70jrFKxaWtvvZfUAi9FayOa1OZhYzQHYK/R9AF6dGfpQpeGFzF 5/6X0M30rSd7aW5KRQMkJfp+pbrn5c1z6GlbGn+U4KDzYnzDNuCyVRY0Vi4g3RxdgLcgAFD93pii 3p89e/+zic5hzp0r2jlPgFvKF3TfA5u+WvShLu32RNmyFQ3KsBorWfJL0NcR7XV2BvT9AxstZAE7 4bCGAbWnvUPvBH4p/gAXwfXAfiUCAQD79MlepKjhvkgju2/o1+ek2xEk8AKy6MoXVpD6mHqTJ0S8 ab89bKfJ91tQBrEIqUZG15Ov7/pgJWdhIMNlwuavxdhWdKtXbSZ1a83MlIh62zAIwm1ZICqkDm19 fBzPXr2sgrvrpyKRfZHtimnfZOp3Cap/ry9zEk+IrNrRWWj7bMM8XPsPNxWNJHMr6nFHn8GRsTY7 1LcHrC6FaLTQO2S5vjj8+iKsGY8lv+navXEvPIBF/iozWKyLnOOE6tXP8GyWxDTXoAA6Kv9ThL6Q EsxiQ0M+WacltR9qBBSR1u0lkX/qaWZ+IV5GBAqTY7MaMi5x0Sh07scX461kwG28WwaPEeZrhyOw tty8jKrgBM2RHLqxHsqLUZaSLZy6P7BgzA0K1wkIpMmp/GhoZSGUEflJ1IZ+2xIYLfQ/mPrireLk 5F8acEwEYh2WCfv1vdbuKkoG4XfDKH0IrgixKiP+Um70DpmenxXoWUspoRQjjnn/uE0E79BuyWwV GN2B2KQpHW3qFN1w2r2j2+8O/G1Xity0tLd73gjPhQZH7DMmEiD17Jvzw25TEOYvWXhCJvKsCmHw FqOic9tb5kX8hXGcGxmuquBq60PMvpitfmFICdrmoAQZCZ28aajdd5in5r1HgjHmImnAJtBLT9Hr U0XDc34DYc4Ulka54IYlXjrihrk85sPpxSICH+8txAnQtz8F/Mjhw2mKoXEj9H5DTgFi8XbCC9x/ bI+3IJVKDb0dlzDH0oODEcysmLOHYGQrXa05jdav/5IhnoiKDqh7xz7BbtuZLuv4pMLnCvj3oZrh zPvgVa+uz9EGguv7BWqcESrxmsUemK0iSQhRO//A3du/0SAr1Esv6r/iY8cJ5EZzg2qH6mv77B9W RjLUjaPUaPQq+aqUVbbRZbZUPjVHB8ZCaAVMls2UWRYmdZV1JD2b/UTxLUMHuOQoHR4lx3JTQ+92 ffrOx3PLSe69sbvV/3oRST79n6VM5zK+ZvJ4In1K3O1SwzSGsyHtm/MzPXcXqVQehvPZDIlNp4NU LWGmbe2XpDLf+RlDfig2huH6d42TlKk8x5iHHqtKNs78MiNF7KOTNnhtgHlu98dS5lIV1BRjbXWa t5e568BXQYsNZwULxt1zV+GcbqkLddj1osPeWXiLwtN83L4Ni04A6Lw1PADyMXTdx1uAVZfL2Upu 8Qt1VhBz69crhnOvv/y4csVu/2RfUW9hbwIA6WZ2g6YQpTE/CStQjp3kTlT3BKe/A7N0MRWqo8ej ocmAQx6R6aT1L2OQi2Y3bwI+pTj/ZsLoTZOtisOOf1TJIka8DCLijrpwx2jgxcKiHB83hFYQPFSS /9h9zpJt+Dhm8GQ3b5boepbAae/EoMIv1xJT+i32eI7jDTSn44co9C9cPEDNDRpGMK2mKLAnxKyv FKrLwvsEgOuQGpJt8LksShb1mlJ9ZmoZE9qaTHEWpzNQDxCjGcJOUdY1ihPWCBsMf6q8ln9lm3Be ObSiErak+06dmUiZ4kreLPb5+gc59nYisvGxrFZUfZLNgNgUkbEywgTwhPqmbCgVa/bvdFN62p46 2TPCTOAiPN39W/uFIZEpmCt/K1lRiutysddO5jzNRz1TJInmtn/o6Wa1G+AEiif+dY//s9J49gyi Zi5VtBwDND1kgAfd873HEwPNRAgS4B3R6JsLoiRndVbq2hj+vpaf5udtAnh65OIlb9Y4D4U0IZzq wmIeqo4J+ZzFxgwhyWo0YyQi5mpFGD0R21+K0n0iw1wcd8M6nAnjBexsReOppOsZkJtt8MfN5hHO LW+bIfq4mVUIlbfsGAoLBg/TwHJPXG6n3Z/EzlSzFruPxSZdPTBs+Ok8j+XWX6vn1RHjFOMgnV1m /sfKzfwQqfZqKmKEVazj1eLQeE1SrHKIcnVr4lfc6I4rXimQzKdlwjBP8hZH3C3hF8O174BN6Lat 2IZpj+BhNJCaGLKFxuTHawjmBXFvFjbblbiWIRr8rgx1ytR+8dAKTBFIU6gy9qD99bAH64yNvSVV jNj2a6oyXFQsIblI5Nk/b+eTz3HeQ+LRpD9gg/QRs8hs+cC6L+D8SWH2RM5JZWVelcaChhU8Rvg9 WPnkD4SYdH8ou65pPITIfbBnJNV/yCFE13P2r3EPIzP1oBsMLx7EdVlNQzNOE+exdCS5O0MRW2+f 458XaWXu4Z3aR6Xh1ellnEDlyafduf6GYhmzpCnHYY6C9Jdq2EP5iloltVkFR2bmA/Fw9z8vI0s3 f+thHQKxAJTdoDZ4BDEUTjd26FbzZeEddRgzafLNjbkJdx0Ph9Vj1wUYM/T+pCN8Yhfgqe/ADFF7 Rzc+xqMufHscF7LuH66kEfZkJdBCPKcBk1J+qdQxC9cZj/BLbdYB/9BEfAD1tl8AliMtsGBYy9pc 4nGDsXlmimAgHuM2G1G4gIJZoS/xBCQmELeijoBk3eNeWy0Ann+e0wgITahUc60q22120tPj3lsk gw6VOvLpwDDPfhPjSlS7GkjwOj53AUbitbdk0XYREJYS6lxrZw9NlvRH3D2HYBgfm/EU8Mqj34xe PJQk1B9VITVXbUHbTiA0U3e5wPo13xMxaiwhFGyx7Jgmz8WRWLek/6s+o72dSSBsrT+8HWXm5cu1 psdowOjbsIZtUu0mm9DgtiOe1l5oRpLYAKCeHZy6weGuWUxZTwV+xExUV69QkXW8R9Nuld+Y1f3g rqpUg1HwWsXb1TFkwkxqRaHe5hhAg1K7OmlSq+xenAvz6yZvXk+zrZCZlwxNfuiSEp8zsQI9LDu7 2IdRr6kf0hVmAXy7BJMJJo1AeVtIX0ofyy4BecTzXd5iUIBNtF78s0aZgmXaFMfE1m7NqYoYo8y5 QwxDsqRoWcImPQ/7V/XaT3vloD6t16/twEZwnV71t6NDTmthpwc1Un+qD3hHwz2mD8jBCjQqoRla AEgAoyYTiXnRFkY22AxdPZgwPiXm2X0Hf5XHzeyekMEaqaZkJ/zmJJiJBdNh0N4YB2NXvXtMfLGt MS21eDyx0uI1iU0Wx2kjl0cx+nu1JgX3UwBGlGdyiYBx5RiTaIC2s4uZtkVAFiPc93Lj5aMWQZHb 0VH8ZtfaKvxP9FGy/jaLFL6DLMEjyQMXzI8duVnp1xH2rTYSlyMrN9HthQ8AsYhOBGnI0qmIQUaC GcT807rltb9l1Skue1h+bybRnlnLIlhtXS4i0/qrgxYc3/5TD6qRlsU4yIYKtchNCR7qZjedYDEN tXOFvE9zKW6BC4ynVHn6QR3cKEJPFjWWNQr/vG3+++QYlaMTzl3pxDatlyjC83W2cQWDUFYN6iIa 6W+Y0Jj/txGaN8Umdj5l1ILrhUFAGt1mMMhbQTacw9QouipNlV3yUxXup7nLxTgPAtM4cMX998JP Kgsomy88qNWxd33OJuQYQRUkMzOFaKTgGNpNwsf+mlm0coEehfa1DANN+bnshIdk7P/K3Vytt06b Eom6vzMSifdQFPLQsk2fBqXEbmmpmiTUOAXyxnqYtKC4+zAq84/I3pQ7+dP9v0mRQvmTnyNkTzGv //xjwo84qajUIYziYt/8r/MUVFVAiULzVY3hURXqFMnRhgYWBVbVU63PANWwe0awXzkw4hpUuJdM Wq70cH5ZeSj1yN6GO2un0eY21hrsFGw/KJVC07UgTsWqzIfBYawwB+qjEGL0U1NCHMmczu/A0ptq joo4rtGqtO1vZVsBvrWvI9VwsjIWTyMCEfb6dNhyPbFRs6lp8YEucB2b7ElAycvnbDc0hHsDM0jm X7ldC7F0HCDqxU9VtWLDFkhBqciQwO2c3hsQdGXvfiLEY3D/S5iFBNVA7TG1FRT1niho1PgZxThd 5SrER8g1Snhuz6cKzZ4/Yfhb22kIfUDDhbVNhAFNoNvezjweF07v1IHBPyqZkn0AwA28wzBIiYx9 L8l9X6m2zUAsn2zHS4ty2M0/sSMOal5huO1QVCilmlexFL2bonx20LgigtAJJx/NkfEUIDMQenDA FIC9qz9v/vFk/kk1CnBeTmckK7B60Sj029Vg+mvejqPbR9MWWdE7WIxSKE67+q6J6UqcWYtEm3Rp 16lmaHIk+jitR1Yzo12dfglLVdjnvRzyWqtNqTS+r/OvNorksdynSBoPb+gdu5iUE5pkWrDiRi+6 GblvhbyfAm0CCmMDujD8prStoMLSw91C7o5ZndQwKNE1ZZmmkjHH9M8iiBy6YCo6iWGG5uEGSj01 oA/vjw0tYWJuo4Mn+2JVMn9YbxJTEdn4XmQQqFsesCdgjbPgT9C+Jp7v17JR20b/ldIlUeUssq9v nV+1evYRMZzAb5pICjQKu+mDlKr6Ko+bYO/oQrtY17jjjQI8q9shS1JRmvFn1+QWv5WYtJqVBFwh 4p7jbCLbgNYdZU5xg5vdS+OolDHdxDDWugd2Bkrho0V5ZXQ5DgJzYziEOcan27bRhSKrsYH2HLGi /TLsJ6b39SODWDsZiPthOyGH9VDpEjVwo1Ix/1oO8ObYU+fAbM9FsUY5Qtc5ZacZbfQp9jPNa1FF Bg26dVqS7IXyJCrRQYr9tbAArtDX7MiNSxP0dV96Qe4fPDZV/WwsgcrnDo+WdJWNEn1cr/3YIw2U QR7YjRh21dsd0UcJpwY/X3Zz6PyyqtxJ62+S4sS17/KOy23Lmtl0MSjB+kPYQ4qPfcCR0ehsv0Hb TayDylERzTbHtL+O6i+POif1CnmZAncVop5NOpRqKJUV3h/8BgsdQzED7qteXLnFWX8d/JhFIXSU c5NSzRLwHvrFC9XmlXoL4sewy6FWwanyP74iE5BMolmV++k6DczdduZHSIaE0Po9Z7FKYiU1LTzL /Vro1mhpb6dKGncRNhdCgC3Vl0o8T0jMrbol96GVwKKtje9eFjTbCVHAA+Wi90DvNy8iE44STaOw 475UoUimtDV1QF55jOf0lVmoATf7E+P0UQwC85Ynx4W6+rKNnKGurCCLdbRGX3LghQH29cPyLz8q E1hVKKp0NiNB+DLmOkaWIG4D44T3ctdAQ/kZYrdYtC+sSHGvy8aE5VXWLR16tmM4uOffZsEfctL7 15yn3EYYOY4hP6En3+2L3lC1LR7/G4+yY4IeUSQQCqLt2ejiewFAMezNuiPhjW0Ew6cjuHpLlaxv GeSQnFfQzEw1w8W59u8Yah0bBJ7DttCvBbgHFsnkGnnWgQPzdEWFIKzCT0GL4fXBZVM3QO83wx9C qqlm8xDc6SYp3O2orw8Rsf0p9hT4iafs/HXweyizSKMpwCGhmrCqpr+ffG0Vzt0R/8kTR0CHpfXZ yYUGasibnu/pCBMTkGMCsL9eFOvjexXXTIhiI+Y6tHLR1x67g94phEhWyNoyLo/RPPYeXCSiCGXL PYkx8V+R1iuMvbemkM9m+C+tAA73ZvMGaVRwB8fzcbeIP+gCvf019Xkgde4RNJ5JIQXlXi5CYq2u eOQ9PlQ159Rv9vFgu6kLH55FCNyHvLwMNne8ODp/fsAGM924M+6n97NqdFVoI3gXGsKEL0SuBbje 0dad9/mIJRvutNj/Tt8TvJd2ZShQM3qX50xXVSIOTRrj3Qqy1gWzY5AxYLDlnukaTJRidqvw4tU1 7H63LZpNx9zXUXRir6yw409mSxdp1OcPU2F8TM2J8IIWDkEw3Yynq5ci93zQEMFpfUYVt1Ks8hJ3 TqlwARZdq9bAFfvrMETvAWwQduRLwvIbwlfPI4AqHU4Puu12JlNe6d8X78/z7CBW8bztFJVVxVoS aWzjoJnT8pQfEdb9UwWc1nNJSGCTegDPDEk+WoHfKWFcbBEBIP13wQ3eo+vIIalS68J6+sFiEj+3 onDf0rYnIhIInZQ1NoPguL3SJ3b+boF9e+p2G3nJpf1IsIxgJnsMrPRs0zL3j1jQ2GiM2WLnbf9u q2IXB6rDR7AtfQMnoAgwNW2JQww75YkllHunUe48MohRtCnCsrevJaN32Jkj1UepEjG9XRYfVsxV DPF4Ynpo1KMsn6i1daVe2Mgtcugh/4FZzDlwvAGtmFcKpomRfOCyumaiK3coEkMeTXAq5UdrRkaJ oZ9euexq1UIFrsQzB7toFp+KkXBGuBWfUtAS/JgqxPk96qEVaIxhf9X4FWkWRHAZnbjtH2jz8XB2 7ftH6WVSRqWAWHVE0B5TqgTJVbYqMQpJQQj/XxQWLYCIuVtGg0Q3AMNFd4nE9gMSNIjL5C+SaUY1 PE6CrI/fMr+LAkChlpHUFgMB6lnxHxIu4ilCfTX+bp7k0CXf0qRYJjx8KDG3LoY9sVSxAl3FXb/H Ou0CUDIUbol5CX9vF901k1Br+8fgX8tDE4kVJNCFEIsFEMTSH5B9ZNTN0RpN0VmMScY7LFCWdjL+ pR+2lNQ4RzeQfF/ShQ/kOWr3fTQy7D5AwUHKk/HhMFqxN9+Wh8KTK6wmFxRAhihPKGgL5y4nENwi Tq+jA1IyOHC97eSuySfFPn5LzAHvT4De0Dls5lBG6ysiZ6jd6Rkf4rutnl+ElSyz8fudC0jRH2pf RdXmKEbGRYUH1zZXZu62KIdMTD7tLIS0lhLPCe1k57XySA7owOC4MKsIUf7DV3FutWicF3xDlrjw BvHyxF1Q+V62FG5t1kZM3sDiCHULBEQxsO3ceNrvdi9I1FaVs6PVkh4trNLU4TWAyy6Frj2e4+Sr V4vwXnN9NJIhby0FFGGn+jlg1BGnIe7jj0IFivfdSpbYEbGGUOvLIUrv1+/0Y53XbvPqwtA48Gh3 HT1KNdHl2r8AaleD9r/Gj5sJGtnFIgAz00WCVsMAc3aJcCGSLg+o77aczKoxQ6AmN0VphldHX4wq /X2ulyp6hbyTVZt5gzbhoMwAHRJcbPFKjYqAUnfoEGy7oWkiwltr7N1F4Ns8XiogZvLh6wOh1fXS ieqOrOJQeIgvw8N+vvBtBhpXcQZ19h5e9u2zZWZcIf96XH1hCveEv+L/81CiR3iy192gITw7Bdvo Pm4t+zicxczgRd+bvsTHf5guA9AGvoNrcb+uHjLP6jpSklUDTm3tGDAgB5Y01LT156jUt8eu0KKb d2UHNcOkXNqfmG7eKBDJPbbGEcOJuqgFClSa7jBE6nY9b8swALRD4TwvIiwXZTYXHG/Hj82Cj3o8 UXM7Gxeh8Em3t1sxgKwz1MD+tuEG8vSZxnJTwKmtloHyH4RPrZFw+zrNL7S6wCGp47vsEYZUIHP4 PYZThp43KOSA+a3Uh94LbvNnivRGGdqkD6YsC4WI/+K+jutS83S4otwbMuWnkdXhJ7yhnpPl9xAm 6/NSfDNymsJG0th20xBxhwfHrPiRG5shtpMB1SZaagnMKek2V1DQZoDe+dwCs/J/RGaMFFB28eJC kvGnKCkOLqaRticsSzpLJMbJSREQHxa+Ea9QRhUi4P3o/gqmAfzhl60W4VrgBEB9P37BtZvWR0IJ 9gFPwnI7FV4+fLYTP2HpdW6UbHg1Bd8m9cJjKraaVuBtuZwvpxGdVrC5TfWjyWFKDbMoqJcU87ux MvvPwtjGVX3Ucd5fjha/5LtA9EHJ7/WFawnxAH7JXDV90YRbvcT9uyL+VslprkssjKbKqGwL4CgB 33vl2XZIauXX0Izvh6PUnJS26YWSpj0+BRcNwdJMydo3tSomMw+NmE3ayfAhWpRrya+5+kg2Sx2P OU7CRnknf3EsMIwc7OsMplI17wGxX7qkTAFW5ip7FEE2Zv/6KHRbR7ik+RB7wTMJvrESIw02ys1a 6aJd0FhDxTvs90L+P56oITQEL664onQfndSWP22qJ5IxwIsXhzbAR+oD4VteSk4H1sSXpet43SL9 EjnTnWBQvIDY7AwI1RSO+e4RrVwQMR9QZTp1dHJcMGNVHOCBJ79unmEXIX6wXJW27gIjbH26hFk0 blPHrfoK7D7Be6yH4YXw4OIOif//1B3uG5q1lpCl1JbTaZntBHPnLcLIyEtmPZG//1iavMX5Yl61 WO7s4oU9QGPqVYzxXklxf6rllUfNb6uX7o3oMHc595yF72QKMsBk7N00c3l8op4//toCYSCMUVxU J4y4TO07KJWiv3Ym7sihBqVc1AH7ZayD2D7HIhXXAZlTXh3i8kSbvmDHHbnjM/aLkD8BXnhIyvqu ZHZUKaCGz3EY99Hpj9gSzMPte1OgvZK7UTbFKWCNnm3AbIWUpahsdGU2Kjt5/P3aWOU9YKCQAqRw qjXhI+2xmSKIdlEyLoW4NnF5NMJKM86alsxk3UZGSkmgNMGUiIZWfTnmhH0bg9TQlUImKpuM/u+c ZozCEJHFypv0+cm9fhDmx6GYkpKRMQj8zGPrDzJtQGQo38f4vvVe69k1yGd6d+5pb+7XjY95hgK8 Sn7i77njuXEXvAo1goqSP/qClY3UWlnNurVyKhmZPPkJg1taqfFfpxog/MM9MC25z45VAuTK8kSc mGcAdvRpXSJg+6a9VOqmbQncVpgULM8Imx53kUW3iKPXJrwnOGDZRmUYghYWKZRmYkhh/WGSAN9i EpDYwz5aGolnVvOr7W17GlnZcbIzvak5ewMuPPwNj9d/b9wW/nLaG+B+OK0waarFeInlUgVVOYPq WgkB/illwtXPbtTZQRk39g93eCBeekKMJ4j5eIeeQkjkBeDIr6dNXEHAgnYxhK9KrL3Wn+jfwuOh Lb3AZ5mT5OBELErGjIe+ku6zBjYESL+FE1cByVA64GPkk/uOHVPopJGSpn0pOtFHOnlLqbyUpbIZ dyvPf7zyBGaAEf9HnPjVwGkxcGb4sx17WkMCHOdw+EM/bOcgu2EaZZGZidj2xh7e5Z+aHAhlj7LG uBIYprKICsuUYJcXBOQY5keMt2EyivwuirDhZ3V3Acnsj4sWcSsMbkJ70cs8upHDFq9P317hdDx/ dJckOoornuxyzlcV+aJgTLkalcUYEC57ivOsU7JFRwIcFueTQ4CxHxALM3dNsQ0YXSCFxm/YGKzq c7yLE5w8XkpFHBSvGUa6yPzx3zzrEs/67bzncUsk7nVI51WDpoE9AaaDujpoIMVA1LJsvsO9DQhU ++MwUt49xdu9F0SPPSbPs5Y1ikuzHZEeDscP6dxnqE4WdOcOlCgpTYTEj1D4fVcPHC+dhySrqa0i D8ejVzrWj4tFUYSj5PrKQ3kx2zkn3IDUJ+9w/xo6nK+3z/d9Mfky/hyc4R2K41rtKVGQ5LPkpC6K CrR4VJJ1nkX8AxhU69xvVZtQUFZekRKoKOQgXESQmu2OJjjE7MT2TiFc4jwTKRNEghtgXZsKXJ9H qjIc5KKz7x8qHxrOCwluLM2tkamakSoKlG+fEvK3GtzbJJhqtWGfzGWxIJV3zJTcxOKQQurDhUGE KTupzh9BKB6Qw9KmMwGsLb0evQBYo4RQKx/nsBm5XhxzHqO5b2URHXSj/65Acqi/RqWobHJs9onE fbBjQm41sd4AZ1a6zU0qm5GPJE7E1ij1zRNfhGt0Mq9jdvHLqnb9q4UctnoyjqRXB2FvzHnJHryG ReR10RWDrdTpunq1TbPPwSmQCA604rVdxbfBbm5n0Xr5Kg4KPq7B4OPjHkxbyMmQF/ExL30KXEkJ 0ayxPOXVmPRVLVwkXrkkRR8M37bcl/S2/73616Au6V4syGhHgAq/Ol3mxGy93xMORNg4z5mIAcYM 94lnrQ6XOtaeOwPPB9r8cXMI989IlEVkMqb849hqOyD/6Buwz/AxpHTLuvo9OJkA6fNNOXAW+jDN 61BJWO0HxRzX3SoHekDQODLu3bZdAAFpItS6xJGQcdLLRMgWGTWWRBP+Twf6dkoIGONA67dngayH a3XWysdhAlizcO4+zrOcXabWJ0o/AEvJN2209bd1g8fanfq0PUJC8FfuiqHcq55xGH6JtUFyju1I hrDHmOVrvznBkv3XZS/F02LlVzy57tvZ98f3bbUgoa4Vuv9pv1Vkw+9el7S9AbqulJ6xhU+BhA1Y IthMdf1PauaeevTsAJXre5gudCV1yXTifcJF/6678YQqyxtpPXUxRRaRyhuHA9VONyeVrLjq0+Av nxLT8ESHXVk6BRlmEh7bo64A3/BfXRb+3AU9qcx8W1yOpNli0F32GVw+MwBRsLFjVaRckb5UmKOu lJ7+yDPrdCJfOmNOZW5GrznhV2u2W25SRIHMjnQw0htXcvJpDd5AK1rPLfVnICkViCjoB9gwDwG9 doA48KJznvcoeEe+4qg0tZsLFTkHfCBwkjY/YI8jCPs5GXaWbVl5/CZluXoXYq2F2s7GpH/BF8ox ujtDxXWSySpNE6/k9hJT/WdRIgrljypJdKLn5PBSSmcUXSMHNd5oMZbsfsPuEfoy+ONhePCm437a 3giDBXATsB/tBqrwMxYr/whkS3o/FYLZw9TngWOz7KkaScZuYrXoMB9gex4jaAbMw5Zcn96nBldA PhJFx5jj2Jm0BEHjHy8EPS+LqzLpbP/FyoQ5QwSsEwGJpywdTjC0LW2+srRFtzW4LvTSkmK2qufO 5umVcV8T8vkyZxe9zP6C4XLKXHLmXJ769+/OdpSXBREbT34fRwFLyrz1N8srK3BX3r6/sWZQgTD0 FLMvYaUlCjpHUz4oINTdI40xGiI19meYTduokEbgFneacqkj1m2VnP5IP9S7R8kfEO13mVzAf6/b xPsMhtxq6vSyRmE9JCMP9IWAo371XAEosL3LQFpwVz42gr9XNIHa2JAZntRRXe4Ou4Ogp6X/+FHN bXai25DLCByzdcqBYJEolcK5W21W/SNKGrdZ/K8/XcgsEt8tqIQl4h3SHEB3SJTbSI1yO3hdMJ6/ BS1vo+ywjq6Y9HtJ1Cv/uyysTx/qTGc5lXp+BmVMPwTX3lNuwvuSHCftri0r+uVpilv0s4eeUxha rM3j8/zTERFOV2Um6Gf09ISEvqTS1QEpxkXSyoCZZPBYCMNAoGTFN8ICOGV1Yo8lt393ld11J5kC eYtLKjk7RAseZBgg15e51toTuW6BRor83vMwf0YzSAKa2miS4fEXaNTT0AYD4ZuYRO1A/l2IaYHv DUuiLHZJsd4O/e+Fm6+VfFtVCfZrAxIhi3SeCo5rQjd6oPbtnksVRwx5u0BU66y4CpIuVN/ALOoH luMb3jzFc6acSFwiid+HvtUZ0tdz8oCd5NP3kpkCatGkAUraTSPmcmV5vAk6QAbIfBN/t9JFSmWq iHN9U+tHuOmfQsDFeIvNBmBdnPhutZvPPpCpkFYuCAEFijKR1ScYotCC6ihRWtclaF4xmZQ3VrYI CJIjAVA7nQkxB9ykYpV3tsN0YIbWyCncACyNBOkZxvgM0CYkFMqdpHLcP3t+oSuk1aPkAlNKo+/S r3rmhIAqJ7izsQhPOkznUhKwnhuk+VBJHmM6j4lWlN13sgRLHzZM43BytGunJwlZfOWO6K3Gr6ZB 0i51tKMMUc2WN5ySU970DhsEcmKznkNlz2VOwXWz6gwh+2CFl10vI3BbKr7chJypS6sXC/wwqhyL CN8B16BIf1McLCS4u25Szz9Y7suFKqoXqm2IvFscgesT6VdVW9xOYMpQP5NA3hzzGelh7wFjgXuz kTa5NxHBa//TAc0tfH59bD0RxziYsCSUoGzY+X+H8d2utxVW0E6DYfKIAU9ArIX8l+A+xBpDJcBF E4sX9aEFSOEx3DuE7VtZulOt0LhyR73en7NYGI02M5g8c6sqCVQgRYT9y7xhtEHYgfJGILsYh2dm q2+5tONF3Rlqlbm7Dsd7PsW8vqocH92ZzHoaZCYACN0kAL3RKe/97gCSzNU3vSymq4R/zFfv/gX+ UOyH5A0weNpzZFZ3qBF8F8uvO/7Os3kiDzC6wo5K3nI5qvNX3hBGNmoib9tMkFVhyMe2OrRXqNa2 rVcWJjw19hjojDuNKU0DfYYe82HTv9++1ASuiOzfgrDdriRcj+x0Eyg4PvrG3QI+HgY6HgdCsEkl L3/Q6DBb6rLilrAi8URF1RmyGDzF3tj0fTSW4T6PFtpmJ1/Z+ZbkEJesMMjjRqmEFElNUjd9Erob spHUX+TBJTxxShZep6A8Cz2EpR5XjcqKMeLHzGyLWLpmJ9TYI2BETCXmhHpxd4pxiTRmdnI3C9qf /U1mFbOIRNxqPfLPuam49tLc6gJGfqihrEttN6B5m9tBDoBvT+vf3ovbG46x2WcihmDXEEl0KCC/ O4DOngKsqEjpr5+9CH3aywX+z9uG7rAHYXLMsuw9/cU/76ERcVXFoiAHaiHXfoCtyTPA+1lK7u/J l4Y5CfQIgs1dWhc06pW6Huzj8wDzWdyBGmbsvBOCo0FjJmn50OKUPd6afXRMCYEOQkI7H2Cf0+uE Ini0iaEBdXRSkYAlaMCDGxVpZXU7+TtY3uE9y//FXdAMhkHm6DSPFj9qWOMBB6XClykzKBGGKft8 lCW5SPDxohcOUukK7j7Ak3dEO9BoxOfDK+Xb2J2S0oggqv5pFrDj3Nw9rh8KrqsWnefw/7gd5TMH fLKEK/mBHqINb2+jQTUPSBRKgEVrttchJGKUOx7fQhvhIaBZ62AcCId7AkNsVrTx9SzyB32exHVo yuHmQjTE8sFi0IkmBXHd//mbskooi3RiCcuvdEJgXPz3IJC73sIq67fOCvmEuQhiuOthoy+ucMDA H5QtQAhlUPCCJYm4ZVzLX+slu+nxN7kbDVgA/epqvHPkqwJTudYtvilPn0RQS43DXS3OQ6K75tmS xQf3O53Xp9IucUOR/O//aL3F0MY3xf/awtrQCcyyiESQVKGa4LbjzDsJKou8c39Vj6DPxUsWF5bg adRJEJcrTJXEhB/beIyBEnCg4T7k8nnBlGLKNLBp7iaRotbnQE706uUPjotesHz68FVb5xdbnXXB 3uAgTQ72vtLmsbAKfRrvbFno6fjvEVhgD+Dudr/12wVb6gQNXHM/sFxTUufzolk2StIEKHakbT1n yEvHZw6vrOdC/dcoXe5jLzFvzg85xMWHip/1/q63tth0ewrlxa3vOXrHhqgQ4XqrtrsWfi5pV/VU 2fwViYLuA/x+D6afjqzcsw4SgZIqM9+zNHvnc0uOPR66Y+Qmu3h2BfXrfmZwzzpA8CeZi3TVFIv0 Nytbnl/Evid4srwpXxQAe6+mpbYB90qYnBdGHURPk2oSsvFoUzZMnNkkdK8YvmAcVYdD2kDmxw8L gyR6zprvO1EP15vsV3l9uLrHSovZr/fMOSJWZ13fcWHCeOjUDf/h/uEwj2IrguJNnD7yXR6Ev0S/ pLV/pXFUQLkT0xdL6X75r0AXNA0LHUB/inMo3DI+l6T1fI9dhAU5GwcXq8el+4RL66/Et4htXKIT YmOK7CtmkMO6PHC9+yRWcRr5d+SqeSdCw5j/J7ARNpHsozpccnQt6njlW7wp2p36iF2r1meY9VwR XV7OLsO8idOoHcHrNaT7AO6br9RoOghcaa1xpKozXz81Hy0LYBSU3XwQJYsGtD85EML0FogfGbJx FOpnKGx03vuJPzDu5TPXhAAM2rfg2pHZE7TKDwvYNgJ6PWIhdg132EHyGd5+W0hnPA/UIfbkaSTX 4nGLsDJ4CwP83H2TFLDOCytdjOFXSYjrwx0JnJGkMyNmrX2RRrd/hDRTQGFp6nx/Nkwvnb1/XBJL tDTs6mYFpdR1ZluLkqU6cZHHRUjuGJuxMwpbdXy8lr8AgsoGs1k7qEn3iZyrQwTychmS2OGjCs7u 3py78sh6XvWgqe9lJe65/F5EY8e+MBjLXpCYj0+Qk4lvzjLf+HIdDOP96Qfxb3PQ5J92pwoCeeMf cXut1KWDJwR1ID8Yh47hQBb+jGmfHf6YgyKGu3RAeKR8ymUyZJc+NKg0WIGL4KhHvW+3QPadDjJZ awjAABLHky4EktJyVPPDNkZt2nNXmj5ccbKE+1tRluKMNC7AdsqY08yi2ZD0WtY+6fzqnVFXVe+h mcGxp0zmWZYIbZSXyu+Z6rDc82oI/R0YCwZ3XfDIjr4tei9oDTJlqCJc10dpM2Qn2Z9gnIhRDyKi Qjeuwq3VO/1dw9IpwU1V5jVDfo2A8sm9e3PtkMBHXSl3QmdTDHuktOpEqstlJx+sOgpMR8zPRep3 P2Ct1qd/09fePHiBvOr3OL4SxhxMF4nMT6faOkFlZeOIKBdQ/d+liuocnPlwfAUb735prQomdU8d 8NNkGkY9tBtLqKVObjDGXe+XuWSd/O8Du4mG40PYoFqXblnc3O86wyEyOhAN0n/oAhDGFmjCSRuI qW3+n3+7hmnPFAN7YmQw4DNYFkb/jcq9Ct6tCR8Sg1G9vK5XPJyIFZrG73rP787x3hvHhKbRn6Y/ qZkcjPRmw3ZIkJl2c6QY9/WRjXReNNSUvNt9Jpf6yARFgKS4CzXs5pTS0NWRQXBNpCiByz8nKwDW VLHL4Mv1keODNzqILCREV3HpQY4Ae13leGJP1ZGC55+ghF9mD9mo93dI92VXuc9qtD7mRbc/3h3k 0gk7rvTDqxf9AkKeficNK8SBpRhio/+LTPvP3YK9bhYYojBVLkcYg8xOP3CAOj2TxBOfUM+ELWK/ kWHkxzwD3KnsaIH67a+WQz9FGSmOMyIws6yzlxfdxy+7YGTLtUjOCuRL8Yp0vyoyU55QKp0VW2Uo Z7L2rcCBfIj7LFCutYETcw3g9DaIosGnhKO9/c3m79l0I+QUjx670HPlwlciVLWmWqN7NMplcFYz 5HVVb40x92lZxMd9E6oSI7O9d8JfJaH6aoSZqv9XucLJXoYZ1x6UEccJGWVphRKk3zooQS/zZgJr rc3cswu+ogrx3bmR/SxCMxFtlbvutYBaIuFodFYsWVC0wkzD03FxLX/7LnLLTULQt7GweMZdN1t5 xVIpZi3v2TpsSepS/VlqIEkR3M+sfO/qw+p2MyHp+nY4H8bEjXmIqSbyllfKW/l0BHuOogKr3wSt KPa5bGVwDWV97mCfkILfDdUxjQWNQDTTwOVyOCa9zru0t9vpyV4pNiaQG6YiZtBXJ69gogDXL2GP H11Ede+JhsiqIy9K8nXOfbPvrDqGbxVmW3t+bs8avI/NMT4C56b9dh7uO4x34xy/hIHVVBeHLlZw kfDsJ6piPysYFp9i6U6yFfVu7s2HyI5Z2C6LPvDFubONqnMI32Y0eVy5Wsf5CKvdob41tl12mF1f GSalDRJrivKXl6QWfDruCUUnFKcNPALnQ55BZpsVzozH8agncZMKy7Z/GUekVGg+iJXTvGkqT+/p P3C3nKJK9wuXSDyqMeg8R3pBzBB31QxlB0zBAUdTAN8KqyGsbwjgr6tNhE/xyNcvVO0OR6uZNyxd aLX1uuPCUha3+0D3G/iMsBvXoYfE9jl0lNbqVz4sMdP2Vf2/WBMFf8VAqR5E7LNV20wY4qE6ir9H siHj0bT7URYeAQNwK7NTDjiJGo4GY594gP+lkzEZA4gk2gzYqTfidfo0nWYFLZ/urM9l6sTOmXRP Ze+cuhysNa3cdCgMtKr8vvxpzhbnDGWqueeVWOVMLPLOWr+LjokCtzGiduUBSTAyXgonHwd08wAQ 3pel42Jl7weMoKk/QECwTk6juMpWZbq/TyGgTzW04JCb7D7WlqdSGLtPB0l93uzt7fNhNxS0ePkz b5tQPuWHCwsotdPmdSEOVYxoG6y9WVBJcxwkDPSUypuvOhaHiKGHmcWmn+/JCwWxy30dqmHpcqMe A76eA5hd22C6FcJtdLq6rX8QFXiBra2ANmFGYIjEXtTIwSJV8gKXyRT+JGpzjL81lWEn1m2sV7dP c2BJzJQTvilZrQqd1weeKxQTbrzSJo2P0unTfRonXaaZbwOTBX8q2aRgp/8cGhqXbRUWZr7Ebhzg hBzlk8atQ9drJnJNKRdh36I7w8J+3ZO+xjOf3CPqCaLFDlBKQ/NJ82SjdingNIzTOSFYlUSdag1i GtYno77G0QWHlpeCbuy/0gM1fZcjJPoQC7n6TYOPWOPR0YL6pU8k0ifwY6MdG4bt/2KH0iBSQoOo Z5uHxYz1/j9WQ/FZlolyPf5/2ljatJjf2ubEK+K1xqMT5Et+jzo+T7fpJd2z5Ur/Bu2smnr1m4DF 4dJ2dWWsHfrl4sHGpdRmMOdJ5z5Pm0s8T0vQoLqZNueJeN1NbQSeXovJ+vzOFGqCe1oBhQYOCc2z ISgQEewwjS1rcJcxyBQkso9GpvEPZDeHZ/Qz7Tv07UTpOTYoClEXBP4tWa9hInlU7MXXIblkyllK +6C3/CkmgnHTty8XlgAP92hN4nHSoxLQP2+6tJzrD/R5basCH992ezpjx5ynE65bknFWngYLkpmT jzYcL5RX6F1sgnmho+ii96bEJBtl8qrBpmiOVL5PdxoFZwgpgi8Y6BI/rzelAjGOpxVa9Wptrr7U K6DI1+JuuicGAeX9IqsB9YxTIR7qvkt2BI5gAZ15IbSrUt43ypB6sealHpZHFyp/yB0qISmI255N hMt0CQxC1XAYlTGohG//oBhAnJ9tujd8tFbkDuMjDaCdTeNldYtrP4JFrPgJuKZOK4XFdue/+Eku ZbGvI48/qFhEL86Twk+/WpfboR6k8i+VcIOnoYKQLYgjWFI11NiSKoHQ5tn1fNXuX3tcgaP07+C0 digJHJcD+OE9vXaJ2gbkNDwK5YJ6dGfXaXkvu6+o0s1kmLq9+TW+KGW0IbIlGPBUz5EK4IcgdJs6 VlBsRtQQHUd8LLK3bndCEF4zrAsgQNNpq24YSyKLrB4+luUdIlcMcgPW9l9W/GD8K2T6sM0kkxYg YNWYNr/k5EX5I97M00cH0BOEXimF4RpZA/GtAVQtqViU2EPb4XYp9JHato/Njym5qD1nLq/hWyVG pOi9M1QRugHfhQ8m+aNOlJA/wxNcju2I75hHvkDzhM7DNudvuwBEFYj/Lx1YGfku0HFsi+Dea1kK jq6w/tI1vm3RipqqmUXKfIWi87LP3ZB9c7TzeAiNJq6DTIt++ioGMWl8Q+PcWty8CDfj5DHadARr 5aIOmdtU6Adaeibfmli3i+0mb+6KfO/X4a+1oLkYMrsNXAofPN6dDEV29PYrBxhSp830vow47MSA KUPd3JivjgIbsGfNzZ815/tVSBl4U/tKoGM6dHr9Rs3OW3krVhQuWRjLcpABJGkMBd2NtSJpJZ++ tobM7ptg/LJ0hwMtx4N4uDOnXharNpQHoLqfqQvGn79Kxsd1x+jkpOk4L6g7J4jHilgig0hPKEtS kCSc0UX6/gnkGHUy5d3v3e2vQtatLnC92XQir/9VELnPDEy9tU7fTfZ4xactQxDz8FNS9RPaRR+W 8Mz3NvAU7TklltfnQtJmtu1He8mM6Y0oE/qpUcLB3zequUFKsM/4rspxILqOF9I9771kLPSihpiU 3KoMlFNR1hvki3xstKdjPTP0Rjek3IuAH9EEwMPW2SO1aHcXeV4N86G2H/FWrDlrTCFfLpFAKiTQ aC+vECqKjlGOxogNYXoi1cXvpHJrfu8OzMdeX/JNQrp7xVtaF1cxhMUqILk9uKt/5SIFxySAlbmj hyUOSh7x91ohvhVgAOKTAMRxq1afRF7bLM0vFXmHlWskMbIJmG8AiLeoGeRSYplu4vyJmUwhzSqJ MMS9A5SsS1mNM1CUiYtZlpQhJqlzR+iU9LslkJB7J9tVQLMFrGAQ5vTalq0S4t7XH40688T2faeL I7SgQUUi3nuGMbm+CbPOIvB98rpK0wOkdRbCqEhngXQvQd1/2Ul/5fmIqz8oMxEaKkxRrXguaQXc vUDnmrg0PiWLbzdRPOMlqXWmeZenQLv4C7XidXqo9g8cKmddGBqLiN4Z+jjp1kgcmvxIICUuik5o 12gklGHQnJA1aFegMf02dg3wiPr1q6Ere8vI66uYqz92GdfZTYpRJmzgs591lCcvRoZnzfHlEOfn RFs5PJn9Ie5qX11fsmcnNUg8DCW0yPTogw5sqo0F8AoiVk6SvDbzPX7oSd0yQUjr1seQbfryutho wlEULtoXRsLhIhBD+6V+EFiJbCV7IGnEs/W96sNPGjQ/xZa4k/3B9EYwlYgPz0bbpj0ICz4RufUU DfBoDyyCR5BE1RCyF7KKQg3biquSs6ttLcEjkDR1EuLlFONqnkYdFPKcpEdNSAxIAnrSpeK+VGkH Q9S5vkGfHTauTIVFF+rdhq2N/WeQxSxcNqDtXvNHaRg1D5w7rhEkm0WsqHLlsEZJsODMtNmN2GKr g3oH3VDuPo3J+I/bjez+R1i+mvHdHDJTGkIf/4Y1JvXN2FqijI7RAagYh31yBaN622zqu5KEj6qp ocKBzPEMV1Xh+1kFSuq3XHbOkYop9ELBmOMFt/YF1Cm/We6CRvPd8ZgMvPa5Ygx19D0I2bTv1PbG zJSqKLY5/hh0FbnIUm5lg7xW74dDkiKQaM7Z2zoMIUTRCaX0HA8fd+2j14tToh0Kk79DVX70X8S4 kjf31hbb0Iv7VPTgIBvo5MXLbI5itVMhfsB90iiDc/lu7LspidIgeEdu3EDWkCRraCvCbCoWyY8k XwokckbL3+GpNrZvwt3fbP1G1Bv2f0btA49Wwq9GQFgO9LA0hVCHlCaLEJARNHR4IJJ72P0UWE7D u45qgeAn3l0+YESlAGhl0nv25YB2v/jfj42/zLbwJppT46VTyQxt4rP0yQNnCFTPQA2d+zzMAPBY 0pIXGsfLEOJr5+F3U+4JvmP3fcJ3Cq18Qr6t/Elz49ooWZrEkglijcRQ2hrVL4KRbvnq8VVjRDM1 IIDtcRCJDEVF6MhMrhoZrLrxWCVes7wwpqj+Ce6U/RbO5RpiPLt+z11vfKQhxsSUMThKH4/O4f80 XAeNk55SMu7URL7LX63cS+r4djrLYNoXcATiCWa0b7gmoPXO7pq3XHuAgZOIa/yEpignadLvHYOJ CrRTSmHa+qFVRXxXZ/Z8lgAvQau4sM2JmWFQNjxwEnekajVyAOYcj2KCDpjeJ2wEkWJl5pD1rK5U bqTgeEF16oSd9mm9s+rWaOfrthoGcf0kOJzpIBPEw1UvI/rJN5fLgiR8WKW2ZxCo6HsaY9JmMIcj 0r7QB/54ibUMXepgcAGvCxp2UwEAo23+xkCDLeLRtG1tjnVif3UUHjqEoAkvYno1NJoddWCNadBF ObRKX5Rf22awgaTQD+MRp007q4ilMQt9N0X20HT8wHGmWDFERRIOCPGH794ICxj4wrS434nFi1Jw xY+Bkx/s/NQPv2I3/t64jSZ0MhVgKvvSGXrSiCm/wQJ5R8mt2320OyHQ0COzpbS3VuBkJcD7ehrB JW71Lmj1dVZH4vxPKE93vKwKSKvNROirYxiUHB9Y0TYDtPYOvu60+PY9pBVaa+sCrptI8PBKEHDQ xVxOK7H1HfnkzdVOp/Z/fp7qMvbZJcuHksBocG2XjRCLO5xHIZQJNVLKmH2ErFiGfjWJ0iP6INMf saD0YZ7jbtkvUDejT6Tbj9hOf3xjpm6+kwwCQhzYbsndU3O7gA3TbwFA74zoXVlb+dTIvyaa2dO/ +PT5FKeYzDUzFSdskcv0ROGhbbRSXqDzyKaDAvUSH5WhvnCO2OCwrRvDgw0iTfyP1cT5XCE++YkN aXR1SaLBdP/Y8t1iJTX2vtC30j2CsOYC+MJHprYyCWvI7qrRQNihnZolOonoyZaA6NQ2ca5gQqTu Dw5gYaiqcRrzHf8ryvnJbN/C8ogJS+j7EIhQHeJJ9dCiiC50UgNja/ZXo+Wb+aOsIoXEVRhgj+0F 8eQbSDvN535sJA2bE7A0gBwPE+RYH6iEzad9CRbfLke2z5Cp0WeaxH8vbgGBWIrKpoz8VPfDRQ6K Kl2Kkvv01VMJQoKE7A1fdj/Imyu+TwJKTTyfqnPhR+xn+I740F2z5i+f2DkCJfvYjen3mTp1m97K R9bFmjTClTqHvX/KqEiVOXlh5GGXl51SxIX4kTetMtP5RYZDBfSoScHF4jNEpM55llFj89SEn53r 0qOXEzQwsjZk/vuAIvcqPeX5wFrFbLjuUjWfB0wqZ1GyaLDmHtLm0QJ2ekWLmbnN5yVfEEUflNJO vDBS+odyw0vN7YAvTvRxy8nPULBDzbCKHKe3LNPzJfrKElUV38o2/BxtXd8Z9WKkr2uWm3MloydN ZPhBS0350vtEuHGxpNw20VuYngovOp7KwMa5wgBtF8EvHNdZnmal8masTGXqBD0B2Nq5ceTDirfg 1yCXpdcVTjNRRIcfMP0RKpz4FF1PyPmbd8wPWweOh6wO3LYv3c4qWIT3zJXp+W4/V1Y81v0GwfN6 77glOMbUrnwjL0TpuBPg7/T/eJsTArIc0ZHVt38IejfQkM5JKd55IEpOAy+gC+rtTQO12i9fKW/z oqFVcsj1lgI7oDWhBDvkA8qtmC1dnlUT1sGaLsZGEsp8WM7goeweYFDy+QBmtRvQBuUXxM0NF/iw dSuWa0nnX2WPMp4SeroQcwi1fwmh34gBOns2sym5yzra/PJn6T0UJ/iX5FWaW/q15KqKmZVeb3bl hBRf4hkY1kHEj+/Ir9tOgbC7EI/8oGFuNyMEX6Z8jdCbEMgiknZAezsPnCp4My/es/EfjJwsHIMQ 1s2sCVJDbjfqXsa0/C7joqbLwD/KLTxUzeIrkzZ6i+lA5KnzWswzkvQWy1EvbkOsYQJRMA15ac9o /upcnCWborCT2KUqmmgwM11KUK/Y0JaVUR6LaqM+yAGotBGh/iy4emTZkj6A96KQAMSS32IM3AIj icOvEORWvuc1EjQhZEKiagOqdqpNsXcVfNW81kUUK+gHol2jqMwuOBn3nylWbFqXDO/qAPVkdY21 8on5g1ADTRFPpqlZOPCuS+sXboibcaR60VFc543/t10dTM1KWk1M/sXfFuRxYUwEQZ34rTpd/tgw cStKQou5tgn6BB9IALB+UZgKWP8q3gBwvpRmqjal16XRp3g5cRaaM/EIbuXuGcFnpnSajYUXRMnc JgtldqlwvXG1ZMDj9E7T3FOyvEBSjbL4zX8/YeivafbFUMdC1bFZodg5/IzLpXXUZnwMGx6A+NKF +E7bm50aMwQI2cu/ZjQi2rZL2gv1/+wk7wqEq3isUCKmjploDQ7+kjDK2ioQ4OlgAFAz4nLbgMo+ FlHQt/q3Cpr3ypmb7OTeqH4D97gWM/2gel0jgfG9qMOlvDIDWfjw68OkAC1i1VWKZu2AWlvVJA7d pW+rdEtl1fK9tT+UfzDwhMYB/c7Uhf3b1VoWmghRrXFfBxzXAQyQ3e+ZwgDpQ2SJ2aWSrsbjzgrm TfYTbjtdbHYJMNbZiTD2bqoyINt0dOWXgntXrC4UdIma0OaBf9Fi+N0ZyBp/exedkcko2Lm1b6cL nXb0uo9UymXYfVv6cWpXE2VnKWP7X524Gjmqi6l6K3nTS1qWTyc1NFg6w+ZBdQo/xZHCH+r1PBLt eMt5q8xunaXCkFGu6M2cYCJ3m102X0/uLx8MAZnAl32cpoTnLCJaIDgWntQatcluCDnsfyRCOIe5 kaqzkGMDArBGtjG5rQUILFN0UjwYqqrBq14j9yFZFpFLhg7biC3077T4GDJdpQosRfvT7J/wYYs1 n8GfcjjZ+1/ypGnpqVJFYz+ddBGKlXF6EL+g/vG/xek+K47/gZHZBbSSLlP+21cm5D3P8XJCfOdD ulJNMG7qv2FBHVZgoYbRyZm4dmw/cK0nQ28VpPY/k/RM1275AeHAAqX+mvcMpLvQGkYIbyE0J9AG 5gpv3Gi2eaKPdcAzaRZ9vV534VC9k+9jr1mWa3lfHbyPZqTjdkPE9cmwrbUZ2hEr6dbpnAc49TRz 2Q2eH7sFlU4OWm0QgeQv+J3tcL6UTyzE+7tfECN+AGXRDg0256xf8KlEMi7mKVQKqkB5sEABHG0A PgLxLHidQo/OldDZeLDxKQytLcO+cbGkCLAl1rmvEOqYeWQHVXjW2MSielcGF+CCEu67/JPCYppy 3Noq5qll0vWCzPXaiDwd8KcjMI2p5+hODTjnU3A/4lSCJDz/IukDEwCW8kmE4SNQTM1XTYT/Yk+B 2Lg8V8kEoVZVGi3u5P6bmxA7TWma5ROCl9YfXk4VUjSLGFndqSRqiPWmsWN49OhMMcH1LFzg0qPv QuEe2Pim9mD60PwW7Wa21RdBa4kBdX8gHabTlBTknpl2CNdPNtJuvfDF4imeGo05RuyqAJGsy3io YRzekhG/b7+LNov0VD0e9r2+ftLIT8LQoBuMuIPn5UsgeAigSsV/T6X5yxX7RL6ZaS0rhPPM6+0E ANZyWU5prh43Pbq5HO4nnaWmQBm4MDW1s7ry5cCG9rNmgeM7zD1/gjR1AVAxf9hrUdcrKE4GNzKo EvttsQO2LUqEdk+ee+5jc+ItEvPb5e1Uz6bF0O4RCZebSdaV3uUohI3moAMmIpkJzdD16Cp4s8P/ tlcWFYX/YzC7UGtmOjDQf5lGreLQ09xqi3y6ydzCYkCu2dio3qzYblvVB6X/HidZoGTj+ZX8yl3G yOhsncd8i0jkiRu8JSe7cXy2gRJeN6Hm6Ij0uqIez6K8K7tpOHs8Vls2OybmHi3bXQjhsatHMxoI cLiJol5uP8isqA6ZFYuFGzbQYChC9WLci5YawJeNAuGPRJr840ReNYqIvmG9mOf9MJCMrFVBJwI5 0YFyCm1gbfwAOGLqOV/u1BwHKmi+PuPnjy6cVwV4GauUB39A9xId73dZz36yrukgljh5dK2VKjRi /7V8Hg4GRZZC0/BN6/MXlenvmFOFT21rbFxqUhBTj36HGWdZsCc05avUJX922KViqFpuPTmQvwDx GLr5UurqmUrZfJKNACx+oqHV2Be9mfCWbzH88VYF4q0UzErum3oHwVhLtPsNIQkhEZ1LLv3Ip0Kd LXH5wXomUbUVCwVZYb5WvtUVTwYgGNQYVnnoO+3nsM3U5mmzfVCw/h2740IbF6gxQ9tWsNvKDEWD 0M2E106P6As9gzWFgVZZn1u6VnNOr1s5JTUFOyfJWYjmtAkXGn3FkTNMehrEB4/xWfxXVXfjNQ8S M3LEKYeheSFqgRrZNUpx5Ee8rgK6pohx1/Z8A2M27sUa43HpRALQNziI5B4JEd3QkOuaYmodYde4 Nu3ePiJzHHTHBC1iUXmXqjiNhu6ugynuEj8UKMmTTH/PvXZKJ254PkSXPRixQgxPjHbT6n/wJtrA TSfzTl4qASp9wmbp2868MzfV9ITG8fOFQw2fUPZRqUV+atKFSjDyoY2Qn7Mudyjrh+J7goRnXUPo +wmgfNYx6oU2OzGmRN7jcEcDmMLAExirTPL71K2wZMOZ5aK7MK1cHlId/3c8oGM7ZWUKfz1D/vMy /pXJQxAD/75FgCBBRgWizKhTu7M8EPNrxXahQ9dN3wH3B03lxi/2OV3HlGiRBfxc9r1tr8hRJhm7 t49SkwD29XrM+LIjgQQpYNcuAyMWPznGf0gN3Z4v8GvhQi9TKs6JeSqF+TN4oDGOl0mGWGZE15kL 6GzfKQC1T7mo+M0783dcaKqWhexTmTRQkKqX4vhXfm/Uveu7oiopuzXjQ+5rHNlLhy+R8luXr9ok 6YELf3iBJbtA652Xl4sZdQ+Mg48fvD5u4BVWnw8IwzIn7E7r+XvCzgDqP2VAFc6hRMNwf1gBbqX+ p27HHAJEoIxb/kD3SK1I0kip1h6ZPWjeNuBdxMNef4utLm//DrLc/Pykwa2BWeERQVJzG68BOVqm CLzFbU6+Z2yhFOZ1vmHFKbz8Xouop0Gdx1nRDJtz1aR0NVuCDuh6WaEOq+sY/mc1zqDZ/vjgFX2G vJ6ItL+UrsZB/TpZLg/jH1jvM81+bpFBNcFxVpLsSPhWSs12BlHz35uF8isLBpkjnI8Ir1lV/yxv VSoPkTG3lpsNMwEGewtYfeA/8Pxw+OvdZ0Wmujb/nl6SYuLDhrnvX19277HK7l/nJYtDzWsbq6G8 8AldTeLR6TkZzNL01V4a96udtGq1XxKADE2tgv+TDqiGu5V/iC8cltY2Fy++Pr+ncKTS9YPjWei3 UOAf0cYBo8TscGrxo7hwC4cpkv6AX5SxS5fSFzsG5MOJrwG8IaGuWNFVW3wZ/K7Z+Lnr6Y5DE2Lh ddJwy/Uwmp1hFjd/CnXiERYSC0Qz5jNuEldqmUPHzWRiksPisor+XPJS27LtUZz3yDkKoO42LSz8 TFakafVBG0GmWcqy9+STktrUyHuYc2Ji+ogMlUf1CR8C+oYWT7qHkvoB6gV3l13O2WCbJ1+gx1vs vpO4WooyM8024UZIPGDfTMCWu05M9wWWOgNLgq5bHklbnxBaNhUT6g+1HIYWdeJGKVFDNXRfUmjo A25nQzYhy8JjKbEDNv4OR/OKt36p7Iq+/40I2+taMCoxvTGEnZvlEWdcu4b+leFo0pCow5nFohI+ O/xcjuCLkVY6vFmlzwaK5O1FWHycERI2xOJWMR9E44y9WWFxjKzdJofp0tigXwDnpy+ObW3WWNv1 V1Z3PO6Xy1hFqe8mIJQKPoWk7ph1GeI9Xc7x10z9eLO8el6/BcEGVkZtdcBNKNkhBNbDjnofWBIk W2uX1cYkEZ7hFMgWWJIFgDYvMQTdiq860ezLLt3S26f1/8Z8IuAggc1+dl7kFpXeV5Qdokkd75jW YTqZK3WNWzZjZNlsAbg3/Xe4D9u8kbeppi7NabxU62k6sDAwfZCWXLjajIx/Chge3BX+19mZCCA0 0plm/eB82eUCjxqob3XhNjojYN++cLeopld4rHAoUy95/CO8RCKy8XsywwDtNBAEeoH9bvLCyO6s ocazmsJ2ny7G90DiDy3Vcd6ScvZUHpqJ4nXk6Dqor0DSQCbcf4+Ta1vh7i8/AFErw+0olSMQn84Z eQ3yHqq7ofPraSLOmqsEPl98XoOgIiYJjsDnb5DZkF0jicjiXfZMPeAHapQObrczxXYjGET6dWMv 4qUZPMjCF/e2fGmSKcDTAwAyLYDNfFoYfgD/mhTbAUzep+0/ZwPGHPdEBxGw4AJyJKnYjRA9KoGs pUKmxT2DbJ55D6cIYFXRgqrDojbe5Hs5GcayKeCc5z+jhymPORd8CQIqA5Ifx6SKWJ1q54cfFGlu 7c5U7lCB1WhNBb5DGFNBUSEqhh8GPDoaPToSaeGzTKfDVnfINrQBH+OMct1vBg6j08PXIQstTioE UTbx9jmIS7u2xyJLULewNK/jJPaQBgkE4srIaAIM4x3bwanPKppJ+l3c/67I3lK+LcV8kzzxw3ml BQj+XInOXFIwAV0uDmHxDbdjSk0iyfBIK2boCDTV+TB9PdbawXzLmuL3/VUKCF8je8asNFKGa4o+ lc7vqGetG9Xxgkza7N/gv/Jj2bIHuxpDxymtxB5qMRq/SfMtd5A+vwHGZVlI8zaD/PEU77nupEtY MUG0mQ6LH9oPxMQP5O6n+mLbsMv5gO2Kf/KhvelKUkVv5+y77Uekl6RDazdsxMqUM7qHSkwuSjGz iVuAByhFexnRZhX4LWPteJPDahNLox1QKRM2aEAUjXTPuoBFrJksW77A5g/qLGZ3TaJuZn5+OKIZ PvOOmyv8Ph4OMPpAtsOmJYC4Nz67KdRxKNc5YQVEmsuStkyYvvMJKh8RMZWhA3qhMFCf65M12P3q spIifTytBf/IvdPh2as6JIHWV6SErnBTQHslLrc6nnRs4BrZlcVVTykHeh0nT4LcOCUQBCyv9CT1 vzWzaWQ8r7UP9hAoSQP5uJS1Nf9FlgUluYD5owuy3ly/k0OODFP/zY3xfqSX9j9NxeAIaxzBuw3n r9MFcnLbYj2F9gBmFg87aImrzJydEms1Or8l9R2xNsiKxHXNsAKceZSmxowOK3szu+hl/ivYtGvX hWgb0fvoVIsucFQzgDYhhFe9eZ7vyTlGuLkvr4rvYk+ibGQrd9afGQNMwd/VnAQpl+UVBYmR4NVe EwnTwOD0eEE71bnQNNAfk1q5SyC5FESk90lN9CLWJNMYYOWate8MMM7RKsbuj2YbmvNH+JXDjyec Amk23J+Y7PvDkQaCsdGFqSOGgfJas57B2ly9i1sr11RbezXFU0hLELLzBuAVwiV23RBxO5ENbG3+ +t6/iAnAB9T1MCe9tJKShDpN2p5HxBfAZgTc/qCvM2tdAqmAWXVI92QgKKBYLNrKeq4gzrLJKTcX wW6jJ9tOu5kkSOfrJBFSZkL7dH+0BdGIuYMQgCWqK3cRsovE++BYVdnThVg5tGqJJMJPWzBPjZTe 5fwoaVwSXNyVvv1SvCfJs6P8Horbq+qfGp5M6eSJaa5knMhqgdsoqU3/sV/Q61nREbEQDD1SORLg ULxtWv79YeD8ctSKbUfDjlpbF7KZm3T/mesqNXuRIVZs1Y7igGyHZOGQcLaLQqo1JMSLcsrDR+e9 W9+pR3XDPWIp1RpUJDMSashG/Pw5g7q7O4h7e5lPDIeUx3S820g7yyjFSQbI2kHVHopxP+VLEagI f/CpYaF2SquC1352T67xQ2mlouvCPJt6v/BJMOuLG+lEXzMmz8kdMZ1owl7mZxzQWOAy7wzYfzOF CxLIweuAuuNZtARtszW6bDaScTvlL8pTPqXOvHTzpSAHXqT5NydtENTVe/j63bpZj4ZVfVle8cdJ qIXIAztyhnKHZXm0pQFSI4apIovYpRgOQlN3GKYHXNvh/bCETYB2CfjSBh1aBVVJ+SEY1TqhWto+ CF6SWJKLKasJBg9s4S6DdkpBS4f1QDY9tPhXxWW7Un7HTqOautks1Uj6MP6tSHZsUnI3IcMixgmb SK02mrj3mjBl5lvmtyVa2F66Q27pXxlLtj/VHOnX5aGSmptVpL664e7vonRBElpOcJCuZl5kFZIq 9J+Yu5JBL6Dv549OEot0p1MSqUPmLNYi/N9uYo4thIj8ku0KibTWnLVo3SRe8eeg7lArZnPjOrdF 0AJkAtrK71Ecjb31xOSsK8Gzf4A9NVSfD1WltZmK/lQFXtjSeGXvv67P+dxojuaDAoOUfMts1TKs HJfrGnhIm57IPybtAjy/IqMDXylETu7cXuJXXvy9P72oE3ykDznhSgtkmlQgQXdTBFwolZDdMF47 /RQdIBBV8I5ETcy3gpaj1pe7BRxD7eqNYth0h7G/+1r4FZVkul5pqEd0rmR4bCaWQRYen6Ed8DB2 +GUz3ijGm8YekHI+ssFP+9QEhZko7B1NZdejK0Ga+qGBwXff2sZzBzsm5E6fA/TEB62PPkIluvRi +90BDF3QThxabKhdUvFrutB1qQIKAJBv8iBa1GKknaRczVl30L7srahf3+v2tuYpP0myHtvpzdyz wc+VUNGYK6P38VLjuKnTs0/wOAHBdQUsPITrfFkcoHaNKk3jrKCHOsHBuhlyCi3kkeSb9FRpLyVa 5m9870gKp/0NOZkG5pSdVqbrzkvPiq6uSFHHDwU/tuRxCr1SS9r5A+26vdeLAUe78KvmuGqfe2wN W6GweFezgwQ4Exu7CY3dAG0s8emBRAt9hEcZgFEzUr/wjlQQp9AV5mxOoA3W6Voru06dPnhAGbw5 /WyxqhjZ4oI3JIXnS0gGHAmf2YBppgVIGX26SaNOS5aX345NZ5oaZN8v9DrG2YCPFpobzpnBQEA3 FLUls2WMa/8DjB9f1E0a8U5LWF+4t2QA+gwAm9pDezvvM4nX6RHNtaL4Jz8tMntbYAHoKVetfd1r nTahx5HK02Y/h1eMosY3IEEaY0C1/3tK2TM1Hh0HKlhrg/Mkw0DC3s4lisBSI+T2bJ7yHQnvJ05i itS5xJzBOlRMhnUmwC3PcHYxveH1HTX6s9XAbwhiC0eml9nmITpyedB7umuaX/kqgf5temWrGiyO fYRPMBaSbbf5IFZ12nGHqSTGqkxN+rSd4nlfi883feySl1IXnG2UrRg5drU1XgEKxOkDDP4o6pBo g97Uxud+7s/uKNKsyrQ8vx5N4oskYkmAnyxuYx2rBJi94nPHYUKLyYu4J3QlQ+qO2Txx+n00mQKD 8Ickr+M9VuXMCcB9I/+rEVtiSAzJX1dNofqQ7AWBhu6Ra2EdS1hrQRFZ/BDwVY0EfyEk4ChFgOHG 08GHQR+i7EWppVzlmfgBKC9McqzspdzxRHZ8SjUSrisznn7N4HC9EjKcqvhfKFyxPXutaqWmg39x vY8hFwT2p2OFcGJ4nChy83Mr8VmFgHaKhL8eGPIk/KzeyWCDeBl/3auyRXpt/A6LwyeWvf768bd+ dqCXwjgJt5TMyejmGkV+y9b1C+qzkoFoGK3AGNVJzppdvafCOhsgM9f9sGHfyVTynku7mtk3VgoG gXa5u8v0QQ26Mem0D/tg6oVzuUdZn//pbuDJE6vZKV3JHgPCLU6UNQgBdKzhtOl/+3FcfxECQNps rZXSh7WVMlamwrf698UvrLAkUG3JWiz10XaalBjslMpl0MNQxpxySV8xHnk3uB6IU6m1jSthgigJ tCuGO+XjphKQ+n1mYrfbmPy9bcXhAFSfd7g7uWSj92N3VfzNyzMuUaVM1fIm87cgw4Nk+auQf7LH ZO5LIq3m549so9UlkVXZG+4b6k4DFvjGLJgLiRTHMc7SgPwitO8Fzz0KcLRJ51YnkCu9yQsXVCzJ nPTENTARRzWY4zhxzn4o9wmDPBQgcZOQ5itKM6OI5lDjtYWYANiYExw1J5/ZsdALe8Lr7DZhqYn8 /PvD1J1Jbrt+8GIqvGocSs+OTabbV6N0flMs0xoIKbScX+B+/40BGmqF7dWLA/SNL6MTmuhEvqc/ d4bbmpsO9/wSK9dH9cg/YJX07WkOs7JHn6Z4Sf6MOH+61WR0ggxGihWZSf0B5Z1dRd3+vqxAd6Ll ZSHmYWyAwRdgewdydGI+LjushWkDIQ6fMcRq+cd7EuoOGYuW19/G5g+9lP83ey1KourKoG+D7Bv6 nX/mrZ4CU1Fn+maqLwmfsZVDRuFqeBCeX3bgTDkVRmZWjhnPp8kVd2f+BRHdG2frlPuVUpzo4EOz SLuq8N8ZefhZUJH9CFtJH/9XsJjYpyAA0p6C67R7B2OmSz5nAZidVhz9OISqtKCYetpEakpDCCR0 ddc/taqMFPO/+xhR+/VMXKjOf8zzGd6vldHRBLG6JkIYiK6VG2TnCKzSMtfYan9lFSEjhwzsTuM2 m8/OkQ42EDR6DTLoh8HNhfqHQZei5rcZ0/7Xy1gBopnPTt0DI+JOs/XBk0cXnMn/m5L6Jo27/KoQ RxwNBmyMXpqBfyQgYx1TU+K1/HUbfJKbLhXSmPHbSGYq7XTp82Oep8JfVkkr9lM9M1JYH/y++nu4 eZa96E1eDo+4fFw9M7EKKCinppEuxNuCuPksfv6o+WKnH/NheF/dcztzRq2LGxAvICJrSiYao5oJ S6yx4VRY0aA4OkxIKY+7d2QeY/j37G6WXxABHgFzTxXXyWReK5//e6FHiWPRRwCSYEeDd30ns1+i om+kAWLUF/RqzvNw2NnwS+s2OIEOi4ifou0VQaLwfIgZEZPoccsojNRwo/WZdXe75Jioz+2+04pZ gJS+Pfp3ONtYZkOToWUQOvN5P9KHy0eCu1rROAl971/1PtOOFWewp+LaUn5a2918/zFNEyZyBY6b 1m/9Su14WnZKnGZD/einGRWCxnRNn8MI/adh2Iz62xxCOfNAp19v2VyDqrjS51Bmkj9gvEv5RYfw FYN+rS1LSbDk/D6oE2cx5re6u8grJPjN+FJT5ZbPX10UjycTkBmAohDBwX73FkBElFLNMADeTmFg glv2zQihnuwouGFgYvG5LXx/3RjtDJ+RoE5EHs16zsT0ndieZYfBjHW5I+lwKlnhv7TlbUrHfZoz 6MSTSzd5QJ+4fZdsojyc3i3LdOHxFU0+nRzCrHKiQXAbyJ0xG8Fw414jqOD64zovxcEP/iKxccJ/ eZhswQrapeDEXmI4qz64ijRp9UKuRkNh7nXqQosa3eeZ/HX10uIs1FqFsZO8BPOsQbvsKTnU/q6w L1fS+uyHEYHu4cwFZxiMuhRyMe/CN4efSwjdOIsW51GFa1UzLkqA2GHEQJk8GppIEqRG961oBixm cCqkLXdG4wPZ8bIIlUePKBDdmnSofw9lMxs8vCe5xNd/Re590CG0U7gUjCoVYFpW27llE6avFMX5 6fp5ZN1xkLJSuWvYXSYwPFWYfhly6t8OAQPPlaq5OssxHvYJTtxS9Bm70GUE9FW+SOC2dXZGLuy7 B8w42E4sLbiW3eJCcDoS3oATzrGfZ8/lGTvFpDG6yCUFoTjG1dT311dErFQ9W8sy5j+8jhQSZRto TOpzIewDhxIoVLRIYwlInkh6t8/k5wWuGmh6rOCaBxxw8KZcJgULnbcSZ3W8NQndbMTApwsuuwaq YWm+rxqY6xuU6R0+/EfBZht+9jib9iIIE4n7aCK0efqDjDkhCA3g0Fzlo//NZ9oHQspP0vh1Bp3P WDuB3ukXdOBwxMSyEjYi54SDXzxp1cCJsNi7SgCxrWvyi7H++vwacNWWF0eqRbMhEJu5rzMoJu08 NBYUrYsIBDI9RyYtQoaumFCn+C9ZX+/H4wGscUNBU9CfvP/urcQjbf4P6Q+xTUtLD4gkHkHCCRKq K6SLwY4usMnUROCh0QfdOQ0HV5ink776E1RbMmkSghtiFTr2rM1bH24cv2+cHSUwNZPSiDUv/dl7 kVMl+XUxbeXy0nBoV07sdn6TUJDW4bXbY0qJEQ5Q+96dRCHI0PeOPyumcwTI8LN4Ym5T0NP/fFd1 fuNGrxuIBsB07pgn5LVoD6QJsv0BN7qjW5WDp9+h1i+jd8HExvXSiYjYrbitR8fXV8O676q434vV u5/zoGWVRM34hZi8JUaxFx/MazEJNy8Z1vNygRsjA3BM3IZ0sm9IL7J8udYUdRYEIK4YIVsmA7Tz 2oogx3b7HKSJT21tFgvY3wTFlLI1KYinNJZitnnGlXqjatGt1z/gRd/D8q5zM/tdr1nmZPpYA2eO PR9Ti/rDVTq/c5hDksYZhsU9iNu6khMXbgA6S+aCEbnU012c6LPLzhYtucB1Md2MZU/02JYHeL/K CwSB+APeD7C1Lyl2Zr0Ad6/H+EmAD8otWyoVUdzdZX01QsYQJWUGQ/mmS3yMAuSnZ/vKy/MC981R PZUYu52ns6uLFXix1Uzvt4Inpli5idHUvOpJFbo8Y0GITaUYfR97QuHy+L9pAB84pt8YOyN4iMv/ xtrbP313guwrL/bZvsudry06Y+Sl1faGY//BpSLlVchFBc53w8S0AJ5Yb3YjIA0ZgV/5Ml6b6R1y TyK/bqJvG6LbIB1DX0WoUgq7AqCnNeeW2OxOOGUGE/X9BALYNDn5EYaLhRqlElCwpgx9o8jINHT2 CgdUocb4v0oY5pkdom5pP3GLHnGmg4rgP90L0sg6LMdgazrIKQ4xbM7uMsALsUtdNxWnFDhZVrrV SzyXQyzu097uEGQk9AkEs2NBzkhHYpUBjCZugsz8Uy84Grl+ODllyCjpxrcvM5WY0B0nWN7SSIix UHvarjb/9f8b7zGbqeFZcB35IJdvIFAm3kUAk0xaPKbeWdL+R5aDGRs9etLDViJPSHaj8/OPzAuj S93CKD3Hj+GetOSl92HOm90A9b2WiBVWESuBPoSXfZ0HXnDfV9P+MmWdXqf7/Mkk4u4erP54Dr3/ fr00ndr5SRuhziY9AixQ6ZiQpeQLiE4jAMgnea/95CyEODmEURsvBorqJtImbEVzpfD1ALiMx164 1OaNSAC9XXDzx/G70i9hAP1ZWPspaVE1lEvIGQFLjSTR7V3j0RP1TIbZb23mFt6ZgEnGzkMnk/YL GbSgHW1GTEBoG/Nshl33DeiG1Q796ezrGWUWZCzSQUAqrnnh40dNbsF0g5AgFRpjbW2/gO/OJFi7 5/Oi4jKXH7a/JKUp1Uz/Mgz/fGdwyyPh+w7F9jpTRDMW0+7ClBz6ygjhCZjN3pq8I+7xd+D+fIzz cGH1jWVD7RDupBAUhHBlvZ4nXEhex+O2MD0EN69wU1/cEoKMp31ZcukTjFDaMnh70M0BsWoVlHy/ c9MI5EtRyMubZbDD7RAfntJAjMcDlQXrgBBrOrfivoTJhL0Uf8u0V9Ytdy/YLjvaVROx+a2WTc/I B6A2p9b9e/ncdqUj3nGn69WQYUUkSlqBSa2j8zTp1GEYx6EHoZfimp2QYDDZANG60Herpsgqbsn0 MwK7nfIcCGnwOCzqaEGmFqHP9UxfS7opU1prq5XA3fSE4d79MgCbMWueRJrF9aKavsljgj1QX9Co CuDFFRG5uJkXrX0L9sUZsvd+mT68HgkxZX7x5jVkhlkznUkYv3zecnoZbjP7HPMX8OTAZ9XpqYtf yZfxqlcui93A+LW38vAet6M+P13F00M6hS0XXM/+h4J82e774A6z2+GeW4XH1qZNHyhZJjFwBvLN 38DYT4xjOm0fxL9ih1M5pu7uzFnFKMz1FNC+SnyLdKwHt8FQI583r2xkIL9SlGxq67AoMpNeu9cE fMxHjo4jQaduJLgVnfESnBhoTeN0OcljOiAg556us0OoBbRbLbdGX3cBwHWlh5cQZGcj6wPqePB7 kZ2rmwkHj1vEOHM1rLjp5fojeJJPIGmOMQst+LFxUwmiWALD8kDYwJpThoWNodsPbLXhJXDEBaRv RqoYcurBwksoNVUQrGQrtoGOSXTRJ4SE6BeHlzhu/OAVy4i+Nc63T/WyA9tMx5wkm4BVwLQxSTlP Pu1YkgaqcUdBtUu5/qIjtW9Fx/wDEmK3kNJmPuERSbFRF9mOWYPrvQZpedlikMgG5ibCvsu3oaEV J9L9Gf10lhijk8LbqGyVEW78eaG2GsUe2msIvkVBB/tFS3dIy96C5YXv3K6gwGQufs2oTVtk5nm0 +cnhUAr8MW1KSSU4RAepGzVwYphA4eRN3aXOCrITdW7TgHFO5z6Qrh+ehQSFZBqat9VuTV5+5Heh TTw1YTYOEPxm99C7rtrv1fUjVyYIMuXMqJ8/kR+ilipW8y/aOo7CJNxSw7wlghvxC1w2YwhmJvmH 93SqPOQL4d9CRrTJ2C4B+3HPdcvBlMTfsckf1cSlW9QcUUlKiLPJeegt6FXRC2yfaSdmCQXwoJe6 bRmWu8Vl5u4NEqmJH8y3zDwLpeNalBeKfyYp6NURYdxY5P/eBIHx8BDsqctnpJdZ6jGPS6FKanZz SFepk6iMxZvj/5uwAZcLfryheRLwRZjoruCulMpWlPj5AcUeANZfhilC1CxWkcDYY9HK+g/1V/qy TB3/1BXn5lJt7vOX09d6+Wh+FmqSjA5fgdxhDjs0IbgBblFLf4+JFR9etopGyq+yluPU8XaAbawl /SAvTiSRR35bT/jEDtsEMX4R9BXb9XPiJ0alf+c4S35+rQNVpKy6EZhN8PG0f2scIvRQGVkpSK8u gu9Ph99e1y4tDr5zmWYF0s/StMrszEQdzVXXzaP33sarZ/BfgA/ifYM/Nvt+aEmK6/A+55hoTrcj 8xovqya6ZUPhvsGZQCGol8MUX0gYOBXuYRzrLezbArwCT+krTTzj85YXxp1eUL/QtDIbA/H9EpKE zfpBcMGDfJg+EpalTQ+WlgCviSegqXfUNjkjyZFviNSWp3F8O861WjYjMFjoEkE29KWBjG2+R3yx EAFJEOxacATKevZCwuXXGXZWRmYcAWTtkT+XB+6f5fKl3nr711ncql23t2wwAsqSxmn7nqwCN+4M Xjp6zOEZmhaFIhHVPAWQzFntoJI4PS1hSnulOuc1wyLrVZxR4tWPllkXHyhKo/V/TUE8iS1c/R7H dJPyyuYCntcF38Ag4YVbJUWqFltUj0/qAiqeLooRQfr7bNo0eWSrYNYD2NbbBTxodrdoVN+JqAvR YDLoQqerN9IZVJ2LV6TgrY/N4gkWBy1qsaex86Qa4+ASLUSX/dMOMgNiXQiPhfRmyOOWUA6seumh ixexKNDCzyzaJauMedyULqSEFRrUnr/SojzQF44YxmtWVfo4V5ZxnYa9I5T+fa3MMQQmwmMaBlWx Mz7HeVsKgt5ACjsyxD7BqfFHEhDQduX9LOykwLrR2cpB251yBFWjmcTK7cDWQ0DsNUd0VU7COU6c GR2bntyuVuhpUZT2XahHwC1iktvwgvVYfk0FZiIIgJN74SgXfxtEQCvciUZlDzGwkATZyUAeJ82f irUqmBk+huu3u0/qfhWFubNrIEsYv4tr137xlfI/4H4B67KkZ/+OEtyINAa5BZyWeHzm1WUuFLAt h3tOV9OsChhwCI73p0rRUnZzuiN78r1Gm7cnm0W7NnzZZuPM3ii6jzLkkdRhKstkYCOfj5YIXAv9 XZEGNEK4DH+Oo0QaJF4Twv1OTaRRQbz1NRISUdh6QHxaYlxbsU82MenRDe7Syhf7gtfs2HzYsIzE tutc5OKN4/ZzUO21KJgcYD6kxju94ccwSk3JwXGvzhdsYXLQDVbnsAHJ2fqrPSAS/2CklNIB8k// WYyATxXIrNYSo3/O1OFH7LH+ofptCTHyvvCleauhdujQuYnEpubaSM2OuwasuX5xL6i+CFdtftOh 4JzguhKlTvhRX92Itua+0nxCHYfegZ3SFl0c8uuUhEpzEMfAJTe7el1M/plC3qE+neXs3WrVIPHn Rl5kblZknWKrInVc9M1cY8ZwSPGW6exwR/f9A4CZG3AMiUh+uWG6tLB87Fv4Hwl7MQjEIeiPKBXR 7K9X/OfNKYCXwA8XN+E6TIOPMwVaDYhHb+t5VT2hEk4eKdnnsfaEn1Y+ZZ0Ny4bpfgat7u3zS44E ViFthBxsX3OH5mu/j9IAzrgwoUL8HR6FlBygx/3PSaQVS9AVifOTWDf5nhjvk596SiereGmL88DB IZ2VqlGVf6gRrXXhBZbH/KrHt3pCCUzdLo4CNt24Iv7Pe4ecDaHOy1dkXPpwJkWZJfc/6rBO+5TW 72C5ftVx4TsvbS24bntnE5m/zhDOJJXPVt8jVPeibBLtnNQvxmXZHlJdXZ9gJLSJm/hRm2cOQvmZ lBLJwpl589Xvt1qNivEjrDMI4n8tuZnzvhRDaP2WWTyHVAgg3lVnkLmLxX4UFkO+F7T335QX1jvb Q4WxUm2cX/XeYN0AzpY17QGoJgGJQPY/jTFK8EA51i3e/2yYcL37CW3yOcOX2slIKieUvmzICgax yJCBbXolK6lUyVIQeAfUz5wXV/ajjI7U2/M8GUG3zwBbcjNPWUDTQ8PTcdo+Z4VMZixKn1NA2tRp U/3QSNyqtXLuR/2KdnlCS/jpw/CURowRXhTzeIhkesEGuNthWDxg6wVUmB39eDcd/Nrnf/jmWExI lF4R0/lcG+E/aDsET4UanfIZ+CgGTFLdhhU80UVi6s+CUzpXvWN9KNXh4sRVDU8km2j1g82XVgDp lXBghYGvNzcJj+o/yCgtR9kqgD612eFsgP8A77Fm/8Tc5RAHKlx71wdscUFESC25jO/PyG4rIufh kPG+LJ45zHirCVhL5SabfNB/wcVYMOdU+kAdPLs/pGp42xqsLDKVuU9T5KM4iXIUS1L+bGzp3wiS wAaallNwYpuL7WEuhecqQKGBwRN83zkZudpP+rr5PxjS5ck5EjAXwaZA+KJjact3j3mw+X3BTIu5 4GX5cTabgyoxxGgkcAA2GwyLUQ4y2fhksSFrHe8tq2U86vWlJrLBORrLDs9B7l1dybOHYD/UiH0z hsnKySYkn4X+KIVXwelNxjsoHSYESVVqUoRpruOsQkEFnabNvm/ioesel2CMLXxm4zSvWhEd9lmV 9je95GKL+Geve/QQh8Yn5ojrAVfGKVmboH37jSBOG8vGcMnEEtyE9586xKieM3U4xvQEeMLnZdKE CWvEOo0nPDph2leMZY3j0JqdFnkCkzY+0RWcdRXoCNIsUNUVb+TPDeGy+Okd2zHXz9YYvp0CIIVL uA0JGF0dsQFIWwsVBXabTNvH85vfAwhEaQo0J9Is5AaTODlPCZUAp7bqEWGoiwLhvOU2QaBfbMnV rLjj2XvTkR+wK1GsCSb2twhwe8P8EZF6veU/qcNSHf9vUe/RhrR/LMKdyVHwEgyag2mTW8MGNlug Dm+q0XTUygf1Jo2gl3uTb53meu9WMMpGs0d/34Wwrm0kaVKn6vxA1G2LXzp0+uD/uFNN85+9uuWq 00aSxn34MjBZwzbixNoDV02NPSKJudpytgarEd2N9y56RySx5cANXGfHqKxsmXfUB10pW+lYa6d5 E5O6Zpl8PTiUsHYPueSd5AjU6bz3cIBWp72cNyGkBv6zsjNWRpWOb8utj6/fv26HV9nCUZblHg3z CZsXIEi8xjmptB5hrHt2fjPWT6G8SHraO/7zWMTjlzIoZD+hq6MUaYKgzePnPCVZT/wCvYpTYxim RBBvXYf1i1xNsrJy5xT3Lj4MvgXm72CTCX8Lw0RsKyKHnWC42rs7XTULf9iiwhB2CzWsegXtxDoJ ebUzoST5KuO3979QCAqfauRqNoHaEQmvCM/MT9KUrJFZ7Z6qwnOivfoWX6+UbW+7psrc8elZ9a+w rj/mkVcakcCoS2uwfxyJtOSR86xS0bG0nJ2KN0XTgKDSCxhWrexVl0QU4+sraTnoSr9T9b2d0sAu BsSA2jTHl11M61tyJnStOO915CerOXLC+fX7CPKMvZmOKiyFCylawfMTLIinEtZYVzv61hdka5ty XlJZpt5trZdh5DhKmupepxID5pc5c9+fAb5Gj0JDB8Jp948AABExNa+ESDy12py1w18xlhyWeqIV 7tf9LhpSogGNSH2O7h+PpAwYmhySzBdMoaJU3NaGtEIFSedcJ8Hu1JOG6C2ocCS1kj/aRHrWCMIY 2nR8C82dzLRDnoEWEn04hpubSSpZf1iM9cOVrgdxEYe03g0csQofoAmfvv/Z6BJXpxLxFNGrQjY4 RJ6iAEqwGFpb3On3INislFmnpRg3GM3m4YGAUmQhsMNpUyi5AQfeoHJniifug8bYvczN+AVZvIab SiVVd8s0j74nrRBBDmO6nU2l7sKL6+JIPb8840O2xkf3/oliNvTfFhODwREQWs9o+J1KF/ofpNOh uZEfd21/XO//yG/Y1aDc3yZUGLxPibBRbAC+/qrVQ0ZHJ9WacOZ1mXbdvIot5R6OCd6wPYPcdrjU A8qLp9L8SWZMf6xoq/x/TyYE6R1JTXtoJpcDkhL9H//NAg42SbUu2nIk+KfbZ+ev7CJ7/fc9vwUI na5ZQ8YavGejCB/0eJ0CbUHGgFyM6afDrzx9VBcXz+R9pKM938hV8XPVyY/BWzry7l7gAzAVyhXD QdTvWTA6jUEEMlo4GWfxPi70csYreel5/ER69BD+XV9+MiFs9hMBBUxncgso9mWR7AGcOBHpw2jA Gr/vN33UOqG8mltT/UxvEl1i6m8S175aYSGA6g0YmAB30JuQIqMJc2OuMYybmwUQG6UFDYZTGEAA TY1/ZEDm4CPIKMkryZj9/1n2FlNQ6tTk82n3xcQg/nmYienXZGV0lL8X5r7zfPABcUnSB8amyUar O38S9x3Uzz17UUxpQPPXDcHWG2cysYOBZ6CDNVrR3wcHFZhxx+SALPXWSmnGuJJySCpdyijdiDqn jaBUjj7kkMOBm/NfhpDVJSf1i3RS9i9Dzo8O/t+DYNPHSweyx+8TsaM2GGXdT79sigJMRfnBUWeI WfMi/pDlM564E7K7hSAc+Cy2s4DxIsO74KXSLbvorZ6cWj+UI1epmkY908vsR8PGC/Fa9qBs1qgN YJcooLaGQcgFU01Et7WwB91BoDNgp1s09vQV4MLBhvhHw3OlHDn86q/GLpiQhG3LGTl4SUxtJpt3 /RKJmMv6gYEujZA0Dj5L5xAp0CyB+BhuyMGarsBCS9sx1zQv2W/z22qUX807jmHCWHjXBS5Zs9gu oWrpO701sue0ryb4E8rQkDeSnUEZsBpCyvuYyqh5hAXaG7dU3oM9NbGNvq6Rmw8Lf4qCflhaOVKI Qk0etaHrHt4w3NoP4uTow9f03XoIoALaubIFwZquWTqxGAIASG7Bfg2TIVnsft3Rg950d3rRd9RH j/QlHOgCH0K31hN0B2C1wY8tYHR9NclJwsKD37P8l4o+Tz1Dx5+FeSxmN7SU4pksWkclle5rPfNL Q7RB/eUNifjJb7kvBo+g4tHXV5qunEh+capnNLjt1v3FQMoJuftOfy0X7H8YuGlZ7R9fhP8V/3Po fr55+NojCIK1vevRNk9AN1GbqwDJNNHNcaRjpLzU83UJLDTbx2lfItNt8B7m9t3wz32pVOvAN7QI OUi4JCYdKm18OrDjhCE2SpnAE/+vyq0xBDuSgGKsJm5gez23yF0G2A7PKI4AVSpg3XtrsYqrl7TQ yqdL7HBNq+rJPFrHoxBzuL+KE+lpKuJ18fM1OZOxk7eJEP5qYyxEoiHxc9slRrKfINmBXc32P4kE ErYB1uqspv7XLStSDhtZ9MCpXqlbBiFe0WAtSPde2I8qZ56iuOKJTQwjCKGUoutdxJfmwqHYJbVG /JGJOmOEtl3pV8iFQ5zFsmZZN9KmA8N2+hCc1INp3FcloMNdRpOpJMYv/1LzhXhE4cC9PsdAI4Jx bMGdmU06Tk1bmlNzSdiv9Ih3NrA7hFav3uu1vrEJ36oAUX4wBG9ONjOvPgHWopB/O2nIeIRdsnK6 CGX0Ftt7NmQXXIIq5yO2cmfglgug9tjRvxJH55JxfRxwwo3C0uyindT4pARWZS+VoYhQO9ysLtj/ SyQ8I1FRFY7GggT3X3jOgqarCCR4wSmhb10XtQ4KDIUB7gV2kE+ELUkiiyAntT3V7jPU4tmcs5Kh lfStVJi0z3MsZAOJd1Dqls38Aihh6EIQFzECMQ/bF0UceBdSee79BZKNWAE16d/QsRe6mT87m1bB ZSBGtkdQ2ETghy5tw40vG6nKOuAkMYZsZi36/OTIagRxbFjweFspUA1eUx0Aj53A/nD0hxfbitS+ Y5XBuynS6mBHES3XlrO30sOl+VPMmziA9akQYQAdNqV0Eqj8YOQvMqxi/TsC/JEaRHO78RXGkpa8 23tUAl7Sx4j+HmSjwY8lMkEYJYK3AbgClPNzhpFbIXCLWb6KvC/Bu2ap4ltYnfDfgfDfp4AXdg6n C2Sz/Exyt3r2kQ5MngJxs5AQrDgWq4hLtoEvMn93gsS9pl+rn2Ji8pZqBHLJf/+qzaSOKO40RWRm qFXtefHAjORF5d8XrKJUs9J+wmYMujmkK3SFovOfIW2CPcWa8mWfiFAAJ9Q4LaMQqcMx471T+oxg dD4/DVwxsp+zQTMND3Ce/Xs/CuYxJbXnuMzLPqsoo9CPVru/fDy2v7E+2Wnb/iHLt5lZCZG1lD82 DMOso9RiYCJL+NMkKjRjNm/rvwC3O4NIhJwiv+rOC5reChpgL8mu/SaHEPGHr1iBIzmoqSQXFiOt Uk06GIfQRozQGinc6+Z5V7oci7XGp65LwUzW92Z109EciAKnWFJDvN9HSffqny/7QwVD6RIGkwWL Wb/0q8ewOFgpOqtKGWnm4X+U+j7TFot1bCWWQcJN6ybcQsmuOE9sdR+Jn6S70PdVNmdnNQqREpyP 15wXynigtwA7bd5zft8mnPMG0lF7nxGPekGVUCrygl/AiuUurxh9ZlYllryAIcTSyzTN9vRprPTq NTY7C9LIyE4r7Iq+DG6LF3Isvx3XGrTIgjBJpdn114FWrtMEgMXKBdkHjao88XDcpapJG4FW7NbV mSl8ERjY2gtABAZZcVIcF71DWOb1F3toXpgAs4f6fp2FpLcdapHrhADlGQs6H3sTxnjSG1gZpBJ7 DTTvmxo27Vjm1jWum4bpe6LkkC3+fyma2XhKUIOosehewfCAZyd/w9LyoUXJD84E2s2ESEocWhkk VJJ2fvFH8lYswUZPKUPxpW5LIv4WiHjPpqbk53E7vhpY4XphwsjYUCCWJ83y46c8aBJS6uzXXCPO mvig9jcypwUYV06AdumcJlRFXMVXSFLWeTp2PwLDboRCSA9sttAoNJIqe6t90fDU2AuTgBksp9Ks /TTrSwzr78xt9qrAxQEEx5qf+SkBcL/Q+ZzWAoeq+6WsDSJO+iA/UWtjiY5Hnu/ZzHm7Wuq8x1QO S56KEMZ49RFoNH+cJChBNuqfwIBq2OO7LdTvv1bU8kKqga/BzLaLqeWYjdyhk1Q0L1EodbdLkBLB n9iiDnuFk3KcfbCaScTrPaQBEgU9mcPgWyeDhLrod2dYOwbOyLU6Rf445EcvDc2I657Evo51m/dz 1YdWV9njsUL8JAeU3MUUveh8Ub2Wc0jNJC2c+fji5LpPutBl4bcyuaeqOVld+L9xEv+slqlnjKCy +Sxz3yp5+slUmogHT7rPbHpBHHorD0sp/WpZafTeBdmUH2jBaWf4xnMxKJTB7YH81hMHEtcbtOUs cWuSMnBeGAFkUEsAr8A05o9rsVkKbnXGY7EIc5cMSk4PGoOaiEecVNRIqA9NkoOYQ5lA0t+wPj6e resMszVttG2zL5bDNSFOZ2VMTniL/uUwB8n8xh9DZaVF98Y27UTbTaUkFQgPdepXLpfwqUEb9wQ2 BAx69L2hoxUvuUgzTnEEqNcp5sdaWtXlCAzwjLfsm9IDBH6Ev5SSJb43y9hseQ5PJ6a22LsKUpkU mi3oGevMNm0jreW1Sh7miYZEheaX+hRb4OHYu3Gj7/St5Pc/f0Y0swh8A1Gt2TUKKnaMtUCYu/uZ 9CWclb9Z61dAxUvAqEoBcJpQ4+KipuOgmNGsV2SzMsvi33Va4eB88LkEIgXbjtMfpBkMy2x+q9SU NJ3e7kO+2lmBudtxm+J20fcjL/3Qt9rtsSnNenH1NqjwIguQucpBikvwJB1EjH5gAK4lOFqU38hG 8FqMKOsDD7QJ0F9MoZgTkOAVk/mZyvU4GJdmhdWGKVWEepoahPRcmrkTSbjtdVE7TVqyZr2B6Pc/ 4djtPKpN8W1TxGBvPcH3NlOQQ1vayBototcgoCYfZ7Go3Clg9qZj2irQhQIXBc4UfcL4djoEfBf8 dF2XUImW9Zrh8Hga7OjQ1EFCllh3UqkUV7Ab8TQPUp3EzoB6qxpoBosRF9ff3WSRhQsImOuPWzxa bo5vY9m8JSjHuCF2G8jPBVqE7/IRUPTk3WLa0pPbjAwqfkgUgVH1EGF+NdeO6UrZD+VVmoPLI87D tBhp62buEseTspTQBjTAAHJJNJdwBaoumgeCtpWpJqK6N6iZg5BrInCMtTC108w2CKItt8wGzz8I 1AfLphyy251lQ1TqlKPG1q6+iTyBcCsb8IIFMp8+d1H+Tt350bw7EQHjgTitUUJimNYnPdAb6sTb GZBY1vYXm74ty26JUMgXuv+SGZ89TwkiOMvXmp+lVN/XaGgmFO6V8yhTyoe8d+kQawSKQzPxYpXT dKXQr0svqIjB8rvOUxThKNOzpTIPKunM5VnNl90fM2k7vZVH5Dia/dC6ynraR/MmkhIHCd5lNRgl lETk+5YmWTuV/cxzGU+RNH241OVbsXO1whRG8NvXrGReERVGN0ivW8MYSx4rKRaHSA+LfnVHFpbv 5KjOD63143Ikr62qPo1DWfiz88rmUm1fI+BFomsAzWR/KfptVNW7nPJC2a42KSw1jiuim5D9gnVR EmeYefH0MvSNo9cfJmh9rty3/tR21QjSRbN3qSovN3iRLPYx2wz7KYux56EO0Rk6K5hirW4ZgS2+ /mSq2u5PWSsZbLcNqr3+sBlIr8hUrtgHs+xNArkGriXc3HlZ6GOXfjytBCB65/2GJKsvKJjoNzGH zDlYej4FsKFX4JsXcM8NwmQy8PkUZmryudJ9g6ldnZtQafL8HFN/kqErJ0e8txq8ja5WzzlcI/NL DZ8eduSMovREToSzZj/sgvk6JUpZZPl2x3MymhiE88vhge9VLcvlRi09Jzi6l6J4V76PrtWOiLJH NtmEKxmbcVPUNvn8vQY7k+6lUZaxYadZlB6SN4/wpFlYhhhsiXIxBD0OF19om/w6ffg+Zll4C7bm X1j7cqINm9jaXNihLnMM4/JaNxUQa8iReb9r7G92hq3X404wWWvpZEqW6vegwiyZ24Qqm7xvzvpE IOuXB1Kjsddglejr0yVnsPk5JxM0tcwHgHOUsF9ELxcuWY7x/N1mKzymCGzEhpBgIeHuh+WrB+Op vp8zYMm+So/pGcx+lUP1McB4as3SkJR/jef3k7FsIJtzetr4MOXe8uw6A028N37q+cs4Dp5wZaZE cn+AKt2Voa1BvGc5gnPQmXHqi/ULU/uUdLepSQl3JBlr3MKdkDhJg6iu/9IRXEppkpsuRCiqCFex w75VFp/VN05cDGXf5Rswl//S2JK67UVT/yn15PfawM51ZPq+gTAQTNAt5rJluBiFiq71G9IU8hk7 FXR29m88yHfSm7NoFqsRMJcSY5jLHdY4pOoe0rQwWA10WrFMXWaKLlRERg9j5Aa/F/Rwd8j2idX1 lqvURaEL4AYz1DYoBMqvwiXQyTiPXWTME2hsiVoEEuvb8QtkK57Q7ZDDHV35OxBc9fDaIEYL5YUb yrjrJ5eSpxG9PK0OqflzVY+y9YxzIyPn7wjJsMFzwf2KG8XCZ5ZxvmLVrRdOz06xw8ukLhNamnFL 2rfdeVfCII1VgQW691S+fRqZdhNSwicdkzaz7bZyJOZlVlAxkrApd5URiz5tSFAjkWKjhT7tzHFy /i1Ef3poxi4LvM75rN/dHJeK55lB/+loOsJspBGxb5yMgqz6Fs7rN6KCqzSioZyRcNOV+ZrnQYXa IK9qo0PcBB53tP5I9yQeM2GZkbhK+s3Rh5A3uIonD1iSVC3ZVflvmk/uz7uFdyVfhb9AW2Uv1+eW gdm9Vus1lHH3DUDWKa38hD2kos5zBTF9OJ+EPIReMaL6tbPlyJ01aVJIyOg75CQYXN5UnZjIX7Yg gDyP3ocKWjV78pUVVWS1YbAQNfpyYIBb5EYNz8uOns/N5Gf3MJpDvAosYplmIN4J4i6BMfgBDvHo h5pfg0OcP+Gs94mGk/OEpFdQcTeox2/b3nNGTnNAdU/4NwSzzEV0ufjg0nvQ0roZ+v8tEkcdSh54 BONlgOy3KGt86/SqY1eus0wZOkKYkkAUyaQc3PRkCQcXlelehtvN5NNL6TJFrd6tgu4BDUvVVRYQ vBWcOl+gduDfXPwguR/XxP1psM5NRSRx0yMf84m2omde6wJ7Xs+ENB/efPb0WmlFJSTVvXRvy5gP fuPdGcktDrTVJNBavjnAT3/sgQvDn3atW0UyK9x2PibPfNdxRPNIJr+CgPm+JjG2iDpQ5fhUkPaI C0eJyhKeWxauPCtZ06TlU4B0vmAITdTHJgqY9Gyd8QzKZISLVUbM1kNzmUcaYVhwSZ6uOvhWW4Wm OooecZ0Hz4TxarM733MEZ7VpkAw4Hnoi2zSFotVKPgiypnabeMRVNYMiPQg79lh4Do4I2Iyw8Iiz iERplZEIGwR6qX6LxZJPmLUoWk1A7LcQoQeLhYQn+3o42rzvLuVMU9B1bp/cZbT3izXVxctHr32i KIZ671SIlgTNb2V0GfuzB8zt6QX0tHA74sa+KKJTSZKWNfXV/UGwnVZNjU3L9yhkewz7ZQKF4Kc9 Q/GcUj1PPWSid7WiSg93N0J/67uDZh7avuCK1/K973i1KCuBw8z7orhSosH8rW39GQuVLKI6jx/G xWDMadmF3lSuQegCBv1dPvVmi0jnqQ1+GQiOXdrvHhA4mZBEpZZM8vCOUXZQzmTUQLvhBVYgOCQD jGyoDbdKPwxyWgeiEAhm3v3+Y8QS3JDkIvkRdXIBfjKeL8cY8+Gpufbv0XPLPXPEv4EUIMWRZFSS 37U9JTfBo6KcAGnlh+q10fn+d4Qg+P8q2z6d6WIsXnpZ2m1vXKdWD9zihAFpMQEeZTFhCoagExHq Yrts9wSNuJLbktitT1X3MisaCvvhkfYGupAYuPDK03uxoQn3pp+Z3OtK5/miSCbBPuEll3I77vPR SbF/hSFsChwBlyRUMvp9TrdYOcIhtOGxdzOwT6nSUs8Quw4SgeXuTmtCamv9u6ydM9NQB92J6B5W 4mwEGtHBRYSeoAqgxcT0xd/44SCEtGkROGdZLReOOf/CZuWCw4aDPnU6euqC4+eI4zHuUwHBi+3a P8CX37jBGQdz9THNKHprBUuDjNHK80V9EEHgNVXGVZlBlg4B2QOqYdMd2TC98EZBfikL1pTcjb4M G1rrv3JnuKZEklF3C9Ipw240YHT1+nQbg1AeYBYjjmpcAUcyKAXAlsG4aOcVcdg0UykMIuaxO61O tBkFIRT7CKFAKU7mv39s+mSlp8q+5WtcAZ0vffd8G1sEEZgTzP6l02/MI+XDqo1oGK6h/w3kLM2F vLjglGLgQmA4RRHHaActfuJ/9mBA95uc21TkJsJVDOgO5EU/r7VvbJeF9UtnHvKzN7nwArkJj0Yc yWRploi94MdnLJnChcB1OHJ/ic+g1Pf9vA6Caq1zlck/DkfYlHmk0ndzBr03xEySiPedgNMkqbhv PMEkW5rFss7wNRgLP2s6K/BKT8/Z/kdzh3RAEVPnmgr9QDuwdYn7t7qcCvnx2rrr/+MSCRUxh8GM Cv/fJF1bsx61fAdxEBzn6g6kWrp8aR2pmMPm3ufFxMNTsnwM3u8lGmHsH5omKORRC4YkAeyxsrsx 0/I60e5eP2mGWOj9i+ymvzCRRhHOLDMMlOgWr+a3dKVICC9lHmI+eWdk1NGqBcuxmo/ZIty7MyxQ UmzK9+sDUOgCRMawpLKEzNLDVjpLw5qjiA2TmPCyS0Zec+0kglS5D30ySW6XrOfgAoH/z+LNU5rt nzQcPAIFdcmzsz8btXKoQvw789wZtdWSlnondgJpFTxPxeSXJ5kq4nlKSI4ll5jN42KuXPK+oej5 l4mXQ6cwmaHo9VpPiBTPVmUtxCZkJySf7yUw1vN7l4BHFylV2aMls7LHeSKmojirJ+vbhgv6aiEM H+A7RqmM3t6nDT6CuV9M/8bVU+baQEU8JECVU3D3+6m+WzIZUdUp3X4cKCc20zcmclhu0robKiDj wJ3Hkj+tf1UCojDhGvU7iLMY8oP7WVme7cfeFRUHJtpqb/7M7Zy8BaOhB6ZwH1+ao5yqqAhA7VZI +QjNP0gnJ9Z1vgVV6d/kd7n4XEndvPlJ33z9tOQvlgAWfh7gjLrklxNsHqGhsy2XnFP+fTr2xybz 7TZvOyvnMQinItcfw/zsKBvIi8NG7r2VcLP9jQI7IbP04J92L97PUhW4sF/jeGaeIKnNLVcNWIwd gJZF/C1Q/PYuu88WLEyO3tdVTiZ98UIgErx3ZaZWcwbMKTOWy17XQ2bfA4Q8ngfBIm6n8vogHeal rspF4BOmif0RdmfeZtfv4mZmEMK1LuAh86dUXvTe3tIBpq1W+CqMT1qHweVmaS/or0ac4ySxZxhs j83h4eifpv9uea7vq+ndlF9Am7URSyf47LHPdFn/Bl5wGs8pCM8cEPFQqiVGlkG9CI973JQiqIYY X5pFeaHqj6SKAYGDDN1ihYNwT8sVhxC5NtyLACkBqoSjCXHPegHdHQaWuH0VYKhJuNwMU/feB9yj MZ53bfl585H8DAP0vL7sFS/pG9y4QstsLTYhpNUESjYwJxpJITd6lFa7mA0RGIFpDRUIvE9r6CwC hlkpmgodFXiC1o7ZJf/8sHGipAXVjiWPWTNbB3jfsjvE749a04cUi028YnhaULKlvhMNxTDQ7a89 i4KrsZ11o+YmuBOZtpQxbqrPuanfuva8SpPrGOUcCvWA8GZW+w0NZydTbGcj6O8B6JsqEry6ukSC IapNXUhTYgVi56saBCfKBRD79DOHQMDOCOasKdFYi0y5xN1PQPaLSUqqBPlY6gFpyujoT7E0CE8b kwIA8rzeit9ptafV2UGmiS8og1iv/pw9l+DDq0f+lzIBfaX7ki74uynDOzMT0xwklXotiYOYXERY +Iy+n+Rw0Rd1nZ7/gbCRELlqJrVRbELdvwfHe38HVRn2S+MqaqRIShg8qnL7wXct8Kh3OLjN5I2d Vw00YPR1B/j/T/yep6xYGtgf8uBWgXF8xiy4+s2KqJjj0OMjzE4nDaI5hmcocuNRoird25wrgM3K VjKzgykaOYR6gSmKCaLxIJfYg+ntUZv5LA/9hKnd2sImtyqxalMev9hB89uG7krrr2WICoXh12Rd DCg8cGq0yaJcUdzvt2gXZO+33glXHga5vABqPS5PQVTrZtkBhWEZlScBPjMr+kzPI07suSJLuVZ+ KIYGmYULgWnvf2ZxoIadbqQw+7oZYCW3lmIMFrulSocCAlpXG5B5pgylCdoAvLZR5QDJNyq9uEdS JlxMfj4wErA01/irVChiFLA+mK3J+53JDU1NDzcmZFM25OSGBQlkjYDp4QJmc+6aM2sQe0gUCvtW WEwUQ0imOpdcc1534aCCXAe/sXNePeuI2n2JKu6dwC+T8ROkHm3+3EqF6oh/ErkAmBjI5r8sXnRO EXZkx1pRDARWRNQCAFn0QyacLsaVrMOW2fXoONiIC0FrTFNfk91YHDgnx0fZCy9WxG9p8ZyRXuFZ CITKj98hk7WxwFa1W4isj31ygAk3NXBHvCKz2qBssjrdcXw/Tv5lyogpLzBHsn3RwtawQfHBGmyN HIggGU+NDap4mxbx2ZDMzeyxnaYsK5Rh6cZyMQUEh6K7u6S4LJl969ko+vhST3XFPZZ6E0KyA2dw 9aWhGLqYrQY7t+h1iCcJWCfM57CHAEJ6nyFostgL5VpxjwpsM0ESQ9JI4MWG9uFL9AIi8jRGMwJ7 0rUE3zziisTqHlve8VG2t+u0TNB3ggqS8rGZD6vA24Yb/9SIMQZhy9TeqaEi/J296NT1kapJXzEa ohs3QRRbCJvpAyH0T+YvC+AkN7vQiNNvSoBVAOOo44ivXlDTF0KfmXq3pIUt9Cq2xZ+BcS76RGEN omrHBM2ICQESElNCkuOvsuxr1n7hro+hRWcJtFa9iqQ7U8x7gIw/aR2DvtTIBZC1BGYnO9wUM/SA zQjK4Ymmo+7Ne33cNfwhLslZ5OiLk+Xvu8Oc31h/6J1lpx2vRo+HMkW23MdWUL/CLcaKKyg7vhw0 hIO0lArF2r8YoO+BlNE8SLQD+NirRRHKGgKuFrD9wm2qCv8dcP40yG1bcBJJEcaKQ2f2kTljS6cn Hw1TzyZ3QQmJGmfMAqNoye4oYxheUP9Dca8hlCiX1J27KTEzRMqN2Hu0AYv9c5UkjrFnlWzWhUVH 28FZLYwE+825GLs3ckfgZ5mq8z7VtBurWxGFMf9ccvQK3m2D+EJ2wTTWldpwuqpRU6AZyXQxr5xF Ibm/R2NH61SLk+toDqObMGRytZ51o96wufGt6D8rE8R2EB7jDEsgE5TMTd7showSqZisR5X6AsaY B8hEibCWc+6EvlFpfcbXQLkMy+IUZoflwZ5PwfsjIowxDCa3KYFIEOsYwn2Mb2vixmRKWXdaB1Ri AQLelLw6D1BJK/QSyTProTtfIWmuaEPnwiR/pw1i/elRCyvo9qVPBKSe/cmre8CdD6keuHX0OWhx u5TSGLQGZsttr0luj3l32RPn57IZysLeOEfaOwE8eJxJ83vXzmpfuUkOFXnj3Og2D/BaqXGeA01I coaNlbUPRV9EyRJ2E8rCUzLVRCWZZd2NI8Lb378E2V4mGXtgg9EqGcVKu2Cm8/EYKXnaOvsA+CDs +sfAUUpVNYlkbtbZo8fU2TiBXV21HLOnknOV/7h4ge3i2de8CBuHeNF61NUavmcq4t8EicUL2Y7R Uda5xphUHSzNr2Q7Mc5J0ABO3otbccvB7+f5xKZmP3WoXsGjTxc5ilKC2uOKrICcYBBNdXRKNi4x kgBUftiTneYQrorBB31UFwfspwT4e9FH2kxC/j0kek4SUVdT+d6O3L8xtyeK07niIMp07QxqoVWH /pImOBHDN4cpv/sdLIw8u607jXcF2bE2fyE1fPEjB7WfaBOZTdXJnyAoXKbp6taAyH9W1I2Ml1Cf ucnPE7GEDgnxu3/SAU/sHe8p3YJ6OXRHKuEe1podBclY/pz4ii3A/etNKyBBUYhOmSgCyrnfd9ru iU4JIfWZZRlUtqqNUhVSz7XsehrFix2AhHhyg2ymR6QcWMMRAuQ+UygxgmMUw2AZKIz6xpWYouuW nZaxkFNw3TF91xUdYcAMpd8egUhcs7iaaeJQ+8CPDifmQGowbwbU8iHhGD3yahwLmtbRPkPcJ0gk 1ayFsIesqg31bEcz0kuxNvOwzOJk+kDKkZOR6Hx1VzURUUfare8jKoz/TPqdJ+coGtCW2qXMydLf FpESdVSHJJYlgwQNGYunx+vpSPOaJYX/TwNxsX28X5MOpjR3p9jmhz3AkKDGR8MP0KCqAX6B74u6 6XFq7/KdCSSthrHW7+KloWm+NYaC09JLjwkQUyzgtwWnwQhx8bOEQH6fkftAjgzFNSz90q0hJEYj +tOJfG61e6NGM9ZUu4HjvbHGU8IKDedYNuo24iXvjZEZbuCasdZamd9TepiDaA+jybanpwzpOyOZ Qnv7anY2LCJshwTQyhUnPei4V92tHWWVTBD0xGaC+NVorS7WyslT++tVH/mbb/VNxG/GYJsRsCxO gT32zXPQv3bGcA7+MjPPAJEnaqu0c7sBPOoa/ryhpD/Z+XY/0JEMbgE7bJ9gOKxvWWFCwH5JLAN0 6KR1t3oXsiT0obrHtaDa6vJ6gv/Hyk9COF0fArw5xSooROoZJTMem17Am11tkOi6M6BkVULEXYo4 gWdYqM5ddss+h3oaENKO8LMzmPP+ZhXS8et4gNbEMMXyqHfI+bueJVzZdz4Wq1K23JBrvBGsrxYw 4YXRS9ciV6vW4r1M9F+bWqXcixFlsi4oTgiTB159+3m0Z4Lg+yQu+9PRoVHYEOfRNLyYzvfIqXa1 JIIueXsj6ow3ZzQA3ofttlEYUnc4MNGPnk5LYIcOUy4JheqhD4QhaInBebOhEabfBqXXFTP5pGmp hMG+kWXE9q9kSWP4NTAu9SC9g2GU2HLe8JjKE6JzDxO4IGelBOZIfNrNKqFOn0aCHfo3bZh2TMx8 tpw7q8h6IVSHiEM4+8InCBF3RKBZ/XoiY0o1Sq4TPntTeRD9jeZh9FONa3GEA7ZtaNpBjhHdBSQT 8m4X7ybHdHfkCRh7RgG8f/6BpQevCDiYLSNpTildDJSitYiUT9rlbf7gxR+MW1QTOXu3DSmAmcWx iVYKzb9LbLr9jQCV9G701YObfCAo7YiqVXiamp/i10q/vtNe03F9sj7Gca2ko/n+82/EPMAlX6NM y8LBoFxWWJoQFqNF9zMqhsAU/hyXJMLqleOWInJ48ZmXtDnEW3JkZt4DTWBE+kXwvMZFlG1B13ml d17fqG1/oZUXbpVq17OedrJVsydkQ91tQJnUvhkSb+HoXpHXuIO5i4C23t79ZxxtgMZZJ6/Vz2yD yx3jErYWCJ0yXy2D/2oEjmG5i3HTDIQJh+cnTD98fNZoNYRzerriehHnZCsStpYjdfBWBU2PrWvM dIkRRdquqxktruoPvlDItT/NeHiNgl2u3E2RA1WQG/5Hqae7sjcDWQ9yIJB4OfzBfnQ0k6Ga5ip+ VZkOi/hX/H+Z3C/SeRNHrQfOW7Ukxn4gmMg/pmEvl36GnsjHWwyveXPfBGTSRFU+VpE0D509ajZ1 ir/TLRurDBqWeGyGxwgTpdFH3Mgmq35TB70oGwRq+NkL1on5gpcRVbsBMV2ShXMFN4AXkCCERUDp K5hnOnm2QSd9CR4JPeRpLYcjOFBRz2sftbJeOXHY71dDBlskApP8OyES6cwfazohzdO6iAv7qZbp a93wA8Lmwyjk8A+kdbkJZY59eH9SqFOQPXU2wWJ+pJU6sBQFI21iQaKEMpViEOAH62k2JEfTBzbF TUQ4FL8fH4ehK+VifJHidWv5IW4ebnYIi34x1MfsyzzBlDJOTVjL4u4zsdGVZjsMzBHDEehlWggv pCzrXVkZP2te46u7gryahxNH2eZbTLnA7Zcvdxa5hfSWw2d7GTwsG2/wB1kTU8NYpOh8A+tr6jgN AN2PjmOkRRZXcZ/JprTRCMnYQ/c78Ksmp7v7IHslMq+Cerwk1i1ldPQHhvhx1UlxqrSdwoi/wB29 DJnOfyw7jJV4TcCBZ+rSXh4dDSrf4J+MpreAIgDF7saELQtRsny64bgEjuBsiH6NvLzG1rFKqpxm DUNoe2RXZ5P6w5hxoSFt3LVMNJK71MOyGJWPy1J+BNQJWcHm/gVmSCTH/X+Yq7JV4Gl84HQdJksB Ln//IoOYmHWs6sPwJqDTQbEDsp5uwb52aU6CTp6AWQbXqJ/riFH8HHnMN/3ZApGBgQijKPsnAsYY dx+E6ToA8Sdx3P6OntOsiHYha5OAB84oZPnMab9S3v9Pb5saKdXW/rSZZc9+ORX2f8ct841vytI1 mtvJxtP+NNGYEIEB2vO7ngkz9RheoSv29c7IEowffIexnsu9LzWdRzTP8xFYbKwylJG8+IuPhtUg nqpqOsKHz6shAw6K+o/DPQXwvKnqeyVH8b8wPCPw8SLQkRmNEaAerCOaqDtMBSIZXPhanPO6qj2r F97Sp63EF8QMgJmSFqaHsaGooKuL/RsmjtGmayUvWcCaCLwPpC+x97GDPt96wTNyxlhcpfICGQEL V5ahXTdK+P4WOD3UPidBFvc1IImqoaJDaCbm6skHmbyn197gocDtaj3Y7j6LIKrizB1K6pBzDj5K 9TZoipOBkgbNjlx2czePyBS/hxqhsh1Q1f0gflFNMk375jSCCOayYwzEbaUhOzH2qIBjfKCafW7j 2k1HtnXbiKDlqNVqtdBOrt267LkJqM6HKns3Z+r74Arp5K149rjPgU64FAvsbq9Mlrwyr0A1BZjI ROi13AgGD4IkkrUBLSpuPoZJpbvAKA+m5nP6R06m18+YjT+p2T99bTV/WtdazT/bH5KWQiBq3W4Q feaSg049IJF1UVUK9WKjxFVV4IliAqb9EUJp1Yn6y3OXSWY9SkcpfGyfM3s6AHXUdDSAap8ZUoS1 yvCDlwO7REpu19csMWsdsuDyTFHAK/7a+0vNexiuLnkVnKq3S5fZnoXP4JyC1kCzOpexzetkCR2D VoqvxlHl+Qa8e4voEWtLfJskIBnqui+apseq1PA7YMKTrWh7QjKoTCcGfJ1bIgeS7t6lllrU8/ZO Fli2jaLSKvQKis7b3xY/WFhLyxKYPYueUGHwjwxdVyZ8f1o/GgESskJZYY9dx7q1DM7l68q8lGQs WFUwylZzMPeY8zt+NwMvfMuBWkm8ChWPa4neDs4vtH6E7FN82KSQllm3mZ45ytVwec4VkI50Mf6e A5ssGNwwwwvBa/yECf2IFtPDlqcdF533phcNiy/4k5xFMgyoKEJvhcmdaOCGKIt5+ygItyevX9UZ 8M4nidKSPmVSyp2Minx48g2S35tK48qTk4qj1eWiTmp3P4F74jwzJ3R/K9Rz7+3C2S5NYb3HclWx O4fMx3YfixCOXJrK1LD+zw3p0bVFQaAx7RXPhwR3JAfctlKToHyjvfyJhD8VUBRooIYg6F44gniG Sm4u7Sx+9aBVGHMDE2qQqf5ha/Y4e9dsULfYKL4uhHKKtj6DPrHkV20bn+819nkEpNpDqFshskdo TXQMnf8GEQcUZt5PuDP5g5i3RrgC1ZwNOI5xDKXxLs0mq9JM51bJQsbcZSPDweR9Gxb/dRE03LBx uP8oE9blz+BghorkwkN4GTXWCnUPNJRlN5/Yo5EiVjH8i6nQBOjP4zFM1fE4JOtJMIyTJh0f0CAn WjLgekqkSYGwT8ilfrlH0oLe0ISjbDkfWDofQkCndsWNjWDEaLt/I3mfI94dZhKyc+HVfeFSLRg4 mWO7I0WDlXK7Aw44cHTcp2wInIDxZtNJ3FQZ4nSsC/sBDvyUgbZMgEBp2JUUcMoViWBfxRlqgHs8 pq3uI6b0Gkw+QDuErSoZ97FQ0hVqEaurFNH9QCAkNq9lvx5tSb+vwVMIKT//0MmNnWl78FFdYSd4 VcmNxMUKs5aR0voXemf4/AqWxkdCMV452iomy0gpmAkstUF9WY8pAtcgkhMLWAo5l2W2N6a7+QUf q86vd6ZnwuaitgBzRg/JmaJEAeEyhC+nJJGeaDJKyw14KRZ3ilcwgKlYeiwagVXg8UQbip9jzoFH JD3JJGPAjdxFEHsjJ0d4uczjMoN8eCAw22VTWWNVT+DKoVUYudSD7OwD8OH5lvn6GG6Epm4kA7oL DGPOTtJJmXtffmTysmN9Tj6EYsWaESL+6lmadmiP+Y+mDZFId6ZjtD+RBQYAopNcHXMuWo4UiBbj V+oKMcgFNGH5ogYtAJmwsGAOhO+vqE3XGN1FacSREf4xms9UwAMglhXWJslLrbNYG/mDz2WGqnhk OzvTJTmfd4sluexTWZkl/WPXjZ9xBdYTbtJJWNVRddKUQaL9hrETOK5GkovXytQGDSmBIlOWfT6S 3tXOYnEiaejHVwy50V/HeUJPZxvS8OQIdfrhY1/syljutybO68icl0NGRuLuUJ2/QmruNRtbYroo Q/KIlpI0BdrmB11nIlTL0s59qp9tvb8oUcwt3fmvuu8MdunRSKJSyiFhZWrBSExCoLs1ok7W+vgR 0PXKXO3MElgpMFBlKiGnZBQ11nm/KmmEPrExcS9yx8dr3IBsLMU24Ygm5LCHxeYekimJEwFwoSal Ja93z6SJVBmX2ROD7IsEnOobK/u/Yz70Ulm3BPf3duO+Nx3f+BmC375tggAQIETlSGkxXH0ZNDKw omye3EIKi68Qs0Gh2upwcJKH6lWvczXTrxhhwM6lOH4CcKFbbFncg7vA6V03bi/E9lF38B+kyc1w z7eYIWKPXTYFWuWBb0paavYTRz4QFD5zNh2gQYlUS1MICQTtW0RHVlCo5STmY0YjkR9cXd/FMxGr EgIAqxSxUauBuSsVB5C1CxyNoBT6hiExg458Uof132ec7BP34TiBPPWggzwS/h6KL+1/50B2240p hTcI8AkuuQIywFd//mnKmCD2ZhVA8TKAKo2Anir6Lnd03mBhX7Is4d4fFokTgiBa+HC/0L3/wTlM TgsndjUBv635FWgFznRZTCHw3/CSBWeHWL2531FhWM3vfNW7HXf+OX22OfIg9rdwkNmVvaVL+p4a pUDG5woou6mmIMiPEUQp2/zYOmlqdXAeIO8v/Jv2YkLtZ7+7ZJBJ/Fp/XqAQAA1s5m4Mx16yjbAs SPX1LXE5FPPYR8FNDLHHK7gOTvg52xsGU7SdxeV0VJZRm7FSo5k3ulwMfE2JE4KWW1IuEW276dCx +H9Wsj6INMDVak/t5D8mRetQlMpqYyfOynaJcBm1CO+Kzte5fJN5JLwpWtJGdzIqB29OiZl+wEr8 XVhf/yWni88PAkkLeH0O29HXNQl/vXHmsy9C5xzjSuu5iNLhb8nohKt0BPhopwO6WSf/d2E8ClM0 Ua7cHDHs1Mr62xtufQ+/lCNVYD9yttWFAHiS4cwyPXfGoI/2Uucz2U/dAwLsJDiAEV/QQYvsIdVL 2Ziz+OstTua0qfJbRt962HFOUEjNi++vkeGBI3/ug/UPrDUC9jI2VOlcVXxeMHiCqT8Kk0VdNVrF 2FHy5u/GyNbrlRk0kpvArkYYa6aeZB7KGxmmfwA1TqvRhDD4YtNbhmCVKzvMNhYjEf+C2FP9ono6 TldFbNJF4JNPZcaUqikBruSwY2djLHSYN0/bG2r9NEX8jm+bziIgTTUtzkEo/Pagbe/5RwFMArWy GO9atVBR3pWaqSyfubaKY1JnJ00x140SXHPhQWnb6Oo/gIAXdzxlvODaZhpLdYdf9Ce6epz4Cam3 CzMsH+zBss+ftqqJXD01euOjredDCA7AWjrVOV00nhGIuJJzwDR6wi7uykl0X+jYltOvSaq/qR4Y H7CSIDeBtqWCh7SVBmjiV/xGq20q6oyFe8psx+xHU0CO1N0DNtnzAG4q1HRP9+kbuTu6BTo5Uh4B JxjmXbeRLZwu4M9dgnQHNtOtpmUfncCgjaYnyDNvX1txR6ChHGxofGmbCnsPnfDfyiZlLWuaEw8V iVsVwSXzo1G1Qvl3YBI5CaQ6x2psT1/YYHubeZN9N/G6waQ6K+AH3s9pLHzjbwbY3lSp1poEB4tz Am0UOGs5qMqiJcJmpfKPYwH4SoIyIx6SV4UKOHqP79Ux9GzGSZdJsCgm+wRythDEmDDCNjXc6mrG UJSSwHFiuxEGxiul5jcbPHYZTFzjTWHjDDdRhE8N++BzeOPDm+Z0YXJNyPwMq0olQTUQl/Z+PGqQ g7VsPmfXEjGqHcYBxPn7ZW3F/3S0UgNt9Lotz132oWaNBvNYi69igd6NU45qbVQiMgS3I6u8pt5d uLwAAAy4wkVaM1nasVB3pNlGcVs6xwsXfSVpBllpSHT8KtfgC7YE21lnTIokf6N3Kq4Zz7GqCBss 9J8gCrpV5apC1BWb4ApLrj/wBIDlJqFRH82salblo4awOpwTiwogZu1CNqWGhOqP4iP15D6Km8+E Mw/e/aZS2MLvVN+wGSAzCNszfNERHzkqzM/4C5IEujhsbsDGeJCPKgmAGbo1+ZPPxtZNZ1SUDqGE ZhNm/aIp0iURhD4NJpfs8kMXbmA8TwhYMr2yV63431HxZQW7tGv2/VYkzNwsWNuDnOcfGu8GN1TC LADHWrbsR+aU5AYXuhR2As05yuueXsRFM/jbsXvskZVc8yQFv4HwvzXYA4mTrxskCydX08j/3mhQ RrjCV+injaBkBIOi2BIgymgHNyRk3+vNGVkAJQAj+yY6Lm9WVg1RapHu721ChWOcYINR09W9Z0jL 3gaHGFyZEilfTE6qxQbRUtC2YEq54agZsKCcX/+ZtB60KvchApepTIP/aQdeORJo8FzBARlUAVal oMgEjOM6UcZ3INYQfWKG0nISuNo+n3Jnde7hoJR6BVzR5uI6kjhBNRpwwzni40uSVE2eLeQXfVoF 65J4YJ3lyziIsH61QWmWu8lRWMvDWUHfsflXzCDbisvjMgano320OiRJXiU/C81nnmm/TTCgyZxo PJdI034ORe28xPUD8BS4omLjK1Notr0OQ/L1W3gCSkJ8se1Sjn/hZadOjaMJvSyGJYX7Y4fDuH3F ZilVF3j7O3HlmwRF55Iu94v/FXQLqhtWoy8YYVMOhdftLjRhoKiUTf07o1crNtUXr/dVTHzMDc93 Zom91hd7FvN7RRxSll9NJX8iLlW85mnULd2rz6GskFSYbv2Oor0v+zp88EtuFTBCp3lJagxwkXRZ 6wv0VO/9AWgK0ClhxPoTCDQohVMB9DmoKC2vARGG4xBYEEvY3Wlx8Z/dBjPbTdELUjWuIRaHQmxj Ouq2OOYPE0/xjbzT3z89ExqFopSYHGdKNPYa5a4YXrOGyjfId4UYvkv+YkvrnBu4kzQkAcIT0dS4 RErVNK20XfQKRS71zGLHu/PtCM8VI4KdtCuoOBZqWHcLPEHWeHRQYVsBFN2hrUmFdK/NZuqP5yzk nPBAYobEaJKQDVmgaAa7S/1ybrhNbJ28r6NZTnX67Tzqpl8mXCAsu6uqIUUMrBvpg0cUyEFZbsXO OUkWbYAjd7SGN5xIeSnWuSr6QctMP7yft1CgTEqldE3FSdoPTDarlbw8iSJbH27DkwsGvpoeyo3t cBfq1e2LYNUt6dBMfNuWzoZoc0YySeHA3auYX8R7tjxiVUFXh9NLi4UdfKeRWkBnxaY2eayfe4kB XavXe8NtYd00BFL4oqm1t9Gbc3ZtV4xnC+FA2v38L8nAcFz5F8sosKPzgPdQKuqvjpPW32SjfcaU UcYZlsvIsnk81GAL/ZJTDnR2c0RorqjGygHFqVXuRwte1DVp4q6jyYn8iAuWT3gaVK4re7F4RHZD l7PX+hmMzSENcxpUEuN8iu919dxygwNb1Cg4/dUxPXrJwulzhkXrbsd22POKmk2JmPh3+BWh1bK3 LH+jTmERx99GtYql53lxRQWRqk+9LX1nWux8xn9DJyB/uk3UTa7xZLQSepysadpGfPlpFLxADo9W fEZJZ0hIbPLdbUs+PGwhaWAtC9cm8ppwL2LsT/9TerSDI6FnujeFUDAiYUW2omrqIUQ5Z744o+cl s1Ey1xoDOKzzSlDV5sZVeIRoFBi14N3o/lILcq7mOmsS/4t5j/NNariZUmasUazm2ip4pqqScFW9 Nc44vjARu8JDxyrcnJGw0KzOuFD8uSvlSzoYN9d/mqNJNgUBPvPmhh+CdTMROxqlph4IJWzgKJze EJ/nDMaNQ4dRO5RzmkrQdFuJt90iQLVRDipxaZYuNYtVtoDObkv0mXLgDDuiqxb3Ihb5q20EdUDm KT6lM4qCzIlmRcoJuUZa74mcZebP5MbO0ldo1i4dG7yCQhhK+Fd1zPsNANocDfnTEV5jeuLyzwJ9 wHQ70LJBG/Lr/RtKkxGE7d7A0hUwi8UVcxdlGXxGGxqUSKHb8hVfpVsNnEXxMfbU1lib9Q8T4bco wIXNuaFGW46HX6mgu5Ys8SBXM4sGj1KZUxdgXN3wsy/+1X+caLxb+4Jz+MdF6cf85+RPrE5l6Ztf W9zsekIcGKY3lWAkOJy+miRScx2ReYkeqprzsyTY0taK22zm9bpPN7CVvPA6M94SwudzcxojANlf UoBJsf0NWurku1r/4uqGIU8c5mo+UcuF5op+Fh7psEwBoMBexnJXCkSNlQ3F/m6insUQ2/2nvdQs k8zEsn9dscm8yGPenhzqDPlf/52/0lHp1enjSA6xtGnSsIdSnxrG3yDIpl4+ShEcdV1zHVydWeiE zlpTkHdzCOSMi2Dah/BOUD+sOzf74dCsJRqQv1lng0gknbyuwCw= `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity reg32_tb is end reg32_tb; architecture TB of reg32_tb is component reg32 port( D : in std_logic_vector(31 downto 0); clk : in std_logic; wr : in std_logic; clr : in std_logic; Q : out std_logic_vector(31 downto 0)); end component; signal D : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal wr : std_logic; signal clr : std_logic; signal Q : std_logic_vector(31 downto 0); signal sim_done : std_logic := '0'; begin -- TB UUT: entity work.reg32 port map( D => D, clk => clk, wr => wr, clr => clr, Q => Q); -- toggle clock clk <= not clk after 20 ns when sim_done = '0' else clk; process begin -- reset D <= x"1234ABCD"; wr <= '1'; clr <= '0'; wait until rising_edge(clk); assert(Q = std_logic_vector(to_unsigned(0, 32))) report "Clear failed" severity warning; -- load 1 D <= x"1234ABCD"; wr <= '1'; clr <= '1'; for i in 0 to 9 loop wait until rising_edge(clk); end loop; assert(Q = std_logic_vector(to_unsigned(305441741, 32))) report "Clear failed" severity warning; -- load 2 D <= x"ABCD1234"; wr <= '1'; clr <= '1'; for i in 0 to 2 loop wait until rising_edge(clk); end loop; assert(Q = std_logic_vector(to_unsigned(305441741, 32))) report "Clear failed" severity warning; clr <= '1'; report "SIMULATION FINISHED!"; sim_done <= '1'; end process; end TB;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file fr_cmplr_v6_3_54d148b4178eb862.vhd when simulating -- the core, fr_cmplr_v6_3_54d148b4178eb862. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY fr_cmplr_v6_3_54d148b4178eb862 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_chanid_incorrect : OUT STD_LOGIC ); END fr_cmplr_v6_3_54d148b4178eb862; ARCHITECTURE fr_cmplr_v6_3_54d148b4178eb862_a OF fr_cmplr_v6_3_54d148b4178eb862 IS -- synthesis translate_off COMPONENT wrapped_fr_cmplr_v6_3_54d148b4178eb862 PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_chanid_incorrect : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_fr_cmplr_v6_3_54d148b4178eb862 USE ENTITY XilinxCoreLib.fir_compiler_v6_3(behavioral) GENERIC MAP ( c_accum_op_path_widths => "42", c_accum_path_widths => "42", c_channel_pattern => "fixed", c_coef_file => "fr_cmplr_v6_3_54d148b4178eb862.mif", c_coef_file_lines => 18, c_coef_mem_packing => 0, c_coef_memtype => 2, c_coef_path_sign => "0", c_coef_path_src => "0", c_coef_path_widths => "16", c_coef_reload => 0, c_coef_width => 16, c_col_config => "1", c_col_mode => 1, c_col_pipe_len => 4, c_component_name => "fr_cmplr_v6_3_54d148b4178eb862", c_config_packet_size => 0, c_config_sync_mode => 0, c_config_tdata_width => 1, c_data_has_tlast => 0, c_data_mem_packing => 1, c_data_memtype => 1, c_data_path_sign => "0", c_data_path_src => "0", c_data_path_widths => "24", c_data_width => 24, c_datapath_memtype => 2, c_decim_rate => 2, c_ext_mult_cnfg => "none", c_filter_type => 1, c_filts_packed => 0, c_has_aclken => 1, c_has_aresetn => 0, c_has_config_channel => 0, c_input_rate => 1400000, c_interp_rate => 1, c_ipbuff_memtype => 2, c_latency => 18, c_m_data_has_tready => 0, c_m_data_has_tuser => 1, c_m_data_tdata_width => 32, c_m_data_tuser_width => 2, c_mem_arrangement => 1, c_num_channels => 4, c_num_filts => 1, c_num_madds => 1, c_num_reload_slots => 1, c_num_taps => 35, c_opbuff_memtype => 0, c_opt_madds => "none", c_optimization => 0, c_output_path_widths => "25", c_output_rate => 2800000, c_output_width => 25, c_oversampling_rate => 9, c_reload_tdata_width => 1, c_round_mode => 4, c_s_data_has_fifo => 0, c_s_data_has_tuser => 1, c_s_data_tdata_width => 24, c_s_data_tuser_width => 2, c_symmetry => 1, c_xdevicefamily => "virtex6", c_zero_packing_factor => 1 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fr_cmplr_v6_3_54d148b4178eb862 PORT MAP ( aclk => aclk, aclken => aclken, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tuser => s_axis_data_tuser, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tdata => m_axis_data_tdata, event_s_data_chanid_incorrect => event_s_data_chanid_incorrect ); -- synthesis translate_on END fr_cmplr_v6_3_54d148b4178eb862_a;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity explosion_sound is generic( ADDR_WIDTH: integer := 5 ); port( addr: in std_logic_vector(ADDR_WIDTH - 1 downto 0); data: out std_logic_vector(8 downto 0) ); end explosion_sound; architecture content of explosion_sound is type tune is array(0 to 2 ** ADDR_WIDTH - 1) of std_logic_vector(8 downto 0); constant TEST: tune := ( "001001001", "010001001", "001001001", "010001001", "001001010", "010001010", "001001010", "010001010", "001001011", "010001011", "001001011", "010001011", "001001100", "010001100", "001001100", "010001100", "001001101", "010001101", "001001101", "010001101", "001001110", "010001110", "001001110", "010001110", "001001111", "010001111", "001001111", "010001111", "001001111", "000000000", "000000000", "000000000" ); begin data <= TEST(conv_integer(addr)); end content;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity explosion_sound is generic( ADDR_WIDTH: integer := 5 ); port( addr: in std_logic_vector(ADDR_WIDTH - 1 downto 0); data: out std_logic_vector(8 downto 0) ); end explosion_sound; architecture content of explosion_sound is type tune is array(0 to 2 ** ADDR_WIDTH - 1) of std_logic_vector(8 downto 0); constant TEST: tune := ( "001001001", "010001001", "001001001", "010001001", "001001010", "010001010", "001001010", "010001010", "001001011", "010001011", "001001011", "010001011", "001001100", "010001100", "001001100", "010001100", "001001101", "010001101", "001001101", "010001101", "001001110", "010001110", "001001110", "010001110", "001001111", "010001111", "001001111", "010001111", "001001111", "000000000", "000000000", "000000000" ); begin data <= TEST(conv_integer(addr)); end content;
-- File: BitSlicingDemo.vhd -- Generated by MyHDL 0.10 -- Date: Wed Aug 29 14:28:06 2018 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_010.all; entity BitSlicingDemo is port ( MSB: in unsigned(4 downto 0); LSB: in unsigned(4 downto 0); Res: out signed (15 downto 0) ); end entity BitSlicingDemo; -- Demenstration Module for Bit Slicing in myHDL -- -- Inputs: -- MSB (5BitVec): Most Signficant Bit Index Must be > LSB, -- ex: if LSB==0 MSB must range between 1 and 15 -- LSB (5BitVec): Lest Signficant Bit Index Must be < MSB -- ex: if MSB==15 LSB must range beteen 0 and 15 -- -- Outputs: -- Res(16BitVec Signed): Result of the slicing operation from -- Refrance Vales (hard coded in module) -1749 (16BitVec Signed) -- architecture MyHDL of BitSlicingDemo is signal RefVal: signed (15 downto 0); begin RefVal <= to_signed(-1749, 16); Res <= signed(unsigned(RefVal(to_integer(MSB)-1 downto to_integer(LSB)))); end architecture MyHDL;
entity recsignal1 is end entity; architecture test of recsignal1 is type rec is record x, y : integer; end record; signal p, q : rec; begin p1: p <= q; end architecture;
-- This file is part of the ethernet_mac project. -- -- For the full copyright and license information, please read the -- LICENSE.md file that was distributed with this source code. -- MIIM register definitions library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.ethernet_types.all; use work.miim_types.all; package miim_registers is -- Register numbers constant CONTROL_REG : t_register_address := to_register_address(0); constant STATUS_REG : t_register_address := to_register_address(1); constant PHY_ID1_REG : t_register_address := to_register_address(2); constant PHY_ID2_REG : t_register_address := to_register_address(3); constant AUTONEG_ADVERTISEMENT_REG : t_register_address := to_register_address(4); constant AUTONEG_LP_BASEPAGEABILITY_REG : t_register_address := to_register_address(5); constant AUTONEG_EXPANSION_REG : t_register_address := to_register_address(6); constant AUTONEG_NEXTPAGETX_REG : t_register_address := to_register_address(7); constant AUTONEG_LP_NEXTPAGERECV_REG : t_register_address := to_register_address(8); constant MASTERSLAVE_CTRL_REG : t_register_address := to_register_address(9); constant MASTERSLAVE_STATUS_REG : t_register_address := to_register_address(10); constant PSE_CONTROL_REG : t_register_address := to_register_address(11); constant PSE_STATUS_REG : t_register_address := to_register_address(12); constant MMD_ACCESSCONTROL_REG : t_register_address := to_register_address(13); constant MMD_ACCESSADDRESSDATA_REG : t_register_address := to_register_address(14); constant EXTENDED_STATUS_REG : t_register_address := to_register_address(15); constant VENDOR_SPECIFIC_REG_BASE : t_register_address := to_register_address(16); -- Register contents of selected registers -- See Ethernet specification for the meaning of the fields type t_control_register is record reset : std_ulogic; loopback : std_ulogic; speed_10_100 : std_ulogic; speed_1000 : std_ulogic; auto_negotiation_enable : std_ulogic; power_down : std_ulogic; isolate : std_ulogic; restart_auto_negotiation : std_ulogic; duplex_mode : std_ulogic; enable_collision_test : std_ulogic; unidirectional_enable : std_ulogic; end record; type t_status_register is record can_100base_t4 : std_ulogic; can_100base_x_fd : std_ulogic; can_100base_x_hd : std_ulogic; can_10mbps_fd : std_ulogic; can_10mbps_hd : std_ulogic; can_100base_t2_fd : std_ulogic; can_100base_t2_hd : std_ulogic; extended_status : std_ulogic; undirectional_ability : std_ulogic; mf_preamble_suppression : std_ulogic; auto_negotiation_complete : std_ulogic; remote_fault : std_ulogic; auto_negotiation_ability : std_ulogic; link_status : std_ulogic; jabber_detect : std_ulogic; extended_capability : std_ulogic; end record; type t_auto_negotiation_advertisement_register_802_3 is record next_page : std_ulogic; remote_fault : std_ulogic; extended_next_page : std_ulogic; asymmetric_pause : std_ulogic; pause : std_ulogic; advertise_100base_t4 : std_ulogic; advertise_100base_tx_fd : std_ulogic; advertise_100base_tx_hd : std_ulogic; advertise_10base_t_fd : std_ulogic; advertise_10base_t_hd : std_ulogic; end record; type auto_negotiation_lp_base_page_ability_register_802_3_t is record next_page : std_ulogic; acknowledge : std_ulogic; remote_fault : std_ulogic; extended_next_page : std_ulogic; asymmetric_pause : std_ulogic; pause : std_ulogic; can_100base_t4 : std_ulogic; can_100base_tx_fd : std_ulogic; can_100base_tx_hd : std_ulogic; can_10base_t_fd : std_ulogic; can_10base_t_hd : std_ulogic; end record; type t_master_slave_control_register is record test_mode_bits : std_ulogic_vector(2 downto 0); master_slave_manual_config_enable : std_ulogic; master_slave_manual_config_value : std_ulogic; port_type_is_multiport : std_ulogic; advertise_1000base_t_fd : std_ulogic; advertise_1000base_t_hd : std_ulogic; end record; type t_master_slave_status_register is record master_slave_config_fault : std_ulogic; master_slave_config_resolution : std_ulogic; local_receiver_status : std_ulogic; remote_receiver_status : std_ulogic; lp_1000base_t_fd : std_ulogic; lp_1000base_t_hd : std_ulogic; idle_error_count : unsigned(7 downto 0); end record; constant AUTO_NEGOTATION_802_3_SELECTOR : std_ulogic_vector(4 downto 0) := "00001"; -- Selected conversion functions -- Register records to 16-bit data values function control_register_to_data(reg : in t_control_register) return t_data; function auto_negotiation_advertisement_register_802_3_to_data(reg : in t_auto_negotiation_advertisement_register_802_3) return t_data; function master_slave_control_register_to_data(reg : in t_master_slave_control_register) return t_data; -- 16-bit data values to registers function data_to_status_register(data : in t_data) return t_status_register; function data_to_master_slave_status_register(data : in t_data) return t_master_slave_status_register; function data_to_auto_negotiation_lp_base_page_ability_register(data : in t_data) return auto_negotiation_lp_base_page_ability_register_802_3_t; end package; package body miim_registers is function control_register_to_data(reg : in t_control_register) return t_data is variable data : t_data; begin data := ( 15 => reg.reset, 14 => reg.loopback, 13 => reg.speed_10_100, 12 => reg.auto_negotiation_enable, 11 => reg.power_down, 10 => reg.isolate, 9 => reg.restart_auto_negotiation, 8 => reg.duplex_mode, 7 => reg.enable_collision_test, 6 => reg.speed_1000, 5 => reg.unidirectional_enable, others => '0' ); return data; end function; function auto_negotiation_advertisement_register_802_3_to_data(reg : in t_auto_negotiation_advertisement_register_802_3) return t_data is variable data : t_data; begin data := ( 15 => reg.next_page, 13 => reg.remote_fault, 12 => reg.extended_next_page, 11 => reg.asymmetric_pause, 10 => reg.pause, 9 => reg.advertise_100base_t4, 8 => reg.advertise_100base_tx_fd, 7 => reg.advertise_100base_tx_hd, 6 => reg.advertise_10base_t_fd, 5 => reg.advertise_10base_t_hd, others => '0' ); data(4 downto 0) := AUTO_NEGOTATION_802_3_SELECTOR; return data; end function; function master_slave_control_register_to_data(reg : in t_master_slave_control_register) return t_data is variable data : t_data; begin data := ( 12 => reg.master_slave_manual_config_enable, 11 => reg.master_slave_manual_config_value, 10 => reg.port_type_is_multiport, 9 => reg.advertise_1000base_t_fd, 8 => reg.advertise_1000base_t_hd, others => '0' ); data(15 downto 13) := reg.test_mode_bits; return data; end function; function data_to_status_register(data : in t_data) return t_status_register is variable status : t_status_register; begin status := ( can_100base_t4 => data(15), can_100base_x_fd => data(14), can_100base_x_hd => data(13), can_10mbps_fd => data(12), can_10mbps_hd => data(11), can_100base_t2_fd => data(10), can_100base_t2_hd => data(9), extended_status => data(8), undirectional_ability => data(7), mf_preamble_suppression => data(6), auto_negotiation_complete => data(5), remote_fault => data(4), auto_negotiation_ability => data(3), link_status => data(2), jabber_detect => data(1), extended_capability => data(0) ); return status; end function; function data_to_master_slave_status_register(data : in t_data) return t_master_slave_status_register is variable status : t_master_slave_status_register; begin status := ( master_slave_config_fault => data(15), master_slave_config_resolution => data(14), local_receiver_status => data(13), remote_receiver_status => data(12), lp_1000base_t_fd => data(11), lp_1000base_t_hd => data(10), idle_error_count => unsigned(data(7 downto 0)) ); return status; end function; function data_to_auto_negotiation_lp_base_page_ability_register(data : in t_data) return auto_negotiation_lp_base_page_ability_register_802_3_t is variable ability : auto_negotiation_lp_base_page_ability_register_802_3_t; begin ability := ( next_page => data(15), acknowledge => data(14), remote_fault => data(13), extended_next_page => data(12), asymmetric_pause => data(11), pause => data(10), can_100base_t4 => data(9), can_100base_tx_fd => data(8), can_100base_tx_hd => data(7), can_10base_t_fd => data(6), can_10base_t_hd => data(5) ); return ability; end function; end package body;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13.10.2017 18:52:36 -- Design Name: -- Module Name: cam_move - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; library xil_defaultlib; use xil_defaultlib.CAM_PKG.all; entity cam_move is Port(clk : in STD_LOGIC; resetn : in STD_LOGIC; hsync_in : in std_logic; vsync_in : in std_logic; href_in : in std_logic; cam_pxdata : in STD_LOGIC_VECTOR(7 downto 0); ----- cam_clk : out STD_LOGIC; px_number : out natural range 0 to 1024 := 0; line_number : out natural range 0 to 1024 := 0; pixel_data : out std_logic_vector(23 downto 0); pixel_data_ready : out STD_LOGIC; sensor_data : out sensor_vector; sensor_data_ready : out STD_LOGIC ); end cam_move; architecture Behavioral of cam_move is signal tmp_pixel_data_ready : std_logic := '0'; signal tmp_pixel_data : std_logic_vector(23 downto 0); signal x, y : integer range 0 to 1024 := 0; signal pclk : STD_LOGIC; constant pclk_to_clk_rate : integer := 2; signal outbus_free : STD_LOGIC; signal tmp_sensor_data_ready : STD_LOGIC_VECTOR(4 downto 0); component get_mark_points Port(resetn : in STD_LOGIC; clk : in STD_LOGIC; vsync : in STD_LOGIC; href : in STD_LOGIC; px_data : in STD_LOGIC_VECTOR(7 downto 0); data_ready : out STD_LOGIC; px_count_out, line_count_out : out natural; px_data_out : out STD_LOGIC_VECTOR(23 downto 0)); end component; component check_sensor is generic(sensor_position : pixel_position := (130, 50); sensor_radius : integer range 16 downto 4 := 8); Port(resetn : in STD_LOGIC; clk : in STD_LOGIC; pixel_cnt : positive range 1 TO 1023; line_cnt : positive range 1 TO 1023; pixel_data : in STD_LOGIC_VECTOR(23 downto 0); pixel_data_ready : in STD_LOGIC; sensor_data : out sensor; sensor_data_ready : out STD_LOGIC); end component; component sensor_gate is Port(clk : in STD_LOGIC; resetn : in STD_LOGIC; sensor_ready : in STD_LOGIC; sensor_in : in sensor; outbus_free : in STD_LOGIC; sensor_out : out sensor_vector; sensor_data_ready : out std_logic ); end component; begin cam_clk <= pclk; ---- Ausgabe für wreiter px_number <= x; line_number <= y; pixel_data <= tmp_pixel_data; pixel_data_ready <= tmp_pixel_data_ready; cam_to_pixel : get_mark_points port map( resetn => resetn, clk => pclk, vsync => vsync_in, href => href_in, px_data => cam_pxdata, px_count_out => x, line_count_out => y, data_ready => tmp_pixel_data_ready, px_data_out => tmp_pixel_data ); generate_sensors : for i in 1 to 5 generate signal tmp_sensor : sensor; begin sensor_inst : component check_sensor generic map(sensor_position => (i*20, 50)) port map( resetn => resetn, clk => clk, pixel_cnt => x, line_cnt => y, pixel_data => tmp_pixel_data, pixel_data_ready => tmp_pixel_data_ready, sensor_data => tmp_sensor, sensor_data_ready => tmp_sensor_data_ready(i - 1) ); sensor_gate_inst : component sensor_gate port map( clk => clk, resetn => resetn, sensor_ready => tmp_sensor_data_ready(i - 1), sensor_in => tmp_sensor, outbus_free => outbus_free, sensor_out => sensor_data, sensor_data_ready => sensor_data_ready ); end generate generate_sensors; pclk_pr : process(clk) is variable n : integer := 0; begin if rising_edge(clk) then if resetn = '0' then n := 0; pclk <= '0'; else n := n + 1; if n > pclk_to_clk_rate then pclk <= '1'; else pclk <= '0'; end if; if n = 2*pclk_to_clk_rate then n := 0; end if; end if; end if; end process pclk_pr; check_bus : process(clk) is -- ist notwendig für clock Verschiebung begin if rising_edge(clk) then if resetn = '0' then outbus_free <= '0'; else outbus_free <= not or_reduct(tmp_sensor_data_ready); end if; end if; end process check_bus; end Behavioral;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( pcie_target_simulation : integer := 0; -- set to 1 to test pci express, only if pcie_target is enabled fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := 40; signal address : std_logic_vector(24 downto 0); signal data : std_logic_vector(15 downto 0); signal button : std_logic_vector(3 downto 0) := "0000"; signal genio : std_logic_vector(59 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal ctsn1, rtsn1 : std_ulogic; signal ctsn2, rtsn2 : std_ulogic; signal phy_mii_data: std_logic; -- ethernet PHY interface signal phy_tx_clk : std_ulogic; signal phy_rx_clk : std_ulogic; signal phy_rx_data : std_logic_vector(7 downto 0); signal phy_dv : std_ulogic; signal phy_rx_er : std_ulogic; signal phy_col : std_ulogic; signal phy_crs : std_ulogic; signal phy_tx_data : std_logic_vector(7 downto 0); signal phy_tx_en : std_ulogic; signal phy_tx_er : std_ulogic; signal phy_mii_clk : std_ulogic; signal phy_rst_n : std_ulogic; signal phy_gtx_clk : std_ulogic; signal phy_mii_int_n : std_ulogic; signal clk27 : std_ulogic := '0'; signal clk200p : std_ulogic := '0'; signal clk200n : std_ulogic := '1'; signal clk33 : std_ulogic := '0'; signal iic_scl : std_ulogic; signal iic_sda : std_ulogic; signal ddc_scl : std_ulogic; signal ddc_sda : std_ulogic; signal dvi_iic_scl : std_logic; signal dvi_iic_sda : std_logic; signal tft_lcd_data : std_logic_vector(11 downto 0); signal tft_lcd_clk_p : std_ulogic; signal tft_lcd_clk_n : std_ulogic; signal tft_lcd_hsync : std_ulogic; signal tft_lcd_vsync : std_ulogic; signal tft_lcd_de : std_ulogic; signal tft_lcd_reset_b : std_ulogic; -- DDR2 memory signal ddr_clk : std_logic; signal ddr_clkb : std_logic; signal ddr_clk_fb : std_logic; signal ddr_cke : std_logic; signal ddr_csb : std_logic := '0'; signal ddr_we : std_ulogic; -- write enable signal ddr_ras : std_ulogic; -- ras signal ddr_cas : std_ulogic; -- cas signal ddr_dm : std_logic_vector(1 downto 0); -- dm signal ddr_dqs : std_logic_vector(1 downto 0); -- dqs signal ddr_dqsn : std_logic_vector(1 downto 0); -- dqsn signal ddr3_tdqs_n : std_logic_vector(1 downto 0); -- dqsn signal ddr_ad : std_logic_vector(12 downto 0); -- address signal ddr_ba : std_logic_vector(2 downto 0); -- bank address signal ddr_dq : std_logic_vector(15 downto 0); -- data signal ddr_dq2 : std_logic_vector(15 downto 0); -- data signal ddr_odt : std_logic; signal ddr_reset_n: std_logic; signal ddr_rzq : std_logic; signal ddr_zio : std_logic; -- SPI flash signal spi_sel_n : std_ulogic; signal spi_clk : std_ulogic; signal spi_mosi : std_ulogic; signal sysace_mpa : std_logic_vector(6 downto 0); signal sysace_mpce : std_ulogic; signal sysace_mpirq : std_ulogic; signal sysace_mpoe : std_ulogic; signal sysace_mpwe : std_ulogic; signal sysace_d : std_logic_vector(7 downto 0); signal dsurst : std_ulogic; signal errorn : std_logic; signal switch : std_logic_vector(3 downto 0); -- I/O port signal led : std_logic_vector(3 downto 0); -- I/O port constant lresp : boolean := false; -----------------------------------------------------FOR PCIE--------------- function REF_CLK_HALF_CYCLE(FREQ_SEL : integer) return integer is begin case FREQ_SEL is when 0 => return 5000; -- 100 MHz / 5000 ps half-cycle when 1 => return 4000; -- 125 MHz / 4000 ps half-cycle when others => return 1; -- invalid case end case; end REF_CLK_HALF_CYCLE; component xilinx_pcie_2_0_rport_v6 is generic ( REF_CLK_FREQ : integer := 0; ALLOW_X8_GEN2 : boolean := FALSE; PL_FAST_TRAIN : boolean := FALSE; LINK_CAP_MAX_LINK_SPEED : bit_vector := X"1"; DEVICE_ID : bit_vector := X"0007"; LINK_CAP_MAX_LINK_WIDTH : bit_vector := X"08"; LTSSM_MAX_LINK_WIDTH : bit_vector := X"08"; LINK_CAP_MAX_LINK_WIDTH_int : integer := 8; LINK_CTRL2_TARGET_LINK_SPEED : bit_vector := X"2"; DEV_CAP_MAX_PAYLOAD_SUPPORTED : integer := 2; USER_CLK_FREQ : integer := 3; VC0_TX_LASTPACKET : integer := 31; VC0_RX_RAM_LIMIT : bit_vector := X"03FF"; VC0_TOTAL_CREDITS_CD : integer := 154; VC0_TOTAL_CREDITS_PD : integer := 154 ); port ( sys_clk : in std_logic; sys_reset_n : in std_logic; pci_exp_rxn : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0); pci_exp_rxp : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0); pci_exp_txn : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0); pci_exp_txp : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0) ); end component xilinx_pcie_2_0_rport_v6; component sys_clk_gen is generic ( HALFCYCLE : integer := 500; OFFSET : integer := 0 ); port ( sys_clk : out std_logic ); end component sys_clk_gen; component sys_clk_gen_ds is generic ( HALFCYCLE : integer := 500; OFFSET : integer := 0 ); port ( sys_clk_p : out std_logic; sys_clk_n : out std_logic ); end component sys_clk_gen_ds; -- -- System reset -- signal sys_reset_n : std_logic; -- -- System clocks -- signal rp_sys_clk : std_logic; signal ep_sys_clk_p : std_logic; signal ep_sys_clk_n : std_logic; -- -- PCI-Express Serial Interconnect -- signal ep_pci_exp_txn : std_logic_vector(0 downto 0); signal ep_pci_exp_txp : std_logic_vector(0 downto 0); signal rp_pci_exp_txn : std_logic_vector(0 downto 0); signal rp_pci_exp_txp : std_logic_vector(0 downto 0); -- -- Misc. signals -- signal led_0 : std_logic; signal led_1 : std_logic; signal led_2 : std_logic; -----------------------------------------------pcie end-------------- begin -- clock and reset clk27 <= not clk27 after ct * 1 ns; clk33 <= not clk33 after 15 ns; clk200p <= not clk200p after 2.5 ns; clk200n <= not clk200n after 2.5 ns; rst <= not dsurst; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; button <= "0000"; switch <= "0000"; ---------------------pcie---------------------------------------------- pcie_sim: if pcie_target_simulation = 1 generate RP : xilinx_pcie_2_0_rport_v6 generic map ( REF_CLK_FREQ => 1, PL_FAST_TRAIN => TRUE, ALLOW_X8_GEN2 => FALSE, LINK_CAP_MAX_LINK_SPEED => X"1", DEVICE_ID => X"0007", LINK_CAP_MAX_LINK_WIDTH => X"01", LTSSM_MAX_LINK_WIDTH => X"01", LINK_CAP_MAX_LINK_WIDTH_int => 1, LINK_CTRL2_TARGET_LINK_SPEED => X"1", DEV_CAP_MAX_PAYLOAD_SUPPORTED => 2, USER_CLK_FREQ => 3, VC0_TX_LASTPACKET => 31, VC0_RX_RAM_LIMIT => X"03FF", VC0_TOTAL_CREDITS_CD => 154, VC0_TOTAL_CREDITS_PD => 154 ) port map ( -- SYS Inteface sys_clk => rp_sys_clk, sys_reset_n => sys_reset_n, -- PCI-Express Interface pci_exp_txn => rp_pci_exp_txn, pci_exp_txp => rp_pci_exp_txp, pci_exp_rxn => ep_pci_exp_txn, pci_exp_rxp => ep_pci_exp_txp ); -- -- Generate system clocks and reset -- CLK_GEN_RP : sys_clk_gen generic map ( HALFCYCLE => REF_CLK_HALF_CYCLE(1), OFFSET => 0 ) port map ( sys_clk => rp_sys_clk ); CLK_GEN_EP : sys_clk_gen_ds generic map ( HALFCYCLE => REF_CLK_HALF_CYCLE(1), OFFSET => 0 ) port map ( sys_clk_p => ep_sys_clk_p, sys_clk_n => ep_sys_clk_n ); BOARD_INIT : process begin report("[" & time'image(now) & "] : System Reset Asserted..."); sys_reset_n <= '0'; for n in 0 to 499 loop wait until rising_edge(ep_sys_clk_p); end loop; report("[" & time'image(now) & "] : System Reset De-asserted..."); sys_reset_n <= '1'; wait until falling_edge(sys_reset_n); -- forever end process BOARD_INIT; end generate; --------------------------------------pcie--------------------------- cpu : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk27, clk200p, clk200n, clk33, address(24 downto 1), data, oen, writen, romsn, ddr_clk, ddr_clkb, ddr_cke, ddr_odt, ddr_reset_n, ddr_we, ddr_ras, ddr_cas, ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_rzq, ddr_zio, txd1, rxd1, ctsn1, rtsn1, button, switch, led, phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk, phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n, phy_mii_int_n, iic_scl, iic_sda, ddc_scl, ddc_sda, dvi_iic_scl, dvi_iic_sda, tft_lcd_data, tft_lcd_clk_p, tft_lcd_clk_n, tft_lcd_hsync, tft_lcd_vsync, tft_lcd_de, tft_lcd_reset_b, spi_sel_n, spi_clk, spi_mosi, ep_pci_exp_txn(0), ep_pci_exp_txp(0), rp_pci_exp_txn(0), rp_pci_exp_txp(0), ep_sys_clk_p, ep_sys_clk_n, sys_reset_n, sysace_mpa, sysace_mpce, sysace_mpirq, sysace_mpoe, sysace_mpwe, sysace_d ); -- prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile) -- port map (address(romdepth-1 downto 0), data(31 downto 24), romsn, -- writen, oen); prom0 : for i in 0 to 1 generate sr0 : sram generic map (index => i+4, abits => 24, fname => promfile) port map (address(24 downto 1), data(15-i*8 downto 8-i*8), romsn, writen, oen); end generate; address(0) <= '0'; u1 : ddr3ram generic map ( width => 16, abits => 13, fname => sdramfile, speedbin => 3, ldguard => 1 ) port map ( ck => ddr_clk, ckn => ddr_clkb, cke => ddr_cke, csn => ddr_csb, odt => ddr_odt, rasn => ddr_ras, casn => ddr_cas, wen => ddr_we, dm => ddr_dm, ba => ddr_ba, a => ddr_ad, resetn => ddr_reset_n, dq => ddr_dq, dqs => ddr_dqs, dqsn => ddr_dqsn, doload => led(2) ); errorn <= led(1); errorn <= 'H'; -- ERROR pull-up phy0 : if (CFG_GRETH = 1) generate phy_mii_data <= 'H'; p0: phy generic map (address => 7) port map(phy_rst_n, phy_mii_data, phy_tx_clk, phy_rx_clk, phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_gtx_clk); end generate; sysace_mpirq <= '0'; sysace_d <= (others => 'Z'); iuerr : process begin wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 2500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(txd2, rxd2); wait; end process; end ;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_clock_GNF343OQUJ is port( aclr : in std_logic; aclr_n : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic); end entity; architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is Begin -- Straight Bypass Clock clock_out <= clock; -- reset logic aclr_out <= not(aclr_n); end architecture;
constant TRFSM1Length : integer := 1778; constant TRFSM1Cfg : std_logic_vector(TRFSM1Length-1 downto 0) := "00000100011100001001100100000010000000000000011100000000010100101000000100101000000011000001100000100100001000011100010000001001100010000100000010100000100101000000100100001000001000010000011111100000000000000000000011111100000000000000000000011111100000000000000000000000000000000001000100000000000011100000000000000000001001000011000000100100000000001000000000010100001000000001010000100001000000000011000100000000100100000100001100000000101000001100000000100000000001100000000100100100100001000100000000101000000000100100000100001000100000000101000000000101000101000000000100000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000011111100000000000000000000000000000000000111111000000000000000000000000000000000001111110000000000000000000000000000000000011111100000000000000000000000000000000000111111000000000000000000000000000000000001111110000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000011111100000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000000000011111100000000000000000000000000000000000000000000000";
-- $Id: tb_tst_serloop2_n2.vhd 444 2011-12-25 10:04:58Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_tst_serloop2_n2 - sim -- Description: Test bench for sys_tst_serloop2_n2 -- -- Dependencies: simlib/simclk -- vlib/xlib/dcm_sfs -- sys_tst_serloop2_n2 [UUT] -- tb/tb_tst_serloop -- -- To test: sys_tst_serloop2_n2 -- -- Target Devices: generic -- -- Revision History: -- Date Rev Version Comment -- 2011-12-23 444 1.1 use new simclk; remove clksys output hack -- 2011-11-23 432 1.0.2 update O_FLA_CE_N usage -- 2011-11-17 426 1.0.1 use dcm_sfs now -- 2011-11-13 424 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.xlib.all; use work.simlib.all; entity tb_tst_serloop2_n2 is end tb_tst_serloop2_n2; architecture sim of tb_tst_serloop2_n2 is signal CLK50 : slbit := '0'; signal CLK_STOP : slbit := '0'; signal CLKS : slbit := '0'; signal CLKH : slbit := '0'; signal I_RXD : slbit := '1'; signal O_TXD : slbit := '1'; signal I_SWI : slv8 := (others=>'0'); signal I_BTN : slv4 := (others=>'0'); signal O_FUSP_RTS_N : slbit := '0'; signal I_FUSP_CTS_N : slbit := '0'; signal I_FUSP_RXD : slbit := '1'; signal O_FUSP_TXD : slbit := '1'; signal RXD : slbit := '1'; signal TXD : slbit := '1'; signal SWI : slv8 := (others=>'0'); signal BTN : slv4 := (others=>'0'); signal FUSP_RTS_N : slbit := '0'; signal FUSP_CTS_N : slbit := '0'; signal FUSP_RXD : slbit := '1'; signal FUSP_TXD : slbit := '1'; constant clock_period : time := 20 ns; constant clock_offset : time := 200 ns; constant delay_time : time := 2 ns; begin SYSCLK : simclk generic map ( PERIOD => clock_period, OFFSET => clock_offset) port map ( CLK => CLK50, CLK_STOP => CLK_STOP ); DCM_S : dcm_sfs generic map ( CLKFX_DIVIDE => 5, CLKFX_MULTIPLY => 6, CLKIN_PERIOD => 20.0) port map ( CLKIN => CLK50, CLKFX => CLKS, LOCKED => open ); DCM_H : dcm_sfs generic map ( CLKFX_DIVIDE => 2, CLKFX_MULTIPLY => 4, CLKIN_PERIOD => 20.0) port map ( CLKIN => CLK50, CLKFX => CLKH, LOCKED => open ); UUT : entity work.sys_tst_serloop2_n2 port map ( I_CLK50 => CLK50, I_RXD => I_RXD, O_TXD => O_TXD, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => open, O_ANO_N => open, O_SEG_N => open, O_MEM_CE_N => open, O_MEM_BE_N => open, O_MEM_WE_N => open, O_MEM_OE_N => open, O_MEM_ADV_N => open, O_MEM_CLK => open, O_MEM_CRE => open, I_MEM_WAIT => '0', O_MEM_ADDR => open, IO_MEM_DATA => open, O_FLA_CE_N => open, O_FUSP_RTS_N => O_FUSP_RTS_N, I_FUSP_CTS_N => I_FUSP_CTS_N, I_FUSP_RXD => I_FUSP_RXD, O_FUSP_TXD => O_FUSP_TXD ); GENTB : entity work.tb_tst_serloop port map ( CLKS => CLKS, CLKH => CLKH, CLK_STOP => CLK_STOP, P0_RXD => RXD, P0_TXD => TXD, P0_RTS_N => '0', P0_CTS_N => open, P1_RXD => FUSP_RXD, P1_TXD => FUSP_TXD, P1_RTS_N => FUSP_RTS_N, P1_CTS_N => FUSP_CTS_N, SWI => SWI, BTN => BTN ); I_RXD <= RXD after delay_time; TXD <= O_TXD after delay_time; FUSP_RTS_N <= O_FUSP_RTS_N after delay_time; I_FUSP_CTS_N <= FUSP_CTS_N after delay_time; I_FUSP_RXD <= FUSP_RXD after delay_time; FUSP_TXD <= O_FUSP_TXD after delay_time; I_SWI <= SWI after delay_time; I_BTN <= BTN after delay_time; end sim;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: eth_edcl_ahb_mst -- File: eth_edcl_ahb_mst.vhd -- Author: Marko Isomaki - Gaisler Research -- Description: Ethernet EDCL MAC AHB master interface ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library eth; use eth.grethpkg.all; entity eth_edcl_ahb_mst is port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahbc_mst_in_type; ahbmo : out ahbc_mst_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type ); attribute sync_set_reset of rst : signal is "true"; end entity; architecture rtl of eth_edcl_ahb_mst is type reg_type is record bg : std_ulogic; --bus granted ba : std_ulogic; --bus active bb : std_ulogic; --1kB burst boundary detected retry : std_ulogic; end record; signal r, rin : reg_type; begin comb : process(rst, r, tmsti, ahbmi) is variable v : reg_type; variable htrans : std_logic_vector(1 downto 0); variable hbusreq : std_ulogic; variable hwrite : std_ulogic; variable haddr : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable tretry : std_ulogic; variable tready : std_ulogic; variable terror : std_ulogic; variable tgrant : std_ulogic; variable hsize : std_logic_vector(2 downto 0); begin v := r; htrans := HTRANS_IDLE; tready := '0'; tretry := '0'; terror := '0'; tgrant := '0'; hsize := HSIZE_WORD; hwdata := tmsti.data; hbusreq := tmsti.req; if hbusreq = '1' then htrans := HTRANS_NONSEQ; end if; haddr := tmsti.addr; hwrite := tmsti.write; if (tmsti.req and r.ba and not r.retry) = '1' then htrans := HTRANS_SEQ; end if; if (tmsti.req and r.bg and ahbmi.hready and not r.retry) = '1' then tgrant := '1'; end if; --1 kB burst boundary if ahbmi.hready = '1' then if haddr(9 downto 2) = "11111111" then v.bb := '1'; else v.bb := '0'; end if; end if; if (r.bb = '1') and (htrans /= HTRANS_IDLE) then htrans := HTRANS_NONSEQ; end if; if r.ba = '1' then if ahbmi.hready = '1' then case ahbmi.hresp is when HRESP_OKAY => tready := '1'; when HRESP_SPLIT | HRESP_RETRY => tretry := '1'; when HRESP_ERROR => terror := '1'; when others => null; end case; end if; end if; if (r.ba = '1') and ((ahbmi.hresp = HRESP_RETRY) or (ahbmi.hresp = HRESP_SPLIT)) then v.retry := not ahbmi.hready; else v.retry := '0'; end if; if r.retry = '1' then htrans := HTRANS_IDLE; end if; if ahbmi.hready = '1' then v.bg := ahbmi.hgrant; if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) then v.ba := r.bg; else v.ba := '0'; end if; end if; if rst = '0' then v.bg := '0'; v.ba := '0'; v.bb := '0'; end if; rin <= v; tmsto.data <= ahbmi.hrdata; tmsto.error <= terror; tmsto.retry <= tretry; tmsto.ready <= tready; tmsto.grant <= tgrant; ahbmo.htrans <= htrans; ahbmo.hsize <= hsize; ahbmo.hbusreq <= hbusreq; ahbmo.haddr <= haddr; ahbmo.hwrite <= hwrite; ahbmo.hwdata <= hwdata; end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; ahbmo.hlock <= '0'; ahbmo.hburst <= HBURST_INCR; ahbmo.hprot <= "0011"; end architecture;
-- Author: Osama G. Attia -- email: ogamal [at] iastate dot edu -- Create Date: 16:57:25 06/23/2014 -- Module Name: scc_kernel - Behavioral library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity scc_master is port ( -- control signals clk : in std_logic; rst : in std_logic; enable : in std_logic; -- search : in std_logic; done : out std_logic; -- Input Graph Pointers (Represented in Custom CSR) graph_info : in std_logic_vector(63 downto 0); -- SCC intersection parameters color : in std_logic_vector(63 downto 0); -- Process 1 signals p1_req_q_rd_enb : out std_logic; p1_req_q_dout : in std_logic_vector(63 downto 0); p1_req_q_valid : in std_logic; p1_req_q_empty : in std_logic; p1_rsp_q_wr_en : out std_logic; p1_rsp_q_din : out std_logic_vector(63 downto 0); p1_rsp_q_almost_full : in std_logic; -- Process 2 signals p2_scc_req_rd_enb : out std_logic; p2_scc_req_dout : in std_logic_vector(63 downto 0); p2_scc_req_valid : in std_logic; p2_scc_req_empty : in std_logic; p2_scc_req_almost_full : in std_logic; p2_rinfo_req_rd_enb : out std_logic; p2_rinfo_req_dout : in std_logic_vector(63 downto 0); p2_rinfo_req_valid : in std_logic; p2_rinfo_req_empty : in std_logic; p2_rinfo_req_almost_full : in std_logic; p2_scc_rsp_wr_en : out std_logic; p2_scc_rsp_din : out std_logic_vector(0 downto 0); p2_scc_rsp_almost_full : in std_logic; p2_rinfo_rsp_wr_en : out std_logic; p2_rinfo_rsp_din : out std_logic_vector(0 downto 0); p2_rinfo_rsp_almost_full : in std_logic; -- Process 3 signals p3_done : in std_logic; p3_scc_addr_rd_enb : out std_logic; p3_scc_addr_dout : in std_logic_vector(63 downto 0); p3_scc_addr_valid : in std_logic; p3_scc_addr_empty : in std_logic; p3_info_req_rd_enb : out std_logic; p3_info_req_dout : in std_logic_vector(63 downto 0); p3_info_req_valid : in std_logic; p3_info_req_empty : in std_logic; p3_id_q_rd_enb : out std_logic; p3_id_q_dout : in std_logic_vector(63 downto 0); p3_id_q_valid : in std_logic; p3_id_q_empty : in std_logic; p3_info_rsp_rd_enb : out std_logic; p3_info_rsp_dout : in std_logic_vector(63 downto 0); p3_info_rsp_valid : in std_logic; p3_info_rsp_empty : in std_logic; p3_info_rsp_wr_en : out std_logic; p3_info_rsp_din : out std_logic_vector(63 downto 0); p3_info_rsp_almost_full : in std_logic; -- MC request port signals mc_req_ld : out std_logic; mc_req_st : out std_logic; mc_req_size : out std_logic_vector(1 downto 0); mc_req_vaddr : out std_logic_vector(47 downto 0); mc_req_wrd_rdctl : out std_logic_vector(63 downto 0); mc_rd_rq_stall : in std_logic; mc_wr_rq_stall : in std_logic; -- MC response port signals mc_rsp_push : in std_logic; mc_rsp_stall : out std_logic; mc_rsp_data : in std_logic_vector(63 downto 0); mc_rsp_rdctl : in std_logic_vector(31 downto 0) ); end scc_master; architecture Behavioral of scc_master is type muxstatetype is (mx_start, mx_stall, mx_p1, mx_p2, mx_p3, mx_p4, mx_p5, mx_p6); signal mux_state : muxstatetype; signal done_count : integer range 0 to 3; signal saved_state : std_logic_vector(7 downto 0); signal saved_addr : std_logic_vector(63 downto 0); signal saved_data : std_logic_vector(63 downto 0); signal count : unsigned (63 downto 0); signal p2_q_alt : std_logic; signal p2_scc_rsp_wr_en_temp : std_logic; signal p2_scc_rsp_din_temp : std_logic_vector(63 downto 0); signal p2_rinfo_rsp_wr_en_temp : std_logic; signal p2_rinfo_rsp_din_temp : std_logic_vector(63 downto 0); begin -- Requests Multiplexer -- Read from the processes' request queues with the specific tag Master : process(clk, rst) begin if (rising_edge(clk)) then if (rst = '1') then done <= '0'; done_count <= 0; -- reset master process control signals mux_state <= mx_start; saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset queues read enable signals p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; p2_q_alt <= '0'; else --- MULTIPLEX requests to memory controller if (enable = '1') then -- is memory controller asserting rd/wr stall? if (mc_rd_rq_stall = '1' or mc_wr_rq_stall = '1') then -- save addr/data if (p1_req_q_valid = '1') then -- Read from reach queue at this address saved_state <= x"01"; saved_addr <= p1_req_q_dout; saved_data <= (others => '0'); mux_state <= mx_stall; elsif (p2_scc_req_valid = '1') then -- Read from SCC color value at this address saved_state <= x"02"; saved_addr <= p2_scc_req_dout; saved_data <= (others => '0'); mux_state <= mx_stall; elsif (p2_rinfo_req_valid = '1') then -- Read rInfo (in CSR) saved_state <= x"03"; saved_addr <= p2_rinfo_req_dout; saved_data <= (others => '0'); mux_state <= mx_stall; elsif (p3_scc_addr_valid = '1') then -- Color node saved_state <= x"04"; saved_addr <= p3_scc_addr_dout; saved_data <= (others => '0'); mux_state <= mx_stall; elsif (p3_info_req_valid = '1') then -- Read Info (in CSR) saved_state <= x"05"; saved_addr <= p3_info_req_dout; saved_data <= (others => '0'); mux_state <= mx_stall; elsif (p3_id_q_valid = '1' and p3_info_rsp_valid = '1') then -- Update Info CSR saved_state <= x"06"; saved_addr <= p3_id_q_dout; saved_data <= p3_info_rsp_dout; mux_state <= mx_stall; else saved_state <= saved_state; saved_addr <= saved_addr; saved_data <= saved_data; mux_state <= mux_state; end if; -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset queues read enable signals p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; -- If not a memory controller rd/wr stall elsif (mc_rd_rq_stall = '0' and mc_wr_rq_stall = '0') then if (mux_state = mx_stall) then -- Issue a request, if comming from a stall if (saved_state = x"01") then -- Request from reach queue mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= saved_state; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (saved_state = x"02") then -- Request SCC[id] Color mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= saved_state; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (saved_state = x"03") then -- Request rInfo[id] (CSR of 64-bit) mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= saved_state; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (saved_state = x"04") then -- Color node at SCC[id] mc_req_ld <= '0'; mc_req_st <= '1'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl <= color; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (saved_state = x"05") then -- Read Info[id] (CSR of 64-bit) mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl(7 downto 0) <= saved_state; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (saved_state = x"06") then -- Update Info[id] in (CSR) mc_req_ld <= '0'; mc_req_st <= '1'; mc_req_size <= "11"; mc_req_vaddr <= saved_addr(47 downto 0); mc_req_wrd_rdctl <= saved_data(63 downto 1) & '0'; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); else -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); end if; elsif (mux_state = mx_p1 and p1_req_q_valid = '1') then -- Request from reach queue mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= p1_req_q_dout(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= x"01"; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (mux_state = mx_p2 and p2_scc_req_valid = '1') then -- Request SCC[id] Color mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= p2_scc_req_dout(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= x"02"; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (mux_state = mx_p3 and p2_rinfo_req_valid = '1') then -- Request rInfo[id] (CSR of 64-bit) mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= p2_rinfo_req_dout(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= x"03"; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (mux_state = mx_p4 and p3_scc_addr_valid = '1') then -- Color node at SCC[id] mc_req_ld <= '0'; mc_req_st <= '1'; mc_req_size <= "11"; mc_req_vaddr <= p3_scc_addr_dout(47 downto 0); mc_req_wrd_rdctl <= color; -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (mux_state = mx_p5 and p3_info_req_valid = '1') then -- Read Info[id] (CSR of 64-bit) mc_req_ld <= '1'; mc_req_st <= '0'; mc_req_size <= "11"; mc_req_vaddr <= p3_info_req_dout(47 downto 0); mc_req_wrd_rdctl (7 downto 0) <= x"05"; -- Save information for next write request saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); elsif (mux_state = mx_p6 and p3_id_q_valid = '1' and p3_info_rsp_valid = '1') then -- Update Info[id] in (CSR) to be unvisited mc_req_ld <= '0'; mc_req_st <= '1'; mc_req_size <= "11"; mc_req_vaddr <= p3_id_q_dout(47 downto 0); mc_req_wrd_rdctl <= p3_info_rsp_dout(63 downto 1) & '0'; -- Save information for next write request saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); else -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset saved state saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); end if; -- End mux states execution -- Pop from queue if mux is ready if ((mux_state = mx_start) or (mux_state = mx_stall) or (mux_state = mx_p1 and p1_req_q_valid = '1') or (mux_state = mx_p2 and p2_scc_req_valid = '1') or (mux_state = mx_p3 and p2_rinfo_req_valid = '1') or (mux_state = mx_p4 and p3_scc_addr_valid = '1') or (mux_state = mx_p5 and p3_info_req_valid = '1') or (mux_state = mx_p6 and p3_id_q_valid = '1' and p3_info_rsp_valid = '1')) then if (p3_id_q_empty = '0' and p3_info_rsp_empty = '0') then -- If process 3 info/addr queues are not empty, make a write request p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '1'; p3_info_rsp_rd_enb <= '1'; mux_state <= mx_p6; elsif (p3_scc_addr_empty = '0') then -- If process 3 SCC queue isn't empty, make a write request p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '1'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; mux_state <= mx_p4; elsif (p3_info_req_empty = '0') then -- If process 3 info req queue isn't empty, make a read request p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '1'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; mux_state <= mx_p5; elsif (p2_rinfo_req_empty = '0' and p2_q_alt = '0') then -- If process 2 rInfo queue isn't empty, make a read request p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '1'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; p2_q_alt <= '1'; -- Next time read from SCC queue mux_state <= mx_p3; elsif (p2_scc_req_empty = '0' and p2_q_alt = '1') then -- If process 2 SCC queue isn't empty, make a read request p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '1'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; p2_q_alt <= '0'; -- Next time read from rInfo queue mux_state <= mx_p2; elsif (p1_req_q_empty = '0') then -- If process 1 queue isn't empty, make a read request p1_req_q_rd_enb <= '1'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; mux_state <= mx_p1; else -- reset p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; mux_state <= mx_start; end if; else -- Keep mux state mux_state <= mux_state; -- reset enable signals p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; end if; -- end if mux green light! else -- weird case, memory controller not ready yet mux_state <= mux_state; saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset queues read enable signals p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; end if; -- end check for rd/wr stall -- if all processes are done and queues ar eempty go done if (p3_done = '1' and p3_id_q_empty = '1' and p3_info_rsp_empty = '1' and p3_info_req_empty = '1' and p3_scc_addr_empty = '1' and p2_rinfo_req_empty = '1' and p2_scc_req_empty = '1' and p1_req_q_empty = '1') then if (done_count < 3) then done <= '0'; done_count <= done_count + 1; elsif (done_count = 3) then done <= '1'; done_count <= 0; end if; else done <= '0'; done_count <= 0; end if; else done <= '0'; done_count <= 0; -- reset master process control signals mux_state <= mx_start; saved_state <= x"00"; saved_addr <= (others => '0'); saved_data <= (others => '0'); -- reset memory controller signals mc_req_ld <= '0'; mc_req_st <= '0'; mc_req_size <= (others => '0'); mc_req_vaddr <= (others => '0'); mc_req_wrd_rdctl <= (others => '0'); -- reset queues read enable signals p1_req_q_rd_enb <= '0'; p2_scc_req_rd_enb <= '0'; p2_rinfo_req_rd_enb <= '0'; p3_scc_addr_rd_enb <= '0'; p3_info_req_rd_enb <= '0'; p3_id_q_rd_enb <= '0'; p3_info_rsp_rd_enb <= '0'; end if; -- end if enable end if; -- end if rst end if; -- end if clk end process; -- Master -- MC Response decoder process mc_rsp_decoder : process(clk, rst) begin if rising_edge(clk) then if (rst = '1') then p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p2_scc_rsp_wr_en <= '0'; p2_scc_rsp_din <= (others => '0'); p2_rinfo_rsp_wr_en <= '0'; p2_rinfo_rsp_din <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); mc_rsp_stall <= '0'; else if (enable = '1') then if (mc_rsp_push = '1') then -- Get process 1 response if (mc_rsp_rdctl(7 downto 0) = x"01") then -- push results to p1 response queue p1_rsp_q_wr_en <= '1'; p1_rsp_q_din <= mc_rsp_data; -- reset others p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); -- Get process 2 SCC[id] response elsif (mc_rsp_rdctl(7 downto 0) = x"02") then -- push results to p2 SCC response queue p2_scc_rsp_wr_en_temp <= '1'; p2_scc_rsp_din_temp <= mc_rsp_data; -- reset others p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); -- Get process 2 rInfo[id] response elsif (mc_rsp_rdctl(7 downto 0) = x"03") then -- push results to p2 rInfo response queue p2_rinfo_rsp_wr_en_temp <= '1'; p2_rinfo_rsp_din_temp <= mc_rsp_data; -- reset others p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); -- Get process 3 Info[id] response elsif (mc_rsp_rdctl(7 downto 0) = x"05") then -- push results to p3 Info response queue p3_info_rsp_wr_en <= '1'; p3_info_rsp_din <= mc_rsp_data; -- reset others p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); else p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); end if; else p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); end if; -- Control mc_rsp_stall signal if (p1_rsp_q_almost_full = '1' or p2_scc_rsp_almost_full = '1' or p2_rinfo_rsp_almost_full = '1' or p3_info_rsp_almost_full = '1' or p2_scc_req_almost_full = '1' or p2_rinfo_req_almost_full = '1') then mc_rsp_stall <= '1'; else mc_rsp_stall <= '0'; end if; -- elsif enable = '0' else p1_rsp_q_wr_en <= '0'; p1_rsp_q_din <= (others => '0'); p2_scc_rsp_wr_en_temp <= '0'; p2_scc_rsp_din_temp <= (others => '0'); p2_rinfo_rsp_wr_en_temp <= '0'; p2_rinfo_rsp_din_temp <= (others => '0'); p3_info_rsp_wr_en <= '0'; p3_info_rsp_din <= (others => '0'); mc_rsp_stall <= '0'; end if; -- end if enable p2_scc_rsp_wr_en <= p2_scc_rsp_wr_en_temp; p2_scc_rsp_din(0) <= or_reduce(p2_scc_rsp_din_temp); p2_rinfo_rsp_wr_en <= p2_rinfo_rsp_wr_en_temp; p2_rinfo_rsp_din(0) <= p2_rinfo_rsp_din_temp(0); end if; -- end if rst end if; -- end if clk end process; -- end process rsp decoder end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1713.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p10n01i01713ent IS port (signal max : in natural); type word is array (natural range <>) of bit; subtype mem_array is word (0 to 7); END c09s02b00x00p10n01i01713ent; ARCHITECTURE c09s02b00x00p10n01i01713arch OF c09s02b00x00p10n01i01713ent IS signal idx : natural; signal mem : mem_array; BEGIN TESTING: PROCESS(mem_array'(others => '1')) BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p10n01i01713 - Process sensitivity list can not be a qualified aggregate." severity ERROR; END PROCESS TESTING; END c09s02b00x00p10n01i01713arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1713.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p10n01i01713ent IS port (signal max : in natural); type word is array (natural range <>) of bit; subtype mem_array is word (0 to 7); END c09s02b00x00p10n01i01713ent; ARCHITECTURE c09s02b00x00p10n01i01713arch OF c09s02b00x00p10n01i01713ent IS signal idx : natural; signal mem : mem_array; BEGIN TESTING: PROCESS(mem_array'(others => '1')) BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p10n01i01713 - Process sensitivity list can not be a qualified aggregate." severity ERROR; END PROCESS TESTING; END c09s02b00x00p10n01i01713arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1713.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p10n01i01713ent IS port (signal max : in natural); type word is array (natural range <>) of bit; subtype mem_array is word (0 to 7); END c09s02b00x00p10n01i01713ent; ARCHITECTURE c09s02b00x00p10n01i01713arch OF c09s02b00x00p10n01i01713ent IS signal idx : natural; signal mem : mem_array; BEGIN TESTING: PROCESS(mem_array'(others => '1')) BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p10n01i01713 - Process sensitivity list can not be a qualified aggregate." severity ERROR; END PROCESS TESTING; END c09s02b00x00p10n01i01713arch;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doHist_CTRL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity doHist_CTRL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doHist_CTRL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doHist_CTRL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity doHist_CTRL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doHist_CTRL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doHist_CTRL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity doHist_CTRL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doHist_CTRL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doHist_CTRL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity doHist_CTRL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doHist_CTRL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doHist_CTRL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity doHist_CTRL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doHist_CTRL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- In/out for top level module entity VGAtonic_Firmware is PORT( CLK : in STD_LOGIC; -- SPI Select (Master is AVR) AVR_CPLD_EXT_1 : in STD_LOGIC; -- SPI Pins from Outside World EXT_SCK : in STD_LOGIC; EXT_MOSI : in STD_LOGIC; EXT_MISO : out STD_LOGIC := '0'; EXT_SEL_CPLD : in STD_LOGIC; -- Active low -- SPI Pins from AVR AVR_SCK : in STD_LOGIC; -- Using this as our SEL pin due to timer issues AVR_CPLD_EXT_2 : in STD_LOGIC; AVR_MOSI : in STD_LOGIC; -- AVR_MISO : out STD_LOGIC := 'Z'; -- NTSC LUMA : inout STD_LOGIC_VECTOR(3 downto 0); SYNC : inout STD_LOGIC; COLORBURST : inout STD_LOGIC; -- CPLD_GPIO : out STD_LOGIC := '0'; -- Memory DATA : inout STD_LOGIC_VECTOR(7 downto 0); ADDR : out STD_LOGIC_VECTOR(18 downto 0); OE_LOW : out STD_LOGIC := '1'; WE_LOW : out STD_LOGIC := '1'; CE_LOW : out STD_LOGIC := '1' ); end VGAtonic_Firmware; architecture Behavioral of VGAtonic_Firmware is -- Handshaking signals from SPI signal SPI_DATA_CACHE : STD_LOGIC_VECTOR(7 downto 0); signal SPI_CACHE_FULL_FLAG : STD_LOGIC; signal SPI_CMD_RESET_FLAG : STD_LOGIC; -- Handshaking signals to SPI signal ACK_USER_RESET : STD_LOGIC; signal ACK_SPI_BYTE : STD_LOGIC; -- Instantiating our SPI slave code (see earlier entries) COMPONENT SPI_Slave PORT( SEL_SPI : in STD_LOGIC; -- SPI Pins from World EXT_SCK : in STD_LOGIC; EXT_SEL : in STD_LOGIC; EXT_MOSI : in STD_LOGIC; EXT_MISO : out STD_LOGIC; -- SPI Pins from AVR AVR_SCK : in STD_LOGIC; AVR_SEL : in STD_LOGIC; AVR_MOSI : in STD_LOGIC; -- AVR_MISO : out STD_LOGIC; ACK_USER_RESET : IN std_logic; ACK_SPI_BYTE : IN std_logic; SPI_DATA_CACHE : OUT std_logic_vector(7 downto 0); SPI_CACHE_FULL_FLAG : OUT std_logic; SPI_CMD_RESET_FLAG : OUT std_logic ); END COMPONENT; -- Instantiating our Display Controller code for NTSC COMPONENT Display_Controller PORT( CLK : IN std_logic; SPI_DATA_CACHE : IN std_logic_vector(7 downto 0); SPI_CACHE_FULL_FLAG : IN std_logic; SPI_CMD_RESET_FLAG : IN std_logic; LUMA : INOUT std_logic_vector(3 downto 0); SYNC : INOUT std_logic; COLORBURST : INOUT std_logic; -- CPLD_GPIO : OUT std_logic; ACK_USER_RESET : INOUT std_logic; ACK_SPI_BYTE : OUT std_logic; ADDR : OUT std_logic_vector(18 downto 0); DATA : INOUT std_logic_vector(7 downto 0); OE_LOW : out STD_LOGIC := '1'; WE_LOW : out STD_LOGIC := '1'; CE_LOW : out STD_LOGIC := '1' ); END COMPONENT; begin -- Nothing special here; we don't even really change the names of the signals. -- Here we map all of the internal and external signals to the respective -- modules for SPI input and NTSC output. Inst_SPI_Slave: SPI_Slave PORT MAP( SEL_SPI => AVR_CPLD_EXT_1, EXT_SCK => EXT_SCK, EXT_SEL => EXT_SEL_CPLD, EXT_MOSI => EXT_MOSI, EXT_MISO => EXT_MISO, AVR_SCK => AVR_SCK, AVR_SEL => AVR_CPLD_EXT_2, AVR_MOSI => AVR_MOSI, -- AVR_MISO => AVR_MISO, SPI_DATA_CACHE => SPI_DATA_CACHE, SPI_CACHE_FULL_FLAG => SPI_CACHE_FULL_FLAG, SPI_CMD_RESET_FLAG => SPI_CMD_RESET_FLAG, ACK_USER_RESET => ACK_USER_RESET, ACK_SPI_BYTE => ACK_SPI_BYTE ); Inst_Display_Controller: Display_Controller PORT MAP( CLK => CLK, LUMA => LUMA, COLORBURST => COLORBURST, SYNC => SYNC, -- CPLD_GPIO => CPLD_GPIO, SPI_DATA_CACHE => SPI_DATA_CACHE, SPI_CACHE_FULL_FLAG => SPI_CACHE_FULL_FLAG, SPI_CMD_RESET_FLAG => SPI_CMD_RESET_FLAG, ACK_USER_RESET => ACK_USER_RESET, ACK_SPI_BYTE => ACK_SPI_BYTE, DATA => DATA, ADDR => ADDR, OE_LOW => OE_LOW, WE_LOW => WE_LOW, CE_LOW => CE_LOW ); end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 04:09:18 09/09/2011 -- Design Name: -- Module Name: comparadordeentradas - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity comparador is Port ( A : in STD_LOGIC; B : in STD_LOGIC; C : in STD_LOGIC; D : in STD_LOGIC; E : in STD_LOGIC; F : in STD_LOGIC; G : in STD_LOGIC; HAB : in STD_LOGIC; RESP : out STD_LOGIC; RETRO : out bit); end comparador; architecture Behavioral of comparadordeentradas is begin RETRO <= (((A XNOR C)and(B XNOR D)and(C XNOR E)) or((A XNOR E)and(B XNOR F)and(C XNOR G)) or((C XNOR E)and(D XNOR F) and( E XNOR G)) ); RESP <= RETRO AND HAB ; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:21:45 03/21/2014 -- Design Name: -- Module Name: header_display - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity header_display is Port ( char : out STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); char_we : out STD_LOGIC := '0'; clk : in STD_LOGIC; ram_clk : out STD_LOGIC := '0'; ram_enable : out STD_LOGIC := '1'; ram_output : in STD_LOGIC_VECTOR(3 downto 0); start : in STD_LOGIC; reset : in STD_LOGIC); end header_display; architecture Behavioral of header_display is type state_type is (IDLE, GET_DATA, START_RISING_EDGE, START_FALLING_EDGE); signal state, next_state : state_type; signal busy_in : STD_LOGIC := '0'; begin process (clk) begin if rising_edge(clk) then if reset='1' then busy_in <= '0'; elsif start = '1' then busy_in <= '1'; elsif state = IDLE then busy_in <= '0'; else busy_in <= busy_in; end if; end if; end process; SYNC_PROC: process (clk) begin if rising_edge(clk) then if (reset = '1') then state <= IDLE; else state <= next_state; end if; end if; end process; OUTPUT_DECODE: process (state, ram_output) begin if state = IDLE then char <= (others=>'0'); char_we <= '0'; ram_clk <= '0'; ram_enable <= '0'; elsif state = GET_DATA then char <= (others=>'0'); char_we <= '0'; ram_enable <= '1'; ram_clk <= '1'; -- zobaczymy czy zadzia³a, mo¿e byæ za póŸno elsif state = START_RISING_EDGE then ram_clk <= '0'; ram_enable <= '0'; char_we <= '1'; char <= "0000" & ram_output; -- mo¿na? zrobiæ coœ do przerabiania na ASCII elsif state = START_FALLING_EDGE then ram_clk <= '0'; ram_enable <= '0'; char_we <= '0'; char <= "0000" & ram_output; end if; end process; NEXT_STATE_DECODE: process (state, start, clk) begin next_state <= state; case (state) is when IDLE => if start = '1' then next_state <= GET_DATA; end if; when GET_DATA => next_state <= START_RISING_EDGE; when START_RISING_EDGE => next_state <= START_FALLING_EDGE; when START_FALLING_EDGE => next_state <= IDLE; when others => next_state <= IDLE; end case; end process; end Behavioral;
entity e is end entity; architecture a of e is signal x, y, z, q, b : bit; begin x <= guarded y; -- Error with b select z <= guarded q when others; -- Error b1: block (b = '1') is begin x <= guarded y; -- OK with b select z <= guarded q when others; -- OK assert guard; -- OK end block; b2: block is signal guard : boolean := true; -- OK signal q : bit; disconnect q : bit after 0 ns; -- OK (sem failure) begin x <= guarded y; -- OK with b select z <= guarded q when others; -- OK end block; end architecture;
--***************************************************************************** -- @Copyright 2008 by guyoubao, All rights reserved. -- Module name : vhdl_code_demo -- Call by : -- Description : this module is the top module of demo. -- IC : EP1C4F400C8 -- Version : A -- Note: : this is a demo -- Author : guyoubao -- Date : 2003.09.07 -- Update : -- 2003.10.15 : xxx(who?) -- add xxxx -- modify xxxxx --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity LED_HANDLE is port ( I_26M_clk : in std_logic; I_reset_n : in std_logic; I_led_dis : in std_logic_vector(3 downto 0); I_adc_dis : in std_logic; I_fifo_full : in std_logic; O_fpga_led0 : out std_logic; O_fpga_led1 : out std_logic; O_fpga_led2 : out std_logic; --CPUд��ָʾ O_fpga_led3 : out std_logic --FPGA����ָʾ ); end LED_HANDLE; architecture ARC_LED_HANDLE of LED_HANDLE is signal S_case_cnt : std_logic_vector(23 downto 0);-- signal S_case : std_logic_vector(2 downto 0); signal S_init : std_logic; signal S_time_tick : std_logic; signal S_time_tick_buf : std_logic; signal S_fpga_led0 : std_logic; signal S_fpga_led1 : std_logic; signal S_fpga_led2 : std_logic; --CPUд��ָʾ signal S_fpga_led3 : std_logic; --FPGA����ָʾ begin O_fpga_led0 <= S_fpga_led0; O_fpga_led1 <= S_fpga_led1; O_fpga_led2 <= S_fpga_led2; O_fpga_led3 <= S_fpga_led3; ------------------------------- --The state transfer one time in 1 second ------------------------------- process(I_26M_clk, I_reset_n) begin if I_reset_n = '0' then S_case <= (others=>'0'); S_case_cnt <= (others=>'0'); S_init <= '0'; S_time_tick <= '0'; elsif rising_edge(I_26M_clk) then --if S_case_cnt >= x"10111110" then --200MHz --if S_case_cnt >= x"019B4E81" then --20MHz S_case_cnt <= S_case_cnt + 1; if (S_case_cnt = 0)then --20MHz S_time_tick <= not S_time_tick; --ʱ�ӽ��ģ�1Sһ�� if S_case >= "100" then S_init <= '1'; S_case <= (others=>'0'); else S_case <= S_case + 1; -- Increment state on one second end if; end if; else null; end if; end process; process(I_26M_clk, I_reset_n) begin if I_reset_n = '0' then S_fpga_led2 <= '1'; S_fpga_led3 <= '1'; elsif rising_edge(I_26M_clk) then if (I_adc_dis = '1') then if (S_time_tick = '1') then S_fpga_led2 <= '0'; else S_fpga_led2 <= '1'; end if; else S_fpga_led2 <= '1'; end if; if (I_fifo_full = '1') then --FIFO is full if (S_time_tick = '1') then S_fpga_led3 <= '0'; else S_fpga_led3 <= '1'; end if; else S_fpga_led3 <= '1'; end if; else null; end if; end process; ------------------------------- --Output the led signal one second ------------------------------- process(I_reset_n,I_26M_clk) begin if I_reset_n = '0' then S_fpga_led0 <= '1'; S_fpga_led1 <= '1'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '1'; else if rising_edge(I_26M_clk) then -- if (S_init = '0') then case S_case is when "001" => S_fpga_led0 <= '0'; S_fpga_led1 <= '1'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '1'; when "010" => S_fpga_led0 <= '1'; S_fpga_led1 <= '0'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '1'; when "011" => S_fpga_led0 <= '1'; S_fpga_led1 <= '1'; -- S_fpga_led2 <= '0'; -- S_fpga_led3 <= '1'; when "100" => S_fpga_led0 <= '0'; S_fpga_led1 <= '0'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '0'; -- when "101" => -- S_fpga_led0 <= '1'; -- S_fpga_led1 <= '1'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '1'; -- when "110" => -- S_fpga_led0 <= '0'; -- S_fpga_led1 <= '0'; -- S_fpga_led2 <= '0'; -- S_fpga_led3 <= '0'; when others => S_fpga_led0 <= '1'; S_fpga_led1 <= '1'; -- S_fpga_led2 <= '1'; -- S_fpga_led3 <= '1'; end case; -- else -- S_time_tick_buf <= S_time_tick; -- if(S_time_tick_buf = not S_time_tick)then -- S_fpga_led3 <= not S_fpga_led3; --FPGA��������ָʾ�� -- end if; else null; end if; end if; end process; end ARC_LED_HANDLE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity latch is Port ( d : in STD_LOGIC_VECTOR (7 downto 0); q : out STD_LOGIC_VECTOR (7 downto 0); en : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC); end latch; architecture Behavioral of latch is begin process(en, clk, reset) begin if(reset = '0') then q <= "00000000"; elsif(rising_edge(clk) AND (en = '1')) then q <= d; end if; end process; end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FPVd9I0cLJcs8Iv9OB+ZhTOgkScya37k6JZACujAqDdDLCxWGbM1K2En+/KOD6IouE3om//tg2n3 KSdp/6cwkQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XX7dCcc8OfQ7+4GEdKyQ3XJiyV5wm7spluAtGcHmlfwZPvdt7wR7Q9WcbQAIUf0vBjB029YxXt8Z TFWswxhWPH6XYEv2Xkivqxa8LaX9LB2prj8btcScHPi41Fi003vIDSHOD2whSKG+lJpn/xxMdUJ3 zN1uZImmo0RdDoAygCw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block k0krSlfzKCy55Fju5kI/IOTT3akxsGbPXsSFxlD1OCOX5fMpw1r9wGp2Vi4uyMIWPtAMGstYcd1m LMQSAFOqKcl1O1OxOT85G7xvMYymw+4OTfqpu57fbLlfb2Ynjvd+aAS/mlKECZkFddIUmeDlxrLF oL1iXbP3Div6vXwTzl3HwkA4YpTM5RNk1TK+m93e2aS8M73KlaJt1876QSks085D72bLeEDyGpnu X+aVwHHIizX+NKGZ7wwicwXWN6oZ09sXkvOssVKY/ky0zlJXfUbcBg6M32tl+JASmD8FNXs+tAVA EEPtzEOPFTD9x6LL7f2x7Whf2yUy4sFpaw07rQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QgGuG2MA2nvf9UubOXsejGfKMqAWTamm4ROHdhPs/hJtDx88tl4L4BRuTmP0YUJgYSz1dhxdVvuL RgnYTFedCt9p8lxSTW6jSMconMa7/uuKxw91mc2c1QlCJ2Uy7n3xLGYZO4eNkvrtCNpl1FVfLHn1 ktMr+cnTW4P57etG5Rs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oqROqd/679qQApkxaISGFKpXFUdsFXHe8wlB91ulqlN08jgwK/Z9t9vHVhNk5mOgx+JVgke71ACj Cisu9Rt5anz6fi8urfI+KLg8OUSLxSlmsbzRq8js0VccUgV8vbuyLJ/M+ubl8mfWCyLNIcUcMy4w JJPp6/y2ujwQcZWmx63ahheYQMhGENiIoYoSCOCRsLIcUgj20YaFSbD6OilHkS5S9OaDj1Kpk1ba yseGiAKgwzICoEwFwm9NZl4WZbGGH6/FeZLYWv4LqUbcj0iT4svVvWPEfgtgaxO6+zVS2fWGOaPD 2fQb3Or2yadYbJI059tYvrotcxrCCiesy0dApA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40864) `protect data_block vGScdNdfIGRzUDcGVqRR88v+zakPsCqVwQrGoOnILrBGTMlLin5oAjo70o2q3SY4VaQw1iFtVoW0 NSqIJkISOCIT6dzc3gozMjoeeXIymxn3jvy+b0L1KgZ35KaV4fh61OkVP84abdsb79EL8Zo1jgP8 oY23Sq3nw2T9oeF3BoBa2duPT1m412e5gHZ0Jzj0G0Dh/2I2vh5Z1mjiMal+Ak20WzfDsLPnaAHT JHJ67qvS/PhoAv6WjMKxun0QfSNRIu2lRYX6ENuP1qEkeT0P2VdLiZA9FIk8wWAJvw4XBD8RL2D1 4HUbc28NB4VZlgVlqEtpI7MZE1kVakpNTVPvHH3xpfUDuYPGSmnpHj1yezcV4AI2xPu6vpyMLWaW pVF3X/gTHzLOnZXjPev3buiVe31dmTRGBpIq4hV6IK2wrDG2ruhJ0SM/pxzuT62a3vq5gFIADQEl yrWEmyDpNPtjSzzq5lyN5aiiIZ1ByvvjmmcJOVYQ6No/XeU45pMeJe0xT5mCdEjeV/ruuaH7RdIK a4Cy72fFXwzfc6WpZB2DjkU/+XdbyohVMD4OTRUXnyoWD2IJ4LtstxYxOh1pchlPynLiU4Vc3soA TAC6FFGqzXgJnv6OVTlbrd2WeycWVBFOMY+OgxtZHgvbbM5flTEOkg6yf7+qX4atKu9p2G9GyVwD yQJyMeGlwwsbs5FSFxcTEplCOWj/R3E460Osk+H4mHGYZFs/6MWadXTyP/5B8pvobcTayedOQ4UT A4sHY2At44Xucp+BO71lPXV7Lr1mAAbT9ypXtU07kSxpuDMgx9WjYnUpghZspDO1QYcjvVa81xC3 CYqlB9+kHBfzY64w4eRk+NTvBO3YfMXi1FrCAZ5k58i5zmD2bLGEeOnmAM0Zwv0TxGn6R0GCFbMS IMIttMd2HlBN0E7LsNgzu/nfUOtjuktY42toCS+TGK7m+VUf7OBFqUOl+nbb8KLN8/BLmLcemK5/ j5s9BC/pEGBH9v6iDXTEa7ukXx4ZIbs7xUEXvrlWe6yW3PU+PoWJEhfGY/42ueb3YnXs18ZmIR4d efu3h6dWt4G/TwFAQYR3nRqZ5hUpL/RT9+Jm/69uc5lQELcmd6LJH5Btdc65I9XpAoEf8R1Q1nMR stbYSpMV/1+cqpP1cR0K5crHpctvUm6DrNp4cwd3ch5uLlLw8qsYHQTweeLghHgjSPNZnASEpUux E8Rp1bNtXn29o64QC3Vf+G6BF3RrYvZ6OMVlDd6i2v6w8X97GkqE+nxMFU9ZEXQaV0lU8uvqjwtM IdZl93xSlYRIiNjt/u9wCzcxVCrXiI1Rp4p35mnhHtZuX+WV4aYaBWJygCAuqksIlca8OqSjUaRp p78Im1zr3q6AT/5FdrUVFFxHwNhCaJ0fjnoEp7yx2xBkDbQawKMy+6vHyN9n7kJM6DfEmE8iFHU4 GQlRStNchnSHWbVNwSwrwnbk/jyszI5aR/Lbt2FDN1b9MMQSOI9bnK3Prn8LnTFmbwpmstKhHbyP ZByERFWkICbRx0V1wF9CbLMtUExFJdAmJOGI2ewrwgOjdbG+COk6OJU9t3uG7Q/6y8ddI8oXbodi ir12GROovCR4d3J+VNUfT4REq+Pz7K1PeEqFsSbXaZ+Ui9ordnngtQ0Clpy0/edgd4Osj+iRqmYg xn8s8mvgcEDjaOzRKHJE0snB9F8h+/ATHymmuYwFee93zYs7jKemRgw2S/UZP0INflzEF9Q/chag wdeoYS+fvx5YcnRpuH9E1gSvqDY9MsExK9PziWlQ0soJlG5+XL+3JEQlVkpSJke1aQa7zTjuxj/1 FwMM5EgF1P1n1zyctMFmiWmOPR3fJ400b4p/R65fVZCXs4YE3nNc8AzP/bDjtGNwAXMHCYP5Sc3f MpIvjWyONk7Rfvc493LVNFa1LRmyyp8kadYr7jbhRs/n37XJjjJQ4iBKtavUUOSM25luQNgm+o4t dHHiLIzAVoQSgZTf6HKN619Xix6Hrf8WdGMO4gRAuQpcEVxadjcJ35wIAKhCqpmCerzFf2S8kvPw uvzM0me1J8Ag0cINZ9SdL2XPzQ3YXhIggrxVGQ9uzfB99pt9KjLui7lYOOhnPyzxFRaieDYivKcE 6MpE9n6ptl4uCpYxUdS2oyE8gf5GeJvxdo00UjSgQcwdPY1RX19OtbcKUOzJL1TGwiZcqmf3spi1 5bEJJNSGWtChS6mkDYlMcZmRA/jACNLE+NGZ/IcJk534zfsUUYY44wGPz3QzKFZfnsjkRy1zy7Oq X9qA0ecpfooC3N+edNSxIXt6WJvRpEvdA3qvIWZjuGMriyuKsZywSjhWbVQKIgjqztwqYHUamIqb XspgI/Fywz9CU3H9yyEMC4qNaPvY+iK9MvSBvEfCaYFc9G4hsK0F+G4717ctKesLk84ID1IllldK 8dFmqjPEB/9iogmXq/OxQBFAawt7eDcg/7bschrkisOxkMrsaGmamNPIifFrLfzpP8F3M+nK3K1N rkW0p1MezIswle9yB7CW2C8jwFubvyf+0v5WArubJSpK5aUVSesTP4RWRowLOC2ugS9qcTMxOjKn qmMZuyRbE+ePswUrUuTtACHueuwHqFXZAM5lFCfjBSpPvx/23DAHmuYeQdbhiXrbdUETFLlk0NNH FXiJXQdwXvmVPcJGi27TsqiT2G5D/Ew2Y0OGIFIupZiV7j9g/46QJkRl5r/3xnrWig5gZ6yHRZQ2 Sjuz15herXPxvY0+JrgJCvrhRxA4P0JKcrQi9fT0CEKGqUT4L88R9i0+P+XlKkQQRPby++LTK99g 9G0W62M+DA2RefWCtvxbZWhtIm8rcJwGWItD0RW8l4RR2F55s4VInmwNn8L6d52vluMdjxpQEV/W JgHnzvha5j5ZbySzpKRMm0/sEAw3BYNwYouxEYkhdHtCCeWI3uCA1yPz3c4PxhdXQN5GMZHP5d+9 fmiFRDleCSEhTipJUFqhTur9LeXytXUYTcTwhkg0ay+AGuaxJOecXleCxURxSGFTcHL/XX4w4Mww NmMxeYcqGUuEFZVHNxMTHbOqPUhr7Rd0M8Adlp56BBMCcYx0JnrFHvCKsZYsYhvHbwPMWpGcfZif THdx3iumTaTI/k8QgufZORY2g2HyvQ3Hm0yxvp2kLQGpFB1tfDHttVd2UmCvr7FMisW2kpqjiUXe vmnLumzqadmAUVvLhhG0oGqMkRQCL7HRiJJEXN3vJ0/duFyHu4D89+K5J8jTNZ7ejjW6+WV5k0qJ z5kptDGQVLPp1ByajddtCU1wIwacRh3ukz7qAUEqoXpyQAvtFCIU9dMtp0l/ZbJTAOzbVNLCnsgv KiHU00pAtkhMSHIGiHn9OKVUWoBjd3o9orlMK6nmkLQBzCa6Kyb0XF3TabhrYd3Zt5TlbjpevfBh zPcoWwsEE79AUv/M0w2xWQb0G1EYJ5BSKhKAAeYUfab0e3hFzBSvQfp9ihk/92FXuqPor1z0SZdM Ja2lbGiAwqST93LgB0zKTjgXQkwX4ReHmIRlz48x1Hx0j8X5q5ZhsKoUZiXqMwu2hJ6pFKhltBWc blT6hpNtTJdeLym0KbntKkB9YpaPCa4NB1KkX3ZuvIpfsp13M7hWeFitWczUX3iSIF710MVSuiew d38eoupm7sZ6+kAFYPODru6uw/h5Na8FiUgV78xGKq2Q+wX5L3KBgotAjkqfEbFbVvcW1cEemS5+ 903AQn4Pg+wXGGxJukvFBGsi4a6RmF5q4QHpRp2HoZwNvl0gf/G5eeLrri27ve0NZtMoCai78kkZ O6jStk5B5GKhveDDu0HU3eYTX6zboVUn4f11ErJjDRU9vqohIlRGK47/HO1hKgbcHlhji0Qtxpxr UXen0VCtfEh4fdbVvuzRKGdulDFUGTKCXfGU+x3S+e4CQAy79M7k5dUBrDna4hv0UrELXaLm2TeY NbevgKfNpqWEFtpUwUWHqZ1cBU3ucnXoUOIWMse5MGomUedZjsW6iXKAje9xoDNuKBqahMyaYQxH unRT9IAeRt40emtnNsww5dB5NLr6ApLPHIXMigHBA667FZMYuXf3AQFD9uXluU7fB1oJkAn8M1vP EKJNb09xdnI5p+jTuqvVIxb1s5qDUr49Cyoh/fEbGLNzgGcSD8OI+HYTRqSJMvYSlDuBCHVF3cb6 fCOrsKBFr2PgfaW455G160acytgre9rwKsXNzn9oheKyMYyLoT473hXE3/l0W/H+QojKbsZ9y+1n HNSAbL+LZ8RWLZjXH43BVk5dd8c4WIw8QDM8EJFtKs5yK45L44lWRjy63r8YfTlbNW7gEHj7dpyX XncMsDjPVAetcdM8nB+w86PMIG1PY8mSyV9Vpdum5mSQYabRggpMkN4vOInQ7JWuTkeyzIaTebnZ TjA94ALcDj/7fiAukfHmDipB2pAo4s0nVXneo4CzQ6pUxBRt3R8ry8iaJ/eBi/t62j3kH/DB/4yn bG/roVNLNWs5dVslJyTDkGfyLgigJ+VeLLdPC9CX4bxM39q84WjRnehsKSABUEM2LrkOGlNqouGr U3HP2mjPNWoYFSJj7Fv5Poqqk5+6192GrQi1NBwVfEAxXqO4B+C6o4Oftl6IJ3adxDJC4JSacE1n ibCTgxIfNYWDQ80Y9BuQdMLqhxUbT4vOMt0eI6Yp+1zPVCCACgSKG0+W3iZq+5nHQILaU1QxC/bO DhRRdElC5XGePn6zepVcvIARmXWaY9W43LAI7zZ4LKUcRrhtmW7J3PEvAZ8LxDSm6bxVFLn1yjX2 OTRMtpipBeEdLxLDayZDkewtS9PCK6VVv2DIl+YoihOBSMnSND0ra49jhFNQa9bZzQobp/fZ85tw cE8liNw9ZXh2R8AXDFA3Pu3bzlN8PypWcacmbxIJELZFh2+JEIQBqL4FPvuTI1S1tlw6hbcKhrsm gOQXy521MsvXmHrcqbNeDmpS9lbF4vBJku/6t+oqqTthKh4cVDmiQuvlV3U/tRBHNzJD1hZ1gvcr 9ewcQ2bI0GDeRgJ5OviIN+Y+jGeNxLHrAHqfWEOGc11lA4Lg/z/1WOQ0n3O/dUZm9RqkEx9EBMPp tnj4Cz1qm0Hu0w9nZpnqdOWTR3VGO1eGgPO5gCLUWeGi+2J6G+3eQxyR9LIeB4UEEvx1zI0DX/ay T1ZBG/ermTGYIDBYYiiANCIJgJF5NPyR76HCFtS/o1P7/bw39iFWR1pjdWVvhj9vfXDX/Wc86kk1 OOBvJ6ZpjKkDZXUdAb12ql3VJ2TCmdMja0FpPDjJSom+vFm9B79N3pwRmmMpr4K54AcNN3z8OKZ0 3zBRgiE4x/mEISiHTBrcg6PPlAE+BlBGyw70bu78Hstw6P2VZqgvKCGl0/DXN2PvJ/IquTb9axAa pGmjkSrpqvYq4O+C43aiUgg/V3d5Z7e865H/aGxQMbbvOKwRiv4tBSZvJ1GL3FdmA/5gPz/EAMHw M7MNE8Os+qNExTmWwE4wxMtafU9DkTh1m5fN3NfbEuzSDaKOo1huBwG0AhqbdK+lWXn709g6+pj3 dxm6+jiZhSwfmMmQCeLOVhNmYQ6cQOsMs+QJWpK+NV7plG8FZUVpC5TN8rXlGTRRNNrNVWRuK1h+ cYUrLaYz9yiwtmFVmgguGGF3V0NYDod46l4ImJ68dzB0yY75Xkkz+7h0XZUrraMorX7SxqK++pCf FIji5DpY+hroF169zNdguDuVqW7qxd07lAex/XcNmEPc9654LFkUNFn/LMG7OXggajCDGi15Dos/ NPZlNg+YQGqdwoIWDpw2M3enjOjvms71o+4YtT+1eviobhS+kwGPZHBN47PY12s6jjk7YRBiW74f RSLGzYJNbxkQxnO78mSDHJu6bQChNSjKQkADaVcYdWO/vomv8NBiPi59BfslvtTu3BoN9hBWtpVz UlJwfNDUipo9ckJIvmHrxVBU+1Vs0pjFXmsggRk129bPhKh6eryvSI2URsUFZaMm/EPbwsxNzkEk OCUJCQrlK/YKIYO6yJ/y7vh/BdoJ1hdWcYLITbivmqauRPmIOKEL9f2gM2wlmdiWB2+uMrsiCVag 3oIsSSlfJABs+zw7GGYIOWMe+ez5C2BpitTJ/b5JcPpxehoOSxtOwudxpcx3pSYQf4VvPZ41Uov/ iKAluhtltklxdeKjBfrL7qQpZEeOJ6mIkUOnx9HJV/rJS/peH04d97tKyr9jvBh4drXFsnvUil4H BWzqwC6J6rZ9/9mfVrSpC3usozqW1qyZ3GNdUSYgn+NNVtDrzQJQvVLWLEqzCVWNGgZaOJuRcg3T 4mlKu/e2QyPPoHEwz4v5IkMhHFG9vUs8YPzy09LDSBXatuekCIxW0XOM7bJ9QQeAru6sXP8CO/51 pMuqQ8nlGyPJmnVa9cG5wtkzFAo0bzjm28fSVTe1qkRBG0XHzilyG3xXyltusj4fAkmmgr22ZWN8 87j6UajUHAhO5F9EXOLLSsmVfwAFJZ+4RlCqqB8PQfUY/q+9lKIDUcDieF9UP0dgNmOM/uC7CzaK 0SXB16D2GbwPIWxXM88LVKb9/QMkuvK4hvYb/D4tLORmXselVL/7xrCer/Q2MUXB1nTJUgvecrcm 1mMBwVYOlbOGeQg7+6yvjL+0xVMOnaztdQOaJ4x4kqNspQuGnXwDubadG4RN5M5OAIypFjauKqR2 S7u7d5pDtlrVCda8yzq2LkfAjd7lCSku9zq+hf526v1J5IyT6LljMN6fuxDg/5Q0oX7IzTowjugt mWpZtzxJesl2dZv5wHK3n9mjBTzHgfCab/wZiGN0tc75mLl0vmMivgJI+5aopb0e9aQF25HDpRRK k7HJAkNXmdet3hUfOP3bwa4BWk4n07Vm3C8pgsIL7QQvfStsAxMZS2QA8pSOHFE3q/qp2l/jsWj1 jCaVttVx+KkAmMQ60L7rnUokyinxqgECCT68+Gix0tkzAqFXmpIuMEXxUedOf/Sg4Id6TFLXL5yp dIWha/L4yRgLwM0awVba+gG1g/tyRHRWANmNtGrFwoEE37PCMK7FNgbDtDVeKe4cCNAkMAGP2Plm 1RlNCgv7RxMbK/V+3DoMKaiVwyQkKZ8q5GYBNwMFoN8TY21IxIXH3c7z19TS3C2LS3jeGFRFxN4S 2Vy2k3NAQhhBisSjUmeVq0isbm/K9Z0vy24koShvgstJRejS+isUllFL4KCd2tmsbA3BCxafvI1+ O5AXY+LCA24521Qt+CXMmLE1XeWGgo+ngRFGPEybShb8onDaZX6KIZZgfDqOu4mKXHP9ZQvj60Sb bFBB+/njE6vQPGB3/ivN7cE+vuTmkjAvztUtgwbef1b38X80oupVh1455T+mHPngOegx2jxHjWou T8mGiILIrQbrLHxM1POmfi716NeQK7314H5E+igmcrjWiWrO2Otu3ZVFal+4JCRZ9dkmwPFpF1rs cA4wzn1n0tW0uZCEUrETWsbjF9NfxxxwfmLPS3/D2T9IQOJ7NZogqq9j99j0LMw5CTftsycnj3nH /MT1geaO8tODz0A8rlqmV+4YKUmhOTv04SXXPGYYLHVhTEGEJnJKK+ff+AO74O2bcw/v9wqELse2 dpJMbGpaxffKt6mkk0PBfpzfy9IlW/cKrcfqISH62EidvoV1WM43bpzIUgdxXT2YvOKgMao8I/X5 SmACQjv65/bHZsfzJJx2F/OsjtEjztC/rkT/rHpNzs+ZK9RQR6UO1h77Lt8UZOg5VpLTy4iZnH3Q IZWgOoiPIpC1AHm+nNQbvfClZWKE3u9o4AS4wxRMjwQP2Ctr2uKyoEKUvuA/FZSIo7tNDK4CrD7t PPRS/qfdVlunRcyX//mgZKibXqYbtmvPFo1ZSNpjYMkkjQUlMa0H+rWveZEJ3M4UqIWUpimRrAYJ 8Ii05rSCmL8aJhhHji7ydx3XpTrtTBFsCU1IMWABFb1487NHQsH8O0Q0p+SFv/d8TECkBzKCH9uc cUMqNkDQOfqNRtQzxoiT9l7rEBbeaI1o6QCJBMaTCidsWWSi46WlTrm5+UMf4WlZ7LsPpUMYF2xN AvaNA1qnL0GqRhllff7Ikl22i7zKecbyew+hUyXWMhTwh3Rpfpee+cbxnq8OqHaIBT0JMbHxgMSD LtnTH3HdKysz2+W2Gv9KuyFszEkNnv5YXCKZpowM83zhk2gG+Bcf2POmuRPw/hc4H5I2dmh7hn5w VAvbW6vvMR3EaqG8rrJknjoJvnKtj5mvfVpv59EqOcuo82xzBDHuC54FTrqJqPQUx0AXsfiFk+qL 6pMOV0T6RFmcB8mHe3s6uXmgrpNWFkc/piaPhfGrt6yBDDoG6/vZ4ICH2qcHxd7htOdikVbBV7yb PYM4QC+6mXhQ/jyp3yDj/h+Os8QVOY9ZR0qAAtAs4RZOKoLczFgreo5pT255nrq73XbZf6Azk0/K BFeJqSx44bJXZMWhN0SAIJfevbkKy2nR/fwkl6NI6Q7Bsv9lXny2W/vBVtEEyXXQmHttv1n7cVaC RtGSvnkc6IyPNR1JmDxBp9qvMHTw1fWAFSldmDTZNjLdMF5CBhlaaldExX1zjC7baL7X2XsoZygw 6/1OAiQBVRHxEb9oIgY3zpT0AUqcr3EiWBcUxn4uqilvUm9H8RY7+ZPfWQ4M1M2F4EPL227vY8KL WV5zrVVjd8VSbr1eTEUT3vzVCZRw/JHAVvEV4VSAJeYukzfSRcPpddPRg0SG5mhZWGb2B9LtjRpW /61JbfxhamAFmo5gIv0JV54n0gItY7HsaZKS/pucMraXZ41dCEsUQ3cGTi6qZUWqzP2rW6ZP9N3d y3W7KV/7aGc8mwUuJb57QFikcShHeghvqbydjEsse8+NalpM+YwpyelqtqzAoZ9AKnhDTuP79dvd 2iEvJOodGeFLs//nfUNN2AqbRfyeJoueVTa0iwEpZpY1iC9tcBiJdn7Ck4TwwEEQhsoYG5Ls5biX ELR868B6H/dGtePEC3E3UU/Z67zAFLGWHDxeAKfOzZne3ovxEHDKK0AynQdaDw2dQQUoLGHSF4tW JP+ySHIuGAddxuNOyNTh2Ei1+8pQvAHe/fQMKgKVJ+5dya5Hxsm7ewLsf5qXx7R+RyOgWmnm+16q 3o6hV5lJpdDAcXqNkUa9qtlVbhAhodyIh+TamR4eVwQGhMrRFV7zflvu0Ui70tJBReOGX4XVqwp+ pxeZhvQetBgRES40fyJrKLbr6+A3V4dIKPc3Au1PhTga9d+gUNJXt9txFTJKjofNOLLvgVdpxJVe Gs/R8DJQY3MIb3T7x5FSfjsb5tjA2c1gra4V2CEOzM1rKseymrxKDPFnY248zATMBDOgutSGirdj 4kxi6XtNGK6ekBPLpm7J0VF6hMWQJgIwoLnrul63CzXu+Nrt3gzwoATLCdqF6m7k0He/dwofolJL yQ+fKiYBMdPc83KOxth4uAaNZFDr1AcARWLOWVT1xNni7rq3r/ksK+75+M2R0oUkyZBHX8McSP3M BoZdoIiHqSmUZucseiUr2HKTXqkxDB24k6DXKHqs85Lr54X4kFUArTPU9Tiggn7xyxlPqHmJd3tn RYDXkCQ0Tmzgx5647WhFNNb2FBFfrMUpI2OyuuueRMc2pId2ZIZ0VX+9Vnlt1W9Kin6mJ57aXyIQ 9Tw24NiyZbtg/qorEo6BulIZTradK7IsdOJSt20NsF3F3+4e70bJqby3BdddRjSJZD41mQnqhhjI ks4B3TDqgJ80FKJ3t3cLkpyUgBoQKF4mXRBLwhBeX/8cGnKwagyu+Fp/aZRY7GxIVKnurZGBpORQ B1t3JCA/eIZlMybeLWy0D5xd6x93mkhsjKixQIOo5CgPDQS8+tkI8mVuMCaZ6qxQ4DWL6ONgE28T s/SQgqUP86FEgAJ/H5capoBnuSz9Vg450Kn5WK8HnZa8H2mkFGrwQBHSSUTwIkZfeIP/ClOZ5hky gkcY/o+oa1tRWpTchx5tdXSROyvcyavh3NIht6JrNbBH9hcv47lbTsdRwviLgzppHfjqS3gOQM+P aW9WeYrs3/+PsXVZKVrO2wG4r5LzOa8mvkTguxabf0sMr+CkQ4/FtT0z7RCC75+ahNDEyTkYM7ux dBIu8z4d3L+g8kFJ5OOAuPSTPgxBd8uhu5abHsn6dbjCVmFwjd/IqgiPnia/zEOxONZHoL64wu+M k2q1aVpXcPQTCrX+6AApDzKwpxoDKRyb94MC9B34aQ74LJayGHgxFKLSiQKiL9XBPqhMIYaWGb/R uDTEzvoVZHZVlCaaALkd1H6h74jXcJX+JXJMtkpJEit6+MurpWjAas0AUL0ll/zsB2GqCLcoK3dv 98KybgcuEy655OkN3lTjG+jDpAOw/LpEv+RKp1ZIOMLytWEkOxpulkCUrP1Cv13BPlridGuzXWEQ 4bL3/VNyKt/y1W72EtvM8aLMziAJSBZx3D99p+v4aql6cITqClG/IlEYHIqGmnxiH34YSinauwyh fu7wgkxoNJr7PWpmS7aiwciJ4PJMlSVfoGP5I6u90F0WP4IByDHT/VcBqcPM3ixjKxGGyhrvGTXN 1xhTSNWBFp9YA8IXUevbhboX8+WSlhLsOvFr7vCW7kxBouMzJpkpqKKbWiHwrTchZGUHssxEdayD nk/RClDgM/Qa9NZzio+P5PD1PYgUkBkdzo/ZrzRMqT1xdmwoU3rGoiKxQg4iOsJlkmOESuLFOZzG ugtTzJhMNZs3bQSkHzk2TJdZMToEoxgIO601b8VNdgHC+xpikZShCzxg95YKMfy4uy2+HMJtCCcM sKuPlSZf4IAvKwAkqlf3mBntecbFBi6TKQebZL8t8/BK/leuC1o/DzmwQEP4cud53oRbKCPxBmdw HZkmboJK0F8ooCQrIaINd9b0LTLcHODJeBMPRaoq1jJNCSDHqvbsWluylY8eC9Jh+gC7kC2M1Ima 01jZoqVzj1Jj2X5b/3Nmem/AbfZrgOCT/gDUTGodmg1pYgo4E41SbxPbw5Ymw6nz6wQgn8VfWpEF y1ntPvODrniz2ZJh8CHUfQN3ZhsXY/mFRbx5KEIqeoP1CEg8Xv4rouoI335non04LyfaeLr+0B/q fqTPWTKekYr6zCzlbIeMjwhk15cdam+dm2wRLkiV3cO/DdxuouqXyTEM6tL+6EbjI00H/xoz3lJE Hnz3r+F49WCqGZGE3y6L/Xzue8iAuqSCliZAvZtCMKnbR7yL1FvA2Gh2FyGXpsY7zh6eEhjnmH80 D5zpL/2vbSY6WgnQjAW3VSLXENrOKeUUuLrHvjsi6RWZDKnF9CVdGtekAR5c3PPR6zxQT/pbGhG/ 8faeaL+s2kHE1W85loDpaSMIc0dfACvDPLkd0EotcrzdcSnJfeESBA5pnCRuMBR2EhElsta89AqX ne7u9l+07w2H6zJPkiHnrlu9OWH6tFBRSVrqC05FEuS0hSpjsk80Okqq3lBSKna98jzKMcy+CWW0 iFXnpFg00yenJBTqZCeDuEk54OZwl5Rc7yx271f/EFGK3ny20TFsvV33PNYzIAd9LLAVtp/dtpia VFMs4OaXEaMEWe5mKzDJGlvPDkOrMCdQXqb0r1SgTQ7w/C7/1pqxk/iWXXQchjbeRIXuctCKgcob OWUie0vc3esU/vZJF8GXGTjtR39sD+rZ3Q/3ykSIVbBp+IuP/8YDd3/fkOY2g+5zaN+vym6RVKl4 58cXsyICnaemXwDOhVEnUi6n2V0RuMOhjTZAXJQzvzybXqMNtGLxgYpr4nCC0yLVTMetAV3/wFCe Ph7//51ZBA5WGzuyvJ6ZpPkToYn0N275eGU0TIpN3CwpzAT+WkNpCZjZs1hS/M2Rl6prIrS/xTR5 PQQDs+YrM3gWjqMjFp2b52ucO93KrmExjxpEGaaVjsYrt0nZvV6+xIw20H+dM4o0t3ljG4K3uXQb aVmZx+T2ItCjh5FKgEiZPx8gF8So2Xp/UTSZkolnN8O7NvE9xMDhfm558Sd6mTex1Ael2NGfnUm3 YghIF0VSGUs2kMChepzwQQlr8JP0OCXPz6RG0vZj+eV1scZ6tdpLU+JxD8aa2XdRY6LYGDW05xDc mvP3yuYSsntHQ+xW2Wib6xqVqyVcH78TqBOPkSAqNoxsUVwmR+877/SmWD4pOf4bspfVXPhNlTDt OlruOPhLV9N3hcDj2GdprxSFHLU+r21Jr0djB/usUYnnrqxwzgq5gNoRT/ncGkmSp27hGz3KbKkf IVnoGIClz30FUGmC1naWCbz/8U5x96zBrBEXtc5LdnDZQKFfnMQNSQxVp2d3nUNImi8OD6tyYJ5U MAQ0iQ4KSLq2f8IFo3YRyIBRo+pH9//v/u2b8kQ+PfyOk2g5CSKLalisz5aY50ZCex6cTYJs9FUn caq4uQ6181fNCJ1wcAD761gPk5YMT+gZaVLCh8rX9UmVoybkmC78NK5KjVR68PI16Ndn8i9zxlZU 1SuSAMKCrIDPUjGrevZ7+TXVHedOcwV5CVZMFJsnvHBjLnwiMhHT5baLztS0zxWHdgR2w2ingF0y uGiCSr8zqmXz5kxowLxAgvu4qWYbfaGD2GHXrTO54CXT5vQ0TIsTAO/98IXN5AwsHXebN8wGJF/p 0SMIAHKxw1GPV52cvGE7PDD4a7pfRQDWsorD4UVL9FN9JKf2HHzsdmA82xxwMPju3H2ITTo7RXAb cAMbNCTbmhsTG6azvfs7c5YAHhm6wXUwgDkNAZMCjOMzCaEDG8rmo6oGpmlugnRDyEa3STA7H7XM eXeagwR9in6Y59NObY6vrQome2zUCGCiZesz4KnF44NnTEYuEsvrvXUxWkHFzpu02quzW7h8mjaA NqHlnfsVooSUYYhmrovYLpJJLPo4r18PL1zz+5GPPPSfEg88ujd3UVHbK1dMteNIFWBsK5gnSfPo 55e/PepGsyjq2qdIBEsLhPUsBZgtdeYq71rLHP0PN2Gklgzb2AQP7hHE33HZNDzn+cqfspNSDSMW oOloCQyDRe+taNTnptFXbQS5K6hW9VjO3zZsiHzjdQkHVUXxcBTcVk5TbZAV0v7gBvcPoCakX4/p 1nWN+fI+4fu6kgotizmON18APoj/xrXx73PZPx9mIl9gNzCKXTlfeO0PmLebFg9GIZ7q8EypVKH5 bjOUrH8qOEiSay/P3Z2J7HVI+c2hgJ3+LYoZzWSTQQKouazfKNSgSrpi0KJV7zMHxi3xiA9l8vLB 3crsXxya8SpYXbqAmkvB16C+nklYkaAyqeychPBOR+bvX78UU0RHSzhMKPOHfm2XKiCKt44tS1hj fHBdfCvyEukHmnp8Qr0weN7/36Y21FxaQ4f24/CcXIqRh3nplobGqytm0Rn0a5gZoUNyeFNqQTJ6 OnQTp0FWUlryQ+2vIqu2er266z0UEhp+N34GDvA3p6m4YKbKW7iLgM0yA62TuJdjVZyRsf3mS5yW v9eZpRjwK9pefOsL5HL3aOCJ4ARbFFIVNm9aI8ij5cazXutIArQwGAS28cP4WwWqBQZxfroN0VoK J0XEQrhIlhpV20Aw2kRKPVcqKA+sOsyVA5UB+2hlLlyc9SEzaHzAgrMUnzhJUjaiF3SiRolYfXbr cCYM6ot7LOMxcLPuOkB9YCxd4lPjmGWf0Dqj+ZgUN2i9o7KTrSjEleAYKQOhW00n+QLPxjYoTWLV L1OwvOE9avsoTa+lOfRQ+XkeqKzmVz0JRSkJb+Ou6F/TEue7Quk7IH81qaw/+/KEYdSkShtH/A+R VkKlZ09HBm9s3isks6c3NwTB5oNScRdsujhuDcKjPfwwrbJx4AWeolNN4kRqvtk/mZFMrRE95VCE zmGJKnPZOj+PnwFLbjpYmAQttmADeEWExuNLV+6+wc/KR1Sz9T5mBq23YX8UJGMhVUOjVa8f5Vb8 muEPGS6ZyFTIIpO2DWaPd8AAzQMJqtIWCaDS4IbKc3mp7lcbVALW1FHK0JvYp4jQ9wGyJdFV0jLL sNvlLNTzCWNZ/YACqn0TgXXfv4iaDTZhmBNZT4riE8VFtR3e86jaLB2+5UtRhmhbml4o/sFowbX3 6LcQUnlW76iQNlDhgbZHn+1z6IcenzKw7GiZhAl0nVKIMIWf+wh18paALYyR/8Ic9s/FCj5Skh6K jKvYyXjdNC4TNilecftScqqimM28NadmQYw7vw+RgbRgVquMaanaBOT6vX63FVEa6uWbWqjCGuQ1 gA3/PVMVF2GiZSUZvozKOgc/rtEKAdgTGDYLHaWkHmf+NF3vjQ+V02gtE3wZK7M+A9EZn4Zpi5Uv zDfO4ayhTvq6jMIIuw06cSp6giTnx0t4MfeZ1Pujf+CmgUKdIrrL+wMz7kEOq9cfwUkblhqrOyyn 7AwreBMKCWv5Z9uy4w8NtuE1sO9iIhIUwj0iTVNWX1v1SQbDPVRrwWOZfDP1E9bEd/Md6FgrWbrq /KdSZHIcDSfhAjAscqnr14agtC5p2LcbTzInfqRAmyGdpSB6fyiJcbZJwayCUYSJSgGkMM1ZXBK5 eKL2ruWksCcgPRHZuBVjDojiFpJqUW2G79/PxSnEIfl7HOO8wJLOu602qzVAjacKFuuiPnrOQi3u pc56XNYcwPmEuBZkI22C4XQ6xWMvfbej6fDzSBpQTuRgtMxciKoASGhfM4csZ60lfEu0rRrvd7uF y7fnLhAekF4RnCFPQCiHoSIo12kiHK8q5RwFzIcyLWQyABMUXRWiiWdcfaCNkbm593J9TX0nSAje blgzaUss7QWxcz+nMPs7rM3KeGviJV+TTceE4hZcwYU95IDIxi605xO5uwJQoi/Zwws9orSb6ZU3 prZcgX0YYNig/re8CoPZcqeNMZAqu4/mfAEq7RuZ7bQs1kt0fGm27o/lECNEn+Qr77n5AQROHadT 0q1BKNuEXFhczHGhBUv1/hVEnrV5NW6v7i1+9L1TbeCTLm5yAKi9D9Fibwh3RyIG8d2A+Fc1S8h3 qY+2r+0oOXZ163b2cQeckjhRH+Ux8wtqlWHQxjVmD6ryuQLqiwfj7fvsl+DxadHp2IBcKB+1aydS hl4JfdGfoS0E4J6+R/vfJRXb5/EFK/RtQpx1Y1Rn50JMjU0k2F6wTnrIBJ4LzkM07d3AyBM/R9Vq LA/o37g+jAln9tXt45/+AMTQjZaK6jHg8cr+g3SyUEg5LJBzajnn3SryVQyhV7mQ0JFS7q7n5QAY OUlkr3kilR4y8mH3tn0Z61KrfDIx2LbkxzHgezvZaGid6YQT/rVE5Xp7LAhjjbbzuGNqKWxuLMxH K5yT/FrtIPvAxe8soBkn48fmfiYqJWA7banJFYC1ACsywimu44cWLQ+K0GSNfcJ9mXt5tkOsEalp iD6VqKSAgf7iIMDnkZpr0AwmRzZo/eDBGWG0Txg90J9eoMCtBMj+z5g7R3bezw/p4c3QXIs8+D2q LUDqCTWGXfdD3fArDecGXLk3WvHhf6zL9rJILg9d0Qf5yAQNHKRPAuEOxJjxKBP7Vd6Wfo2L8MrI 3VEm/v2d36EOysSqf4mF4X1EV9xQYT7mKF6bXbnI+PWLMe0iyItzgCJzivrSIrqfrbZM020v34aj R1fPSQzpTm4HXGdJWyA8HheK4QzBDNHt2wJ0mlAdxaT2IKdwrgDLmaBf0snqtM0+zU91ECjmiwmu xo0LqIOKAvHOmpOw+7GRZh8ISOK/xmYelVEYsBjoORBDmXoNQYQArcLKepdcHjfmAlWy9y9P8lIP B7PqQGU3ioSXUnAOJxzEY6eJWA3zoryPcQVU6on0NO+beIsmwK8zppojp/EIIyotENyB+rBY/NN0 5cjFABLSu0yzaM0RQopve6Z6O48+JN7TsnQ9ni3hFyJVpmFS3cuCKtt5NwdALMUA5+lOAJPgu+g/ jV4Rcs3D/Fbd0zQlI57Lnp5fXtYz5jaKbfayqREISdSvVaaD948YpKn+wZUZRWZjXEeMPkHqP67n EiwUuk3BflTtPtr6E1MJAruGQg+lWh8Xw5ZzqF4I1uFcZjaCQzdwNk+0hAJ4ud8EOjLvHTYYMz2z Z/HiWvbmeSML2XAxUHYjT/J3DYVA+J6JWaFWu6Iu6q3sSmhzQaZTEL8jxUkbr3tb4YQ4jnl6d8sR ZEK9/evhKmgTboWJSXofqYo0LaLfNrFyoHa9xGbZ4Sp1t90lkGwZF0rhfZkYUW6hIzqmBcArhc6b 7up/WbLpXThTKoQNG+Y2XYFxSEiBg6PF7l+UMNPPZT++LPsijldw+Bp90YouUi6xEAMQLzZcjEYV AdgJkEkHC3fm7lJ7N1fDaqOMNDtIM0d5mJQkSYdJv0RYTK9Rt50j9Fgsj1BUJK2x16BSfLiBDQxg b+u75wFUFHOtxXMJcM8sfl16lc4Lo51mtkziacrfdNw3f6AAIy8qLJLr58rmkS2D0h8zx/SNy+My GmH4KJo/yMlqh8idFPOP7kxuFseKkJSUJaWlILwtyFHr13Iy9NBx+eTQQD2Wr0fP4d7SDr5tDUeO zHchx21QD2GKJAdT14R1QEDw4VmK6z7tZKrWOuvIZVMclf9M3vLTwCebk3NUM9OgZu/bZXJLprmz SVJVDs7AVkCyD3R565zcxWesZIOIkylHFTjfeT3qFX1TNyEA3SWPuIqISDA67id4v5lgxllO5qxo cgeRr9L4oAUZOWKsRviuUpmVr6fdbYNJXDvt29GJohb1+rmurFUknxxjGewcZmhzS/y59V861pQM NTMqwdWnJzN3bs1EYmfsVRoLn6snB1Ncw5ZS/WTSz50mGMP6vKc/7MlxsTasWC5es3evrG98zQYf ybBLV/hN07jVCvqag9jcYgg3gvU7H9QhO2xiKviuyh3VQCHQEh8LpcPd2XPvYqPaaFTxJKVW1IJ/ pDxykZSWmWhh8dIuN3ap1aQJ5ycV0KxP7aFBLbAQ6IuPrS5vYTSZvFQ62O5RZH1zDZ/govBxVtOZ xMKCBq8A6sdaVqEDDTaIElbQ9S9GCTUBA0SiIBTxe8OXMT4rs450GNpk0W7FYqdATEG01kY55K/p Z9XA0jRvObshI4jRf4vEQ3yl2PP4OHsj7W7uip8mRI2y9hFH47WdPn3tHvi9beT53ghCArKd4lVS Qw41ZSHTh9ox64sH/9xCVqRxCsFa+UgKS7IckDVv0/I4fh6HElczyzwVUcCvJMzyTYWS+uP/Ty4g 6zNHay2dC3o0Iy78r7jcFnEc3uHBne4mpAbPXJNU/GKeu3uSzPTuclfA84y0ZS0rTYdJ2bVF9y7u nzuPJ1p5zCokfhvODyNZh/+qg0V1387to6+QV36o4/hPfMPwGZSgf4n07tJxYOvCO1Bpi2GWiIAq MIc7YKudheQ5mlkILdjht9BwDkjwBzD5XHzaZCN3GO+d4GLsXVZqYWZqFGFwbtXabXHiN69J/Bpd DLTrsPCq42oHIwp5WgplDN8lCd4R8ktleN37nFY3b3jmFZ/wrJeVOZjFYdHWdbIuGuW4rKO5CCip fQFY6rsHGmiYHOEvxWvcwZZibHkDs/pqj+cT49htXWNQjmUxSUiqKuZm580n9gbYoamWz75DMK4n MTbGuEnex0bqEWHcEAHaV8MCISf1yfZC7/j7oggHidP/DkIGedmFoCv8nxAomEKqI6i8X9yf8s3/ deNNzshyMlRF6GNWrEVQ8hzopv6MI9a9xWCa8cUtCr9FyiXVUaimjHoN+gT7LB4wcGYJ2Xg5wC6f G6jmvolS1/Mwge7qkbKdHemqZ37sKfFIGylVZWOaNnOrRRy3KDdhOmOFs67cbPtGbghIvCgmWu0D Ud8bGgg/3aBfx7qrE6g/p8dFN/I/kF40Sq+xbGOWL73iQbuw892TA6rlovnHPxQ173QBNTledTl4 zeqB6jB7I0OsNYSouvbY9mvp7VpzuTtl7VTl+YDr2KCmqHR43W2gvM2oDgLgVkOa6YnzevPxQoUx xByNDHbKTj9//n4Eb26PLHfrORqStR6RIo/7lzI8s6PwEUhJoug3Zte8SSIowVGGLLVyPPE66Lut md3wfu0WBejwqEswhvoRFpIZ63urdhVbuuolVh2BI6bM0i7OtRfhpj+nRm35GnrLfVQqQzOPK28b 9mOkjZEusi2I5WdGhI9O/yjN0WAe4fT30yr12Jps8Oo3ACvJ96abxI1VeEp1ztXKyVuDEi7EFyR9 MYq7Ef4kFbVKcRm8pQkPpcVXqegmXonAcTrKyKhci+0YK1zj+WOCzslODxP8evS8PJaT9O0xcSd+ m2dz+wfWFHWb2msTse1yNVcPdBdQCZlPPTiUYVFJPpuDwO/Yi3N3fIQIiAEeAXkmMVnVD6mtQJTJ k6PDiqHjNCXu+mqvJrBguYDzwWxsHXfgrnfu1GHvbPbV0z3Wdcn77+PKhmRZcClrKfb59PQJIc53 WZEs8Bl4m1CD/RtBUZHzqgfIUvj1mK5H5HGdnmivwgo+6iPDyZu9fAvG3VnjbLX1ni/YdWdpcLbv eodGW2ulH95mrubWUqSV2JSRBVqYPy4fEYJzbupQVk+/vgm59Oa6A4GYLEUooRvUEvcvWY8ZrZJv I5jirP1qXcqGWlUs5MPWnSCajn1yVQWhKlKdquN+ASbNTcTpY2vSQFRkwaDHIf2yy15z65GqaUAI 5ZlAe6sFMRbcf+HFnuOX+gHpE4V9O+QcSoXT3NNxD1NpwIU1gArD/1JICQvgTkVHfziDlzg7SjAF 0axYqHCoDcqHNp8FSC3mR6W7GaExkUEX7G8bTEvrJcPNIKXc9PtGB9X6ZixmDn4G1yK0iFi6takD VRsIw+1NZ1d5r5idJF9KOBVIvgGu3e/DhMimHhdgYzUouNj/qQjWlREEJXqYbXsWbuCH+DDxIjjB 2FUVn6w78VyKd0b40nMEInx3SY9jRj8Q0EDySK9dEnkbWjmwsS00WQcHdnmdF5iUzvGnxXQWdl2a V1pQCgoMkgZ22qIXYHdvzgnC+Lq2UwB6G6Msg0AMyl2vSxqy9u/bdzrPZNzdQLAPhTSW8Qe8DlTH 4DFC5A8m9CNhIACSHLn3D49v0oQgXvVeGFqi/yo7rCb4JZE/cLa7u8RvpbsKR0gik3Syg+T8Yscx iMk7Db5iwMesLLqtxykZ8ZIfIzF56CG/lxwooqXgruZ/fPkWHB3b/CZsccnQnXQB2V7SJGu0+VGv QrgE8Q5QxAcRj6Si1D1qiRzFpVChWGzIWDf/l+fRp1ziDck9M29jIGjfGJZbBgb794w0PkQuLvTm jY0B/dZl62gtqnIsKVhBbAuIiW/IYCAvSWTmQ7MyvWTQ87B1YzYCAmCD6XNHRJB3G43j7CQKtXqq InZ4qP2a09YBo+WBBC3ainauoRN9z6YvPqWXLOnbXf6I7/0NwhbgnuEn5HpbGzlYIacLOj//gNzP mmJG2hYKrMSaxBtGqHpgSf3DaXJQOQPePDjbCNznyp3uL6lcaeyox3L4BSf4b446KiQUrbbBX6Hi hP/GwmDm7HnbHrfM/44QEHoTQrRdeIxDdcezyqtZrjWiVxObO165bsJCSqeMNW5mT9+LWwHU0cDV Y3LPW0Ye+wyS//xbLD5WpNN3VPO21wTSc4Qt/GGeUJYnagimO31rWi+598VHZFzUfQVD24a9SLYG JMEG/C5JixVUpzu9kA+H5bkkcq0U2EiZf5x9Z/m01W9FJk77IJMbmNTXW1n6koiJHg1Jv29+/Y2O DxofdL9nVQnEcrpmijZHiauMohI31JEg4mT2s44AzTSOrZPtXQemriN+kKR9zsThVB/As9EkTpn/ PJY1SXFYPnoVi3vMfWcEP8SImX2NGiT4H1KFJWPgykgH/oSxiFf5EGBpbRGghcVtTtlGYyBtvmeZ P6uJqhV3RF6e3JcMhOGgnSariHbjNhsNwO2R8gzMrgzw9UN6aaYymNlsde7rUWQMEo7gtZOkU6sj R+Hjs/1i1vFXuKHloRL1uRkhEmbwqeTcrX4ozlHdA6FoVd9nlZS4YmBdvr8lYz//xUNBBGmvhb+9 O5/WGp0zoB/5eD1DmZUgQG+O9b5U4QnR/HTknOJ98Q7jKyk0NZ9JP+i7wwbEkUOBH+RXQT/nYGwT bZaR2PLpVNT5fK/7kzNJ3JVovucI92FBo2MjCYUj4fNjhAB7qRq5487IJTL3taeqz33wDpgirk5J eZD6XBSqMaKNEMTVT9g0/UrfHhw72w5nOUnMK4EoT+y+vSQSTG61M12pkpOnny+l8yLrWrSOhUze 518xvCHg01qrvoFDIFsfdrfImAbmIOkn+Mu8UhmKDot9ATG7/QCB4ubEoYFMvS+ktvHdxQN50B70 FGNRNfSrt/6Wjl0gmwxJhhkUroa3qyivbawjA3R6LpJCzGuNfRCSJLjA+vdRTHnpOvQiVvnDmuRG mK1FGVuP01/HFKceZm8QZ0XpYi9yxVqmJMWTbsWINBYT0960OFv+V6dAfK/EOXGx9QwGJjWVc+nm AJD0jZb02r3IYeGYRcJ8B/5jzb+fb7Ns0PTy3zICGjp2hQlWooM6ITwnN/X+mjoJMZ35/Ee0gGO8 SQCgM5IjI5737obJHMQ3163ixxlNulvOnnpszeQlpuadlMKAEQvCJGAfzT+bBTWQop3RxOBewQ4q f9gCsD9Wfqeqi/uH6Tery1iYvEU+srVhcv7EdRixPHg6BEwe9dQgWgUpnRI8dF0ngqqUVPkfXf3s in/jCNH+i2YigvQTuKiPXnRI+y1appb+HiJQBbHsPWd5mRw0QbCdtT1O6+GBGz/ddFtoegrgox41 3cIJqwzLGbCIJdmvrggdGt373WRJs7iAkQIX/poty0Zl+3Ru9uwYWOJaO1xvvvmATqMSJaStNl7v zIK260/vUP40M34aGLS5WBYN5KIpMlj1WAxkuBbpB2LjdtgjbeRpSWYpeOBTlHOwADOwNmjAWZ5t vZLMREdcVRu2wfPvaDftgWKihoAFjiPf817q5h920l1rDWrkW0MIvtge9p16IocbTj2/eoMI7VYZ IEdBMIx9KsULqRyAo883Q7GG3IvFU4vL7Lx79KNomCTuysCfizXDG5Ieg5ScgyRuSGpP/OULj4Nz 6/GbtZRNC/GcWYy595/FWUtDPsX6QbSyOi80gJQvf4vEKVrfSe4LP8zlQfP0+tVYWP4nu/HuuhML h53HDBSZX0zJKP/eEx4QRhDAnDHVYnLpR+04G3wIKt8W5gAkPANYazF8b8z2LTyte4NWN/vzSZXj IJ8ch54gS+QeCSp0Jl+yC/0aRVjfmGGpCIkETSCllXQDJILBt4HjZdP3WVOUWirjngNwiFY/Cn3C +yzqfvkT6mSrXwRGj2dpKIrFsav3InUGnrjzQzwWJ+9jYB+VdUfZ6sNeshrUX9ztpplDJpAuN1Ay D5CqE6SB9XGLq3pPcgtzbzyYKDMI8wunf2v17N97J4GzeobTENDHIWrAoRmZDkJxmU+pcxZO7ZZ1 bk1tcvbgh37ocql3gA/XpITGtvGIcExRoX5vGWz83vOp3f3vMEB5HbDzjcdfswOYVxFlXh4V0kdu qSmrXBxHJ9KBpF7jGzHrv/I9zNJA3k5P+cCvFfmyLf4aoKz32PXK8fJimuGqiO0uzbD43+LX4L/9 npghw2moVgzLXU/DJXqt1t/oWYToQ5AgahNsNt+XrP9obL5uc9WTHEKYjxFwbdNvokJklD6aGx7M KeDImgOXLsMEhN+qDFBBnmZn51xGOwQfRnzIr1OGUPqJ+wBJhWHrHUQSBVgmUIpJ5HuXqZBO9dhb 1N1vNQck1cHLgAtEdvJJ8/bXluZRdEY9uxMeE8YESEgEwLVSQN1d8M6b2+/sCV4wecxKvwI5+g3n Ut4YuRyHO5d3P/2j+inLFBVl93l5ZzWHq0RZZ9Gd2KUmFWAU0wnSPNjHYOQcpqHyUT4WNenQQsEm JBl5e3P37rg38eNS2Cn4JlEbh3tY5m8HGqFZpZlmlKNXYJmUHZy/3t0aAJrfh3spe3+toINZzII3 29+jz/AH+GbN8Ny2FWd3hdUT+3eBQB1mYXgAfd6SdRUt/ZEUfF1xuE3s0gt05nvcIVGQOi6bwanh m+J2gTNM9uic13cWFy7R53O+yg9Wi0/i8B8pX3wXX7vCy29kjyCPk1Nu5N9rAfVx3Jd34nbs6a4h 7ONdSWXy9DUcmN5iXLnsqlZVyf/gP9jLAHNjqsghLwB3b1FC3pUqWuSrtiuu0R9InZ/jbYqk67Ps IG5JMoQ7ipE1cy54G3KlHQ0mSEk+W8Unz/gGVKTedHUqEdofRUCnGdEcCFjqAJs9BWrSJShZ0j7x 5ao5VZHVN8Yyh2JcDhCXb0aUWLNusYdnmyW2rcsVXSaBMWtwG1G8YMs9img+4uRbWmOmQk7Nnwx6 JNgA83nQ6Is9vQs9V8uoJE3Dm3HdbwVxWrMK5I2u+Y+RkEquBoNDGMIc04vqOS5nm6mQW7HUP1OV 5zQxtEIFg4vq8hj/w1D0Hp/1HS/tW5zMf4P8dgEBnYWgGy25YD1g9ovXG+CE+6ef13cAxEk5X0Tu qseHg6A1KLg0SFkpJ6vJ/P05iL5MP1rd2F+Rk441UJ1egxrO0dcdkX3FA5rxN4N8ihKTvM5TNDpZ pvnnp8D+aoYCJNDB/2itrIO6pupyfw8zQZWX0uj8lzoOmqbvKY55n9/v09Ur1P7SlVzI83FLs9fK Rp/qFlp+dZFmLAIcJRngD4EauAPP/BjVEUyKKuJvziUV7eP1mbmZWIjAKLI03Of00cZl1svBenZD x91wIu8CHZLY3WjcsZ78b83imqn7kt2mxcJ61AZbQBGBoIst71t7xSC1mBQEIRn/pQeVADqfx2Q5 LLZxvdKDXaNd0RMdTWRQbMKUm/oReI3/ZNvWKCNDqQF0OojUHcLurj1ql5f/K3xdGP50RctOrN4w iJni5leAcAC7an2JDBJ69hyRRGz7C8Sht8rRvIeeUYDnopzo2/jIt62yGoFAfmj9klO4QUN5S+a0 RlvwmpdlOlyCjQhsz+PHE8aZ74GZ2tXYxzm2oL+Oacle6lvj8AlGxlEFjsB5DDid7oM/3e94zxDD SmASnxY0pOr/c2nB8rmLSyFxTNgPMpsS7UIw92iX9cM2CHwzfE+DPcah2F5M7LzFtwCGzKtiSQxE 6hGnHvh4b+kZl1C3Z6pqnAhTu8o8MrNv1CHKyHVIah5ERcFY51Wx9X/ow9HeEF7RMvhY5CFeR7ZV hLEnTNDhgY3LgaIvYavzk3TKjp8em2j41N1gwTl9dPu4toi5nD3cXSUAw/mS0v8DZPr0ZlXElNB5 wLh6hq49R7DPNquT9DYSde7g+zFZFVM4PxTX9P1MguGL468sX7TGtyPneOXWSPmLs1r4TIokj3+o uw/E5tiKyGXgyJYRwTmhQYbGTgpix9h7jZjrQPJAG6DBnOeNrWB6TvFh6Jr7CE419nh+5Z0W+loq SmNZrbQFFcyOPL6t2JiWdACn6UYtrtYtsRGMBdKEiw7GJV6dnl835zupsEPUfaXTRsiJG7IaxUCG r0pedHcIiVkD2Xy5AUqibt8n2Xn0l94xafF6GxNu4w5xSg22jSZhnBfd4XTnp7B8yVFk4JI9+FLF A/arv7EFXBpJXmn/RmUaZe1JTjPzsbviquE8An3EQC7bMPGAIYO7x8gSF4yqzp7lEps6EXigWmKL 8P8KLeTja3+lTuV1aOqb9qFE9c8l3lR2leU2lyaHLETrm+fw2rK8Hx0qUZSqHs+6LXTN/dTzNe0b EpZ2ROYFem9EqM0go2ACrPyAVUPyDpC0G73qlALXULnoGwxvPRPdCOt2xcfprGCw4IQL2h/zMR7Z jAVZhiYOXlyH4mGRWFf12c4mnI9H0rZbs2OfJN7gBY4AZwjR4a91VcKQvrH5SWJLA1ly3J5lX3ue FFDva8U8q0nlBuQK+C+BzrpVxhlZVsn11iwDYzFJeCYVZ/iKFFiarzecJn9bCzgvEOGp7DUZCJLb fKy62z730XmdmxKZfwZAwjike3L4dhuHkrczT5py8HkYrJmTPMAynMoLidXtXI0YHgHFjzVGZfm8 cURfm8OVLCIR95qEb9X35KCDyyvAUEMw9mVwpvhljwWbZg/MurxXJxQ9XpiR4TrOWL85uH3CEBTH VmGkuRQUKcY/vmndqMDUpGsX6gSFKoa8H4YwZ8ICx+C3y5JPiqcFJKVwNVlVTfhLqx3jKmtx/Umd 3XslJvY4j1IH9IbaWIyY67Ek4vgWao951/oU+5cL2d2An0KXx5IJhmQFuVigo4/zln5jNS/OBHB5 Lxj31sMJL2NgbXOmtWdM9/3Ino1Nk+0lbMHXnJfNttAmxLK5VvgT0mNCZ/1wLfQjrJt1fwOs0CK1 pC5FEQxhodWLX0alRvKzP8B2T8R27Uwcl17pSx5JZq9JPn+rQAO+ENSTefNaU3iiy252xb6/2J5n Bg3gu3JPvWD9R0A95jXYAGzG4VFheHQ1b0gCkPCHDRiDxhnOctbEWOXTThvpHdZ9gNuSVcJKlfi6 cC+lPY8B8htd2g0pjEh2Lb3BA/fHsFEumrjbWlYwikPkH471clbY8Hh/+/qgEXLpb3yNV46oCngz 03BvKNOodiuMNvRhuzQMPI6/AwEqJm8lzt9EKgyNNLBx6BMHrx4PJH+7YPw684YrFD+Jdof0LquN PI+cncjBzOAgjyViBoQYoudnUNhfFwO5dPodTkei4jZ9sFCzfgcgzEMP32QeCYyKDFXd8LQ2g7bq uMs0Ry9BbMWnqVgySFGE+GPJXXUXxxoGmulYwxBKh2TZBoKisx6YLROTudFkf/JsyPuwAO05ywp7 C9Yp2ER+2eClXB6EPI4xV/R4srkMejX+QjHHABr5qucUgR2WRXJXosKqvPIzqbrpbP6seQaPJB/w qQueQTadT3OnOR93899mf0/hFGg6OssgbYg5AA+VUyKJM6539Y5I/QKIoggCdAftHE7oUMpWsab0 MMCnD5PKBaU7SKqRgPjFo53HT2kU4t8Cxdg+4o0aBkym8NQjHBmCcQPFG02Q6lKnKwEuInnx8KBW Cl2gSGvVZcvG9vIvjJRMk6pg/xKBZIRGSqAffcLuVnK1YppusFuUfipgrHev3HSTUquO3mF1+O2Z lGJWNKiwzQ2VQ+XpmguVD0xZnYUj+sZkc5VzIGpgYPIqJfjt0ZMR6vf6hiTnDhWbnmlLuteSryJZ EE6PWrR5ivBhElS3SKYAE/9oRNcfRUsKtmjEMgNqbGehkWeG8sQ2wX7WzqxKIxFEzVosul9P8WSg sa644YX1qA2pdRa09jA/0Ocdam3YEA2kjONla27Sqx1Uy9zkRU1m+B1Py3S6Fn7UDOaL64mzvxKe Zunnj/SYYAXFSQrIMQJ6ODkqfTxEUgf0/s/zR4BJ0SSbZCQXW+KaSUxxkkTOlgHGGO55/IU17OSJ bTxiu2+pe76mI5kSRWcweYKXFLRJAtJxSOlQKz6aYNO+Mu6QFbl9RFI7Tl1ZMLFfjq3aZeeRmMf/ 7L93TIV5R8NK5KKK9WLT2IMbWI1TRGcYdtfKrAu9McQ74UO272KZlu09jiaG6UWIPYZdIbDFp5ES KJ85QEz3hcPaD5OZH2rzcXZjrglYznPsLE+nJMkDs/O2EHyj+EjDZGhj9sQiiekJIVS6swqkzpLF MfTVBupQb9Sh+Zq40K7rboCGZwTjQxXYhD3urU/lgTFZj9KWOeCdth4lDgUjIbe9AJ+X2CSWrNlS dVz1OiKHcN1g6h2JNRRvuFPz5MknZgdtn8/o+aTd1INTFfrHP7UcEdyW+eJsh+e0P6Xt0k8aFIM7 q3XVC6Q4gkbbl6P8Xoxo0/5rx04DSXqIcFURiAGIdNfdQNeKXcOOebkQHT0F9lW8CxEbBwhcW8X4 dQbtBQ1SIyAk9h9hSOWN7WQLCSFieQvhV+mQdGJAfu1v1U7sy2OVhgWbyrgdAJY4P26hdXlVRUUZ e9NG8gZr720Bh/39s7Y69T9nwBMFyPNlbJMUfC4L86uS8UU33sZtVDO7Qg7lqgqCGzh2oG+CIPDG PeBRPCOd2U6TK2HgFdTE3p2fVKONU3NUdlEX+hvGvQXpO1oVwmS7tNaAIa30nTp3OSA0x+6FeEv3 ym9qttdqAKrhHbAJzTz2WteuK4hJu4p2OqQdzgo7Gq0c/p6sa2NSWqieJ4Wny8jMHvBa3mRCR7CK WycBF2xGn7U7qbIFgk7iBKdyfnRPmGWp7Cp+sy1dk+HZyhu+Qm4sgc+4mrAvTT0O7cZ0+W0imES+ ldW2wzUAkBsCORilRodbBqacXsPgg0NTy3t4DF64+s0E1S4JfjnW2+5f5ZD0uAahqcByporhDZ9p 41p8r4xgR/2rPgdE3K71T6171e6poAneOPMToK4oXpfcdyGTdBTN2LqbFbBCZz07+t8eLkMZs00H zsEM4UbY8OPYXN1IYT2xFOZz6odOVS6NxO9hGecvK6X8d4DTYYSgN6AeF/xaBn77f6KM0m0f/rqv 8JRNH2o9rxULifTK8HlTYZUPsXszX8vO4h+5KjdSa0BjsXtdAt+0Oxwci/BXai2oBRBf5un9iFw4 rAmXkTk6KVZiw54et3HI+H2whYnsFG7UkedX/qdmWwR45pH/NW+78lixe/8ViCxFMp2XpjNd5Stf q/4MAz80FhoaHrwzLzK3En30rZI5FlFe3LLyNCBbcUTKqr1hM7X1d+DjxjUtmRc/GqvDkZaqbHN/ 3CRedVcudxHZQQNykZqLUZWXptnJnZDJXJl7Rj/OAVoAWq/O3G2MlSfdr8+KhzvfXUD6c1dCKJyK ul4PTzdvRYcX9ACdeQEw0eJDrSjaxuAPvHrgyNn5AWozxt2A4ebuUBQkCIl+ozHkGEOYR3pISNeg YYNwE9HolgdwThe/gBEmJnnbDYYzXBZEUgVo5VsS+YV/d6KB7Gt5Tjx4SnrpFOfzW9USEmD/r4gc Mb6pqJxjeB03+QPuvTZ/JIkRw5yCKVpF3xxkdJv50q5Dxoavm59llMwaQKkWUCtOOmN73by4fc4i Vgp+vO/jlDpG0Fpzmou6M09/G0RuxeAkcFIMoo5XYMHf0B6qbFa8Y60vlIYX40pcyrIbNRQ2aP2y tt8rgqamV7X9Ag5u09G+EuAbKoW/W0VoQ/5j/EuKJNlfYkGx46vd+D0xxJbrT4jBwPwLKdGeaTOP ynekM/LOjR0/7V8j0tAui8XuYWPNgyQpWrrN/pY0u16OEEUaFHfxZyLgzqIHTcInoId8eTACP1af 8aD+9Wzjx8o4QUfCpVZAgbzoIRaekZ9N6Bhm4bWS32TWCqsAFQZwnjJ1JgqS85G2M5gsb/qPFvMj KeTv7pb7k14gawDWe3YWmlSwoymz60JHhfo7N+JRPSKyvQBjpWAIX/9z9RWk/CNFyLBGTeITwX1J V3lps+crlnwup9OhRj919rWmNqurX0pjVbXKNV7ympe+t4haEzK56QT7JWgfn9Ri1GYLimheZ3gf 3h0KEy4U1vwm4fSsb1XCJ4oaPtVG28DntA7SLWjdzxoqtkDTn5+2UoB3YNxDNy1IonffMuQjrWeZ tfFNK7BGb0fYrJE/0PMTi0pXqvGvBU+X31sS7CnY2EJcsh/0wdX9mYccXfHXSP0g5QFKhs1M8Nr0 r2L8pVzqhye6mclI672bF6LoCKXpymbNqoaWn8xga0aKwAUQV4rJMac7olNtTMvhwtexS6VNJCoy HnKy2FobNpBbrOsD0h/nVafhJ3YoOxn5j/nRTQVrXqUodRwqyWbGeEyXehx4r8b5MC6hUhMQBS6A mLbxHo2Q1v4YitFnRWCtLBsFhXAFuxtzv4/I3KBrT3VUuZtGoY4ZSArweWs+VwPO4XvyJ9b1xzeK zzGNTAbdWzObl23Q18IsAciwb0n0fi18mWYo0MbysWu92HWSj4BQio5Is50tPDBzbLtULn4NgKF7 mQo42xrh+tDPJKhenyo+oktXfHj68vIxy43IJc9bru6gBLSt298Vnq57n1OkZPkJVf3+OW7hd4DT qjYQ1OtpzOcLmSs2nwpKRMqIUa/8ym6/fJA6VH9J2OOzXf8PBOAlyslxuF3tpvmO+fhNmYvs5iOO 0WIDL2f78O93Sf5NMjatfIIZrysNqs/qnpHa5nc6F9SnLHlI7Vh7QgOBW6cWlhcK+v78rSxpy0Bb 9sDitPutJesMPbpCRAQDGr6FoQjTQqtLvrvMoHEZcVuikniKwQkR5wnHkIsVqzdk1fkMvmlqtv9+ 77MIwdz0YGHAX+rjugbO4/Z2dWRevbIS73Rt6McNpFa/wM8KyP0AIEaxFWjM0Yc3aCafA/MkQ9Yk PqCBSkKmW3rqSl40+25d4bI3uO+1W3gnlYh71FXxZDt0McT7xsxgqHz3thngiCFleqFq5v6o8vIs xsF7EvkUVG7RIJQYegSuQle4FrLbi4D0KFocHZygnK7OAflYzczqXkjwSxxJHQHDbaiphJsO7enp nHmWLSUddUS/LDXvc+l3VLX73nvW/SDXklNVl6nGAEwxZr5o58vreXtM7Ly7hKnw7PnPfpBssSaK jPyFO73W0Vx1KtIsIKQnxJhiaQEZ0DmpCZMHuvtIdI1F9x+zQKoW0qC8wlbyG2yiYHBtCGS06iBk ICFbTca9N2rFOIwm2l/qsCJFFxYQPkOof5YeWdBj6Hy7hjoZp8tHfbH+19xiqOFw7sqBJCrnf1g4 d8yjowAsgpcGi+Qr66JwVRGwrpQWXim4ItIvJjrntCRDLRntzZ2AnHIysEgv3/DpPdak0rJWDTMz z0xacF0BFvocOqPJod1piv30I52NL9lJM8BhVId+k3p3NSxxaSPf/y3F7wvm9OBaMxZhEmHpJ1qe 8Cg2j7oebpNG9lfdcKyYjbJJ6hfgGvSJ04V9vJ2hyaCzZiij3oZXd6BNgROG9+g9H0Fj3bj9o53b 0+kJQTKkkpMRPLIqSJlmLeXc8YnHnqAl8WqB39MQpbPnZvmL4ixG5rY6h4DOHtVLgBjeHBj1ed5Q 11o+7NYVWXoh0iKg3PeXbAH3Eq4vGSttEmt2zykaNe4xztA9GqJEGfYTreKJEUAf2FPiVaCrM47N DoNekKIW7eTi9HgJ0cZ7A6dDQTUaVuxS9xsj7JgNZJJq9ZQyd4lNa4FgDnKgCrb88LabOg7GcUeL 5gorf/AYPoIh/NzDGpVe2/Zh+9mBKZR7tilIW2nHv+hVOgjxT/VkumNmKmTJFuLLVq054PUwOcuJ 3B07V4OYn9+il1Yk4xf6Y0cVnlqKIzjzeQC+aIDQ09nOVJuPlYz2gCgFHBiRe968j9EfCibQdNnB Jc1+92CSLVLN6H32wv0vMUl9S9yV9f/7JxAd4wqxjw3ZF49h6XJTNHUidIwgwK3Us6+YUx3faHVf OJIh+FaXnSh6jxTScjKm9phh/jufCjSoH/FjZzGIT4Ocp6HlcTQodoOmN2ocin5mWMtGA/gdUGmT P4rVhYhN6SApEVKlM8JWWOYSgGRmWf8/Oz38PD9GRQeczB5vyiJ0PitmpiiLa+BWi0Dc7ZXQ2/En Eo3TECX9QFNTjkwZvsOIhr12olZ/EETcgBN0ipZxw6e3QlYvkyRBCTgMqWS9rPXl2nXeIjDmtLit CBNfcE0JUmj6qvrKVbQeuHEOjN58xCvKD3jW+4xOo8I6cAuif7Sidsu+7obJ2JmHVfvL2RgQ7CL1 lwoYv2Yjo92w14y6w42/9nAMNvMoBs97ydfkmCobI7H5rR/HcXhOiJ5J7XFm8yjCtep2gaJoW6lK qs5dlDnFF5V1KtWPByeyORARKm9BorRoNWCFYWmVSsv5zFR84zRdAMqrSbI41s2NaDTamVrCxjWy xMGhr3wEEZQLNsb9weUNS58xrbcsviFff5AXh6hzLnDJMcUneVpkAkhIHzF7+mG7Idkki46q6cah QQ6cIN0sVx7CygW7WziKx42CVMkVFjiGV3YEMGhxgKOQoM78F3EC90k8wHhzafqC5saMVFgOt7zG k/ipMXUTtE3WQlhA9zaYuP2DNJmocftTLp6vms01ZLHdu0W+hZvEyRRoKlnnI7KkhSQftO8zChri TckwLVzaqKgD0nRAS4nkDty+q94ib8cDaFaPOBT6PK71l5+jRyraH+YAt48/M5G6Q1EWaHgyT/f+ VNVdUkqqUawRp71UpUAM2tY+dRkLZwNDbOrq+G1I1WBLSSpvRgJznAryRoolYRXsdGOEBXZHoCVf DX5vptddSJl/f/eVRQHnJLFfivowZs9btNyPXjRFbQF8pRVlMozolBrBnidPs8wwTj0534Mp7zLV /Nakz4ymMYd0SslMz7+tBHDnOEB7SrGXWPFrnHPFxkBUna1d+2WIk5hQPlzbPUceM2fSqMuLaK9U aLqItbZxne3XQ3cn+XpAXrVTEHrZ/XfoPnwdmA9qbGSiDt+SILWeMmTH2w0Auzkp+Tj5PZ0dDYLE TeJ/D7AGWHueufEjhUeAnNIhASfllRfU6z5JcrXP5grY4QjM4fqK6NrsmNXHadAJws+yg1BMRfcA sjZFswcAkvTk3yzExEGl489WkJo7lKsWznk8sd44Kmjjy4SM5D59v8C3518vzfKP4jjIoJd/1eYZ fbsbWs3EnnXnRd+CDwzL4Rjr2w4zR7mXBMW+j0PWGO4orwESvRMQhYGOYeZn3xmYldoWhtkR7Mys fjZb0BepMDhiByp9dN8JRg/BBUYHJUfEY3rIqn6t64hAa1QAa373wrM8eCgh9w5GPkieW4rTizQz APSMHmgJY6vOPDmCusnz8qYc+AWQoWvZs2lR4npZ8z25m1fSULPRoW3knvdzNJZ343AIjDZuKRjr COaNy1pEzQkjMjOQApX6yFmxU/atd5C/U0Uec1i/P9e3LLQqtCcFHzlaWsYb71507Qvl0oQcQkMN /S+xtSbAnksTZlWYcELowQowAG7J7sBy5oEBOZeCRgOjO4qbO9w77Gq2olKc+C6SHjroeXm9I6L9 qT9JyGuMdvbLtY5lKfmnQdK0kA2kb95MxV4r7Wfeui6TJVd6r+pgwm1JmiXKTm4NrUJwntoY6uCT HWusNccAUQvuBPmkPGGrGHzOBdPwEhy4CH7Csyk58TUG6ecNBb6rfD3K1RXe8HFwecWbeVbqdxIh TQeRa7HBjZdfzmoPhHo8NVmb+a3kZXdAt8LlHepB0yGkMgvQS4t3N1Wb3CV5/lOaYnho4CD1Irk5 4DWq4mnYq8GADO7A8J3fpu8KT+nQQD3oT9WfRXHhfAYO75RoTlmfswoK0NjD8gH07/4VU/ZBJKhX dqyeZpifmMGXpzOk++EZZh9JUE83KAVQ9aQCK9uFb0ZrsTBrBDOk4kkNEYfkl6o/qs7EBows19li mCbsQmioMn1b+S9cmx7qJ/ba0fbnCrFFFD4Qv5TA9v7/1suA1d7vB9jF4mY6v+TZVgZmDjxp40O3 w2Vzi0pdPS5Vx3/Yq53+GYbwwovWV/DAJ2fXx+mzuhRRT9d5ASmlL0yvGoR19pLxV7EpsQJR2kXD ntOFvQWgofZ7ZRZiQLjDwn4o8mMPKEpNcFYNIkDUu1oFPXsav1UIydzzFbXaP3mP60kW6YKVxi+O ljmSdboYmOTYGXpvUIFUy4FIWb02WF881l369GIz1pHsgO05wKC649cLvgWm3QFiyxY7TKrK4XUW RWAyomN8szF4oKebz7Np+40JFSE0UCoiABLB3vDy0NOwx6S/HL8iUNp/5ePiar5Bj2VBN2jUFekx XTJqlqE7TkJ0WyTJu37JjR9Crf2S5ouP1qeGKG8TKb+UblQbnhi/c4ONKNGM78U7PVUNJbH9FEfi Dv1welD3ESlmJ9TSZmxRPCeKWuEHo7U7ZGk9SenNcC1tnjqwcR8aT+IX5DYLytuBiXXVRWye702E xVa1Dr9fJOafzfGb5v7mQut3ydDfdsRzhHxqKvsFaH5kdpszyzMwIMOPq3JxyW5c81vl/FF3fvvt 4y0PRBPEOahrNXz8gZ0ZbXlKRGSt0RvyeMXkJ+lClvP2cN4O3o8sGGL4YpoiISIn5UV2BbCiWYGY 67Q//LNtNQ8GPNMX1nxQIIRGJk6sgSN0m0pNl2UoZ06PcwdiazUgCBxeAA4YNo+rf5xQ7mJrQkMg YOpERBkZ2dyW11uMdVTs3fBN/gV+0uR9+R520d/+kVi17/2TQn/K2u5zOSVd9JHojUG04ko0KrmS mqyEMWmm87I2U8wyqtQUpeLsofKe/tV1cq6RAt523/PJJCF6EK5DmKn7iFAKkyiCvGne6f0DV7Au sP0+NKk79EBLawtrfu/sPFn9OZd7jrkRXqYsctqiKcA+hT+hMrwL928RzxgGhXOd2F1SZ3SMi/n7 ZwPm15Mpqqt8S7ot7bFht09+39dMCtNTMpUAbD7lGSnUtyCTNEVEQmC90cGzy4yNdNn+ykuIVU2h QfWPKEGON7E7RwNFYaqDYtkVRzUatxxHlc9XBJXJNMCYrSKebsfZdP/lAaZUBAwS/6hisREW+76G hKHqIFJdp6EN4vWxrENibFLtBNoJ0Pz8s5BZ9KYEj4rbfym1SZlBAOdF83i52qh8TtRriBY4YF/R SRed45KRUjUuiTaK/n5RIYSvz1PYkJfT1RgpOlzvioxsQUX4xhguJI6OzHjtscKtweMognOx6nZZ qxnFZeQjtA3c/PvySF7hlPqbLRfmEBsP8igG9uKszt9VPWGdKTNh0zGWOsfL5JWg9mQrfimSNzUG m9BvOE29AiyTkTfCSKAWd51bnchTfEwuEGI1KMQOnH8nL49JaOMno2SyCYs4IgYSeKReOYqoJXc4 AERNrE2jhhYJ4Hgz4lXCPXzhAwdn7zqv9jEYe4k6flOD7aS/hkwLU7WnLZOdjhq8KF5EZ+HWdfCi uVRu45Q2g/wPX+aq6TW7D2Vy6KREsfSrbbOKaUtrKMvaKMQXZUEs5S5VUedj0b6cKjCDl4gCRv4i Pi03q681ZT3+NTCMuNtPFeRxVXEwQVPcweuQQ8z9AiynB56fsPhg/FBeIG1Xs/7gUMWbZEX0+n7E Mjp9DHhsgZrsY0gA8TLEDPqTOtqNxUcm2Mnk7P9HPSkh25QjU4c1nmG1/3Vdhq+iypI5wJuXgemw a2RE5YlvoFRchomy3PWZLl9av3yN4GlF/XKAqKdvax3fGbBa/8nuo29SZdapdhQLOaIZIsOhdg9D wlAbP0CPhx8JHGyDUpmnDo50Q92RFaqYCWop31li5jADpDRUnxGvQQgXU6TaVsusaMtI82r6NKiO EO/AkFunUseZOlUWlzgt9G1jx7QBxpiDaIQHlNqrxt3zB1KYA2S48DJoXBed35JQnq3knxFyb0uq UAZBD4TzQNt+FuDlLIV7Zk2TPLPIAQctUQ7LVlg3rIhWNNycCefrgPJezByFggt7pwYK72AC2an5 49K+auneFPOKiITFXCnbzU4ImbgTmJVMjXZm16aLZFXMIrgJ/6ik/SgcUo8Glsbjk4iT0uFq81Ar eijg/J9UhTEkEz3bdsKq/ZLjKdXNFLjS7rQQZOwMuDkNW/PhFjheBSIh5pnv2f18zkRKcCaJDYAe TLZCsQSDjUJ3T5gZ6qrudlGdy06Yx1ttpkBWMRHDgPGcsJuwr5Me+FkZsjvWM85EK9LUfcHp+1oi k/EPVEUmwf+L5LyUSL/mtSOZEhW75fGcaCsmg+34r7zjrcibNIX8+ljGk4Kh9vf1hZOnHJKObWqB ORuFc1F+U06smrY85idSjTVl2WGjEZGJ0GyASYYgvPRmsjeyL/m2eEdgCYlHZVN6JlieCn2LJFuN 1b02OgfUmFGHReKZG5KLdsIAM7dYXpL+4YYjs+QkA11ZeBIij0YWHryBRzeBhs/QMkMdxS7OgRNl Xv6hdaJwJ2pbCxYxQ6+HOuGPuvRgao11gfvJc7KfFNhR8VD3A9t4T0dKJFkUHcApg6Mvz+p7l9iM +J3dHoyG+0WmkVJKkIl0cDvFFRMypomYcvURgaUyx77rC0OFcS0RiMjV95e7nsIcfRm3V6QbGNlp nExczuBeAoTpUlh6ZZhYqB17gyEvGLPw+7g/HVe6p8ZoRkdR+1X4zBrZZLWu9xycFNzLzh0YPHJM tcLW7gyM0kJRaP1fZWSgfDAHBqZDhQ6HIZjf1o0wDrWUQrRzjo7uaV6+zOMApg3U+b5bhRB2zOvy BWLOkHWJGhTQB8CwTZ6K6rY4YrxfIMTT+szkksIVkAOWatojEywQ/Eu6TZfrlUvYHe+67AW3l7u0 lWX3vowVm7hX/qwXTtt/11lJxgqVWZJtUY7lygliHhBBxZqxaQjmBCF9abLVhCvgREsrcLV57KhR HUeUDBTAu177CsT4uQ7i5iqZT83Wfp9rpZbtG/Gxc7bV3MYQjlY4rXSwUAvrkX/HuImD0H73z4Jj DQNy6M1nj8PJ/hZ6ij9leyR3Vk/DQp4Cg7AOtiBBQfTkr1T0lPpQyklMXL+gVu3cvn79NO3+uB5C RSsnZUntlBSb8kElzRE9mEBuYCL2lLFCxuWfkJbrIv1cVEauwpyx4Vwj6YRqnJav8uD0EeYMVCHk QveBJUzTao+3u2VivgoB0QJWxKuazMq93uacXpiJcN90E6gy/hgiwfX8sl91UM9yWnkoFDklWfVE hbnlzK4moYyzba3oDY8I2DxvAjVeL7biCXZo0KvUjvSvTHMCwrTunXRnchpr2TyHzB8AVVyaNq8+ lXA/W33vzKXEQU5bBfpSn0/umQ+pzAGLW7+zPGRRokZoMOS2HJ/ViCxuTBNz/KrJZUqP81FG0+Op oo/cRBOzGczULIz/Q4Y1h9sczYUJd4d5Q1kBuXQNxclPmYGvfFHJ7eiiOl3SLbPK5iMBfVYp93vc V5RDOrlnhn1Rf/XASKWSSpLy+7+dS3za4ve8yHscXuO9/4eRhCYO6MJRoicMunHEaJqZvovWhosf TBb+8HmRwgiv4BpdB9+QV3q9Piv8/1YU2cR45XAxKaNVcvn3touxSDrdTYLzqL8c/CqqMUZN/MKl dfAiRZskBzgzDwuT+qWf0BsS/aoxUkNtiD8Text8Dp9UEadGWlKhsxy5/TeAt0Tcs+UtSKtuSwsR ZXQIyRL1dstkC3eDbgY2Dl6+WTl/o9IJsmdg2t7d4+oWPZ6A6lJNVlUqZi/sApHinXDAvZ7BAElo J6ZOHueww7jkpuhqkJewzwlbxieHeEAsV1Th/Jt+Tt/hkeObvVj3JCvKkclAykYsJH31y3WO+rnP 7U+6vNCPZuiECUhAGaIGYkwN4zUaUIiuLzIe811YU6jbQX31SwBaMlQSe27vHdswmTf0fusMV97H EyzIP0WKCfY74+Vf0f8UFISldD0EcEqFpRkE6zJCzZiDu0D1f8llxz6LmluClg6JuL1k3FkOuo2J YmfCVfKH+iIrTkfz0KWYCY54TIAS0m8JewOq8xCWK2Osa6ffkwxeEcwNti4fkdBoVbuY2ITbNvlJ 3tNhv3LraJqJYDac0DBSpeJQX7d4VI2G+sAe1oOZx7uJGTOnqSh+FW7Byc+Fbq8ptxOtSsPpeqab fNhaQz/4WBfj+twNVaid44aTGzjcfV5a6O90rWEqMy5OqgXrLaCrUneCKQRBnfkcX1JrEvZuXMdL I0+XZ8H4yPecluZ77bVwrBKpfbXbECU91SwFIi94mrgeT4J+0oCNjSK/wKUzpQsVXEDKoU5a5VtT LEGXfUzD89xhMeZbcgP0ve4lSPfibRHurUpXxo/FcyV875yrB6oKsCD5cHQqUG0eEy3O2rdhNsvE 3/prCiS6h968D61J3XFWostzSpuoeWJCUDS+GcrZlq+caPa3pqK+vr6advhepgA98e0G6v8wTaQB jhP/MRv/QexhtyFs0AuxmsNm3hMZbslmF/KjJ+SdixPk/exY+gKzEzibJPj6OEH20I4zN70USSem SUq1anVTwjqZBqNrtduITAN87stKZ94AssQlpQAiUmW8ONxmkncZM06xQp5r6YKCgCEqzt5Te55f dsJKVuU0iErXCNMZDKD7wcjDZfMc1qjyg31eSKrOoqHLogx0uptvBvdOsEnunPjjM6qorUCvNJCO fNZtfQiGxxWPi2ui/DUcWzyYSHjDZYcfeBKuAhrm+iRcsKjkf1md6i9TlhHt8wys4lYGMFEWME2A bWtB4BGN+jpQCxaDfw2J9ehBCTcQhvtuvgz8vtHv/eJJ1ZpOso73cJmrw2AK7m+vY+IG/PVikYd9 9ZbEaD4ZUUzG9Dz/UtWYpqQgKOYqGnqJHHwC5C4KWfYa3eLzeXj0DHpJgwGc+YAyPnN2w34YlgiS AbDcn12h6S2kJZzRhMsnX1xG97Zpey/uYtrVR6ipndrLqiYHd5hsGnDCywKj7k1kdAgpG8CboOUI D09HhcdjZ2FAwbRkf2htf+zKaZEkMuPb7PFHmv3AzKtTXugyG+FKF9RxqxYTCS/w/z1ZNK5+umDg a0Gb18V8quWApyYuSaNszGTgV4XkF2jOQhUvLlJ448bfBChaFWsp1ttBdOh4LZRkw44xJRBdNX3e MlSFTiKDTqMrWX9X/IhJnlUXDeWpwPQDFFmg/5xyF4DogqsiqjrGMsvMRaxdS63xQZ0lI2Q7qWfN tT+1m665Q4Ws+Sc6DsYmYjyCa28PiD02Mq2ybJgnJEO25DvmFjBZBvIv94EFATZK6u+NWDni2XSA Qh52hZdEywG03nhtdg8nMgHVuVHY0wyMGapZ0nfoHlv4pOmD75BYSLPCWIbsU1wqc8Iy62gM9L0Y M3IQw/bEVlSg30wqsTzSSZ5FBm2FGpUo5dJ19Ek9zUEB4qt0nTxZPyWNtKUJzkDhIBuUZFsSQuLy ycEu37C++Lx9JBVilin1KSbKuTonfMnw4Yg/UhPs3xXq8VL7NlxqWQmFNfGS8ibHXoXs6Wf3Ntp9 TXAN3q0n+2JFOjG8te1nmtWIlH43Fc4O99Eeqn9KfSqAk5QOLdKRdqMEZQuM4g1XlkT0wPZu7MpN rwR3occQ9bY26sODq2YgID+aeHZdwSehLytcBtbpOlilJEuJ3EbdJA11uo1bKIoc/K8kHPJ8xt9q qm05auc0C4f1/nj0u3qj54GWMStvZEwadD2rQaZA/vutImey/Lmgocf2fYOe+71gLENJu2t83P7O 6ZVAVaKNJP5EWI5Bev17kXQ/DlA7GoeBHS0pVRCXrO5jpyN/KSN74/4xpZ8jcDXxP26Mohjs31p3 liN0OCnMRVye32HaUaL3ga12mNYxm5ioIK9xZb5zo/CE2yIOkBgYi92k5yN5IVe3BlL2w3gbLphb 5sgnu1GEH8THpWSsSmS6i1857Hmp6UYTHLXH8cqwPOQhrf2wmc4wa+BN9hIBjJQ3dpESum+uwosq gl4uIqOmTg8+LOw/mcR2xTb8aHnkylX5VGdbKS9x+jtp3qhqyXjltAJK7oX+guPOmv1VJRaS+643 FskVVQJ17BM9xASMF4DjFssrxeYdshVdLtuH7NC1Gi+aaHaDIWK/G6W3+XBL39A8KGreANvZfvlr fnCRFpDu2vPQLWTrc1K6NFpJ9b7kkukW91/x46AtqTRS+FcGISpPif5aZ1dcMqU7E+ZK1bx0app2 YvO292PfNtBz3Gh2U+njj1dd5AlJtwKwOFIK/YM5Bu2dV/i3zpcLRM7fm2SpaqGst5HhwoZyUtrd 0D/AjifuiWAeGrcJjqlJlnfVPr0iJj9Txg5Cfwh4mXtrSxxHM5AUPistjYah+CVVVDdbDsUdLmX1 EIFpLWVYJ7RiSz0Nw3mZhf1pLgRg8Z/GD03o0wqaO2+/m97GH+zAMJ8YT/tPE6cWOfFIdPC4GfA3 cVOwoe7J4zNIVkvLC/qN/u0BNNjYfIP0SUic/Fzg6XBqzxZwfTZ614S3x9JcpO56nMXUX3NTW+9X 47LGyWjfv8kBfUCfwq6Jft0XBpHPXsJGMt1g6voTBxlKLKYZewoKc1t9GAGSUCRN1u4Z68C2uhta 8NJ59Sw2883yvwqSHn+/zUMb133zwQGAIatfR11K8bP4gJCxMA3e+bU8HEz8um2US0/XV686N7Ai 1NcB0B1hzRA5MmlMj8nqcUIiF9ip1WiDZkeDJBqff4pozIhFayu3138nZKYBQhRkuc0G4xQLqk9Y n7ftNsi9FDBCYvBXp64oNnf2GK19k3KsSFFZqwbwhEbUuS1suYgbNjYUIp9cVNX0yNjzw9BKGNvh 9gdRUsUctAIF5fWkkXm1GqDx+iIuldmWTpYe/sX83vyeC6p6Zv/gJ+tAV5y1ryPENb1EdpVxCzTp 9gwsDdAzPL1DxKhyxsNqD5szWtvZBBi/vsjwhIIDFvVUTcONVdfSj+f1RZCJCfh77seN6xT8VaIc O5J67X40GAj0ELT2UJVn6x/Yu7PKsQnhiqJNsskLEJwPumK2hpdfuWciTidXRTon0UdoKaJSwm8g khWEcn2/T48hJBgGm+Xi67+4t7d3i2bpGkHVjZ5KWKFRWFb0ULLf9RQxab9mGlX0jx/dR/OS/Hfm 06g8/jWEIEU063prziRxuiOaBwmJR4W31VVysYzCSgFwgcc8ZX6oUI/yBQ9vZszzz/58R+lcfQKb HImTuCimZbob/Kgheha34XXQQFFgujj+hlAVfoQf52B8v8TQRu+MFuxeQQdfzfU2apUgWlYoTGrn XELdh6L6KUawuPAnNYFuUxRLAePQfdLkktF8NPWhWizq/Bc0szrLFUsXrs+6RjkRc6KLzaj65/Dv gS0ZR5pfVvTV2QvtN4W2rBgXF20Z6qh6Sml3hnI6y2KzOmxfxSsxzOsZVChIwfCKpGvhwbMsH7aX HPgUTTUhYzYYDSQ0/EwWI8o4AS6oXZdp3zsLXoN2iqTvaA+UpnESkbkHX/3r1loxT1yk4kys7Oug uAwQ+QprQSjBE5blIJR3usC4mPN2XYg79c+ZvJiVYKtMRXE9oUSeEblLjWhE61GntOobyDPkkvGd 6MXuR/0w2d6ChsIJkstCT/CQZwWEgP7F7G8WsXvbfosINIw1Hd2VWTa1Gp4e0+JhH1zva+foWBej JcATLi7wzd8mHlkALpaXMREBHm+x2t7eJ3GGGZfyUO08Fo+kIVxqQyeqg7k4Cgvb1lD67LJQDaKN sjiEEf3c7F0GxbmchlQc8hmLgbEcr7NsSsEZjDNSIlh6lvM3SqtUIfdI3wcg94Ly82KdWAo69uYR xpIyXFjMBfH65PuA9oPUNB1iX3BnZfjNTBej51lomJ946+/bOxFfNiKKQaaGXsU4Udz3B0OuMZKt Pgv3mOyPUHO3Ks8B0dWjs7wlrPMRnc4VwsJo/JOS2aTSpwTT2zzq8u2AmRjvjua4Xd21C74B3e8s j6SejMuXSlczyvXaSC7C1P4izThp6WodJI1UGEr39AawTnggsy7kXFdvfOJFc0eRfmvwY35l+8XO hRobW2ZCaOodsCbMiS1WRGH/s30uaOrg3VxY8pzvsgd7VELHlLs5L5Ku/+fq/MR198s+B85x8PP3 nz2+7jhagF7yi668W1GUQB4m8CztFJEsKBYsGWgT4M17At2/FIOPakSFNXmg86poSi+ky6GL3nCz AVPzlZCHzl64SBWM7dLJFNy4HHyV7HsveXaIfyEGnBso3ZedYizN5C2/YB8TtGcsje9U2Vlq8dYO ewyc1LLFtFIQLGTse82KiwDJ062GcbKSZVg2iSz4OFSTK82DIu+a3WRTbRtfu1HLecoapGg9KryK hjO2J5B/NQBwXo8l6fp6VLggSsvd7vpRqKl1EhCuISW8VJSHqRetL1BQPFgrgxHJl4cLtGFkXV8r 09bV1ttpsi5b2CVSYra5oLYuZ67f95dzJdhTlQxXjW1AJzfy0TA5VY5BEvesQNAReNxx//73Giqy smUzGgW6eG3XPr5WgBgZgQnbN8GOchWE/m762RUhbIksoUyc0H0T0I4YLMFpLYlJKnn4Oq+duH2g W9WNBGjLeeyTY6Edd2lq1XuFMCx1B4FtM8P0GWdkIZnBDamPieah+7DHaDvZt0vrL2vUnfpwOdQE KqS+wM60DJBmqJQMU+F7Lb+sMtMpJeCWb4M1T3D+BnbzDmgCFMfLXrcWTSocX1qU1mZlDLEk+fGb OhxE9oNon71s8vN7p40y7QJrXat5/mUCPYJKyBsJQrYLYhFumQQSpGPyim7srX3cnMQNRkvLXsHL UmgSq2JNr0RXK0ey5xJ6Aje/29xudhHZK2UN7TE2zHljmODLzLj2z/cHkjeLrQuoI4qf+NyiqBos 36eK8QG7NVFOVmUHK3qhfw1fzDRzc8lPDRJeZCoGykllMMkX6S6ETt0rs7imS6WpA/ScHQp/qzRD ujaOUxaxWqGQgI4Y1nRz0vOmCSAAnHs4puBjwvkFOPD9opZ0OesI9uEgGjOi4jHSe86sQJqWfwPu tp/M1S3Qicf4NOGKw3+dMZC22uzllPrDW7UXnc4Yq3vnzxxw3kUzjYf8AQ55HKpir3ivPxEeobvN UcOTQ/a196sJ9KY/oaVk8bSb3nNZ6xBhezjlrboG7nQccy5SpWpD48o1q8EdjTNX9LvwJ0FqL6EQ BgAjfdBAPldfACYQ2M6wdOw/e2V+oDMRqq0dhCIM5IsV4UWaEw6VzUTkU1CTF/zBXqstGMuyMi6q ylZh1nn1S9KVq9hUcBT8TJRgeY1KSpry/RRddv6hSkPUSS8vbTw1x7dgVRR7t1gqjM/7JmGLsf2+ EI22mTUNsO+oSg/YJ2cC2GYDTVkrl4PtahfQQ4lDvL4jNqW8sZuCACCzitmHWB1YRTY26dgxnxgL 8c8U7egrlZR4aG38ULZ5K/4mJox6MzIKu57OclET3pYzG/8JzMtpgQWLTqUONoSAgGoqHNdvnYnB YAUipzHJo3FDctM/QYMnVj1lBOBmS7v36lIt5WPHzS2PQTbO5QNTaITEp7dKkjvJjYOJH1cuhk7t n9tz8aYf4p7Uih4uTLrzRQC+lOtfqG5Z2SmewulNIu4VvAWftIQjrIpV2CrTTYBSUlcQTQ1CMsGR MVbKF3k95utQscdu6C0WXOlcVD7F7Yv8qjCgALCz9lCCqdbJG4vjj95CkcxzSj9ZSDDmk1dJrNO7 AiO7jWvgvj2/hHkr67PrC5myAdodNP8SLtFpR+3PfKSSq70ojoUnAaI1wdLylRzwBrgRvnBekvr6 jhhH+cJxFUiLE/XnJRKA24VSDCcnehNgRE0DL6uq6dc5H7eEjeq5x0GwLJSmBMXZrW6vV3Gr1q23 +Pn28k1EKvXANzrEz2ThftJASRJXzvKFjQ5WvTczVRHcfx4BhO3DA3fhl09ko0LqhkJXriBAMAZH ZoBzlr6/PHBKjB8PlvyPhxdJBUOfjVQvJvjW5T5ZQMHYbUO+MzHTonutp5AXo4ZhpYYL1sf7xD/F ePSoZKyL8niB2cvYrS+ix5gPzQqhZ/vIJB41oiyghu6HUM838+BwaDkAn4simj0Knf7BzjQbHP2L 3dyankmyHMeHHZTNETeVITG6FKix56mPZhU2EbfELY7+Uvn/CSZRoEdawhAGarVtKh6npYocDVR8 6JIzRev7Vz3z/pMD7kcEs3jjhOyIZYhJbT2/8FstvWn4gqkKC+VyLU2ogVFdNHnIHPtH1TrMbfYB o0ZKm5zTsb3lqjRMfMum40sZ6AgDD1s9eG2WkWdeB06nrBii/365FQgUuzibEJ2bOKmAyMFwy/x1 kqfww/xnzniLkBx8aMdGt8IdcMF/2eSGVvzOeMhwNmsqV5BMInK6ih3CRqin3pVBPrsKZ8WwnEyK Wzdkpy9lMs6pS7CN5i9kuZpfgUNbMKcsdCpAS1yHUZoyzvEdS3K1ozVu4x0rqOLfmPa7bsxm0/0j xjvKXW7njqRpBgPhjQVok7H9M7xcAcsbwlNY/LsN8DUyIpzqXIftav1J3dpV89m+C8fpYs6kJGNC SLYluXMxw5mCquqyjVi0WK/WVEpILiFzmI90yWbjTZQU1CaU1IQYUHdpj7RTcSCyfQhgKMAaTjgP 2GdYjHPHVcgs6/wDztfi37J1VAi2MB4ZK+nfDAxRdFt+ki6FfJhQNdv/JfBu7g3kABKNtqV7WCAg vCa/FUfBz7xZfHH4qzzGJgzKmzP5gTu1ljllIXRLWldX3bPNoOCRLHimHJ3dXvrBUT9pYbO8wU15 U2U+FPhiH9LrZZOebB8iKys/YrwomMIsNVSpU0QiaA93Rp9GbEanB6Kv0LDyz6o2k5t+k0fkkf3H edLN6T3WAETTDyWnnmROMaUjDidRDUm+qsx06oaQJfc415l2JT3rlhTJKmbQGENPa1vpIZzj8eMT QtEQJI0Q0lpt6rIpmSZapuoLSrMtklt4Purr9b4YspUDj3NVeIhbJEf4cclhtQQT8hnqZeZHq0mb RwabkzX22+Jy5Rr2YGfd5+Z0YecvfgLqt/5tHRCHSt+/CIqPu16uO/67YqQZ74aFo1GtWZ/Xc6pg qgIhRqGnvUiv3Cxt1xZnKaZqxWdGn47gVH23ZAo2fwPXhEJIBWNgOk/JbxXnRtt45xYmFZD9cE28 3c0pOKEXYjdovD+NZpXMiBBgyMwznmD2Kupjbl5mxlMCXcWEUNC5o5yyrfDTlg9bsyZ+/UBdeNm0 4syMfoY0BfvTp0Y2303XQZG9yAq1itVsPdhqSWe7GudUfm6HwcyFOkUTwD9FMVt/OxEFLz2tNXUn 0/CVIAiqSgCePnE1PuZZgU0p7yKXghvois4B7GEHiCNvvrmZkp4cYWHAFCSJN97N3xvrLFADQ2Gr r4Y+QMAgs9xZx4hqEYMG/hfoArQfawZFV2JAhvqMqJtTmY8TLnG7jWdIOs/RFzmOQM9i4BCyDwNX UEiYf34ST3eHXo91SqGbAWUu+o+//JCuKTW6aJ9tR+GyjtbQ5hpSG3AaGL1iOKJd5pU0vUjXhDPG gSPnblXw0CQHvexSZ14lmw6fLDnTvtrtzKUqix0C7URv7VdrhAGadiGAZoCB7vxzthfoGq413H6d fYMYkCN1BgkwHaH//8gvjz6FMTJhiYzOZLY8Y9sT/s5QiUiiRFTs1nptWEg/Sbp7jkKU+GXPyFWe q3DAbPjQ16bSX5uQ7qRlpYXn5SjJGVtoUUVQ8pSg0+wFsVU5aM0D/4bgujIn1e+O8x087h5IErCo BpOXynB4jnwtsi3vwBToW0JjNUwznXvcc9ojoukTVs6DIgzVMSvgkZnZ2gmRwE23m+f69x6mpP7D Ngf6g+h0vbK3r8IpESDxlrMoDjTMBhiEoCSNZcr/5JUm3Ft78WD3zrYifFzBTg/aRE6mQ7UTXzFi DQXSxktCBXIG7we2UvOEPwZabhbL46pRre442kVyi839ybB8mpiNCi+ohwr55WfYgPEdbMdarbwp +a4CqptQn0UwVmwT4lZfwydcVxU9xI3kRzHGQvH58zX7mAg4q1/crDhTBL0dieJm2GhJQ/zDLDhX D9EKEAi0/YR/1NZrRCBngaoJWdgRNP41B/ZWJHLQrNjbYD850I1FiKf60S4Cpion8awcsGkduwOO 8TFJqrSdxDKP6S8Ufw+iiGq+1k3fTavPv8Vt/cqR75OfbyUXqaVxEIlRbrgZyKpt5B4sfMaMKulE FEay2kPzkHYp5OosNsqt6a/UxAtRlFaN+jnnmfIStX6iHQwehWh+nhmvO+7fuF3aiIqCLrU9qiu2 ARwnD43rKoaxLNIuZ1TT8ouEZR+hU9EQXS7WGskBNeV3Q0v6sHbMK7O/bn0My73h+LDSqxZqrDzJ MKneiHj0/fl/PCoWnZP3RrdRqwmUiO1wSlVk9M2pqxRFq46QpOgZ1YbQNKplzx8T6PGU/9rR37Xq mCK1TLeEAzxdSQwwmr23JD7Y+0G7+s/ASxoI6t/MxAplt0lvMmlWqgATw9ABc/JOLZMqZLP5Mf06 CEcTVsZBxg5/vCKqtfuWvVoLB5yFm8g7b8kQfvw9LUMscNzgZ46tnymgoQN4LUNNzYp5ZNTxuL+/ d59awBWVxEUEXCZu/J4bUdoEnOzpIsDtVaKp+Yk+2xjsmrV8ZcJTI9qWw3RTCVBvkEgAkzjYeB8t G9UheMlW4CFYot1XOm6aeI6CSd2al4btXW8uU7veti1uagvuMPZX1UW5LdPWfHDCut5Uw3769aPg CPmRnbDHZ5hxObgVzR6VKQKHeuFgxWmqSfIJom+gdhcQw0amv37XABVYaPxPcimQMqZAHoKIRoz5 R6JYhnAwAs8W+z6XEazFTJBmLj7q1zAnRViOfWOzUaNflzOfxnJJX94v1kUoxnhD+gIq5wfCZMZq lVQ2D3dyRwWBYNWzTlAGVEo7Cx5yMKCjDQhXvSJkDJfSt6AzWawPsqLEvUm40W4JO4k2lDju7h2x BqQ54nWD+h/evVOkz1ZN9SdAhrawDOWJunQ0yQXq7k/QE2F7NQo5S3GzdQvQ558xsPzkFvVZ16n/ mGFrJOpFNIGmyv6NT1pv+Er07J4XWubwbsEJ/qPUaiSJynoyiYFzLhbStiZyL+MoboTtN8hfMevd XunIoXbyOJrCy0jC8TPylVKj3X9znzKUKDdEy7Jst7Ah2ayqUuzwsoA6bfmmc9RHLvpqgSxUfxvJ GWt0phtpDa5H834W2fgHgxCxikcZdcXKwI2ZM6hijxbNXQq9X8hjerLgpsH1pTbvO3zrDs+TiHqK BhZkJ9w0OU6/Wsy/GtIYx9RNZ6FtkshoKx/6U/bJdNH8MB5IRZTEbVaL1QGwN1sd1M6UIdg4L8Cj xs3MHZAkcsKbrNdUEYzzqOJuLKZSkwwFwmt/q/rqUoYsn8eRDWFcdPqxkCtms8z8jdsoZdxP/Ptr ZsT3cChWnTcpPQjIWWEiqhKeMzWsIRx+ZgeHUyuTa01e+LCTGzLoQfHBH6FmBBdXsyD7O6HDJGtx YlmMNexcuABj9BHcq09Q9wrAqWaJBssWoN9u1wDG7nPAt9E84Jyt19hlAuxbwjutChc9HqOdbbeJ i0tfbjk5JsRRoP531t9G7755d0Su8zu/DC/DWxWCLK6kLKrx+NDnS78qxWCYgDuCWK63YurZFuIQ LZKKpqaWiecHuJW8+WQfcZ1yqq77GTo/2yQUjoV7VbLwr46F8Vfiaip338bx8NQot1LlAkl8fVYV xFTeKes2meO504VipAGzXfJ/rzMWL0RyHPzIFnUm0dtU5Rc3ocQ/tSkHD1h4LAL+PL7sK1pWjxkx 8d298fDmI/U3mfqal+w0L/1YbnFuc1nR2L51MbMn81HJAYzGpra2L17ktl/tpD0CMc3aiy1TjsLl sMVInObHZbCaeE1xT0gdkJIr97KOh+HpZfkpCCGHvVbC9PwpPj95Urx3CsCMkQ4gWe1bVAI4c4tm FLx05okwMmEmPu4pn65qpgGIkbKNNxMaVAruO1zXb9b4Pk9w55Lx5Zs90RBUVHZo65/hXsCY9i1w QaAORoxfnfp31vDqt+d4JM5FVdOkYUsOQoUenviaPHo1CUlv2Y2+X5IBE9FQ9uKPGQ5M0AXibA6Z ZGq5LN/xmPx9KuTo8XVSBRJ5Bk+x7Oj7ivmyTHeVyzlvk2Pqi/Cef45c06grBKnLJFt4O2w6MRbA 9sl+co5xAcDLBLJhWueBGZpRkIhI5IUKghMxc6GY5qkDl+1wrrqEB5oQ6q4sGnjFKycGddqE3Dr8 MCTbnqS59tozjbfGrNIZrrwBcpQOPgWugH+fO9DE/L6X6oHd+C0kzptfbLw2tdHoYSAzKQYeIl0g ByJw6bVbAPg0rkAA58FwEOuA+VM8Kq/497swjyQKsylEmnXKlcX06NW1G126h1hxa32ld3lyDk+h lDQZkk9U+oW6xDjbuRD4pNP3UNA/ewPCDg71qYu2FkyaKauvcm2z4eEcWpdw74FFjxPfNPi5cwD4 qxtP6f2dBIeNLbSDUaNmepVhmPrTHkdxIbA1hzZkLuiqXlNxKvrCLW9ErWPdvaoL7OeQUGWsp1wU 3wAWMOj4+pThFp18qpMr5stST96pR8nD9XBG5m7+zYNVyqUHXkV0nNsCT8v8KoVzNtr1NAEXF/0m iu/VEHf3DldLtuNGCakbogRvja5xd4xXD5b7Wxz+epzGZG0//YaGdGEtClbKug3wJ/TY2uBlVur0 dDQT708xxSOdpn9MRZzpH6DWSTRh3CRGgJiMBBVDM6gloN4hvgmLQkK5PRUua2HQB6U3l3KuJu1w 7A7iJTq3peNkDvDdkl0dlml+lvGx73I1+IkVA656tmrxXXIKztHmGSAOw1NsSSWqpi58XoChlNvM BSHkeYjvkYDZVuVHoULgDO8XB1Xl5H3krCA7ujZSPfyrkuG4Iiu7xckm48PbobFdcCWEomepWUVO imZErflqYDGvOAYb3Q5deTs/8krFSla1l4MLdQMqkwKVvuM3kyMDwcQsBZ+PIcHz8pVZWpXXr1t1 +Xlq7WG3J7M5h2fOIrLELZjPLUXiQmA/9BllA3OSs2CoiFRC4dPZxCSzHk/V9F0MYBquBKIIHOQj 8YtcL3Y//aiT7AQz28OZSpFyF4RDABhFC04rCWCi8Y7Thy6JSJ9B5qp58yWYoAmtNirXYv7mca8A 7/INIkKDSXvL/fAe999ttHEZAi1frDLrzLrdHYYGtLu9qRpSWC7alcTKCua7JgVxBS73gQ+Ddvre RlyaRUnuWwSSZDX4E2+AQaj1ujOfT8LVCRm33sNjuNgSoMhyU6epF+SDLH8dLPDAX3zNpmYgCVpm I8M2bNNzCbLQ8OWa78mqkQopxJLqGHRHRecd9cTZ9BiW+T+9B9+mysubqxhJG0Lo7/L6iM/1mwci B7/EPTpogdtwZ05J0+pAOJg/Qu5ecUqw8Buu2D9KXudp6nARnPTD4+n4yxCZ/XHWrlvAeXOyM9pr tJ/kj9KveszvM1jPPR+xpSBeiIw0G14qJmu3KjElo8k1fUjEzf4211aTrPVQTIh5YwC+Ev3p2N8I ZT/n+Ur7a94Yw1jan6a1qC0duKr8cEd7p5PszBUmBI4rAJSerAW40hPd4KrzKPvCB/1ATiZ3GiYd EUzjrzQWwRdLlZvIzc/4kkqMvDZzv2t08rvtLmi5WvIXxXHR2o0JGDnL+L4XJ+jl01O/6GtBUcEd XuwqTG3JQ2rGpQhZcd/mNggi83QGXEFnhCAZN8enXDi4VldIw39KjJ4GpJM6OIlPot150zjMb3XC lLfBnP2BJAGlJ9mJonQR3kvArD6Fh4pqrmkEmKPi1umyxPYkzkMZV2rycPphdm0jBPdsyQsfP79Z Oz0v7SSjBNtG2Kj9JYKgy+grALIeo7f0LwQQhUDsemWrozIUwPPsddmHb4HwoVvLFpzhpVwQJei7 rlJ0myIf+YVDymfIITn9uUychCnDikbKOsmf9SuWEn4xQWnXtr7DWzzLiKpXipHqOQ1z/uJNs7ED mYER6y9CMZgInt9oEaddtvqmwjZMrJ5sM4PLh/kgg8mQGH/2YZgDpz+UAW8krg6kTdW72u91kTwH JzfG7VSKFliUiBc40AxH1DJUK71JE6rk1eE1nHG9Zh0zJUqa3lJJn7Rn0dDqzxsYPHNhC92AcXoW qpdtwjMZfMxZEdLMivv9kCaDjyMPozX3NIDLvbu72SASY+FMoMFA142/XXdx7vYlL4mCFj/YRVJv wVJlRkT47crtF4hNHuw3c4KVwxMIQ4EE83IfR5era6SVYjGFwaKuIXehmAwI/cuHp7+jWbAbs1hu U5snypTCq+pWSjg6LL83unXNxMisPYRi5Tkam3+5YOURV9k0Ibgphll6LjHYr2na4ZwQCKzq0FCS kLGxPeK9rIAMedlai3JdNkIA0aQ0e82YlVVPNfc0Um3DCyq06vN7NNYflbMJ0MqZnc0qmpJ2qgyj Uh6jtC8H6blubwKo4YkpKJJws+HBQZ7uJ02RQ3F3WxODWcYRJLI/mDmr3Kzyv1HRRv7sTnCbIzOf TujB/vws3J9JcqlFMHHwgZSjEqJcF1UsBRifOZsfjtgXVp80j5xLfAQaWDJTcqFa5GfnTsGkij1n GALuac0sDWStPK16zksDiaOkMgLQBsyGvJaqwtwUi+7NWKIDI4xbPsqNlUo+X9IPLH6v9DtUf0xd W8hvmrF1frUZvweS9SE8hcvMR5kqxaILFaooJpQG+gd0JCd8jh51fr8aOFmNJ3LeOB2Q0dJgqC6/ tHtPD0xsCZY1T/ah/yMch7nL+H+f60w55qm8jWxEH7AefkbNw/9A3ainasCscFe7IMPyS2IsK4tb nhOPl9aP1TxLvFcLAZqzUkR9ESIQkHCGYgrsBWGX84bSqgiAMLMkJo/r05Rz1+PHD0LLteS3PUCj OVAfK+6gitQbXC+lK+zNuUu3X69OAD28iLiT7nbyBS6SEqyeIStIUKWjObP61H2QBTrz3lSWDncx 4C5Q2jqqcW9HvXtVnrKqULlGyUXmLU/aSc9C2pGGvmNaNwO+hwOEzRq0aYoTNgLXPEXn1qTv+r3A TQj9xyREmv0Dlbal77O2z4zEbeOanOIN1XNOopLOupF213vVBJiwEEz1xH73suB/lcCc0n0q05up KKSxpRbfaX/6Oj1tOUIBmqoi3ptFquYW1oSmbQ5/QlUcCGKgmRP6LFp4e7n9Om+C20VBh7CbReDt aHGTa7wuweqTYJZRH8cP21aPQw/FTyHkYeOKjOqnRd+/exaeIZl59neNbhDzJcfrJskDrXtSdbdQ 3LqgCOUrih/66o0DZ1bRZKqtI35iEh76i5Wd5tOCqNJWY/jJT9o8NqRJSn8JfN7MTh0WWrJSwLrc t6tk25QTJ2EL/n9jx+lAtAV9BAX9t+hypOm3A7vFfaiu9qEzp/gZKrYVCxUBJPf+8o9KICCyFhlW 3ICmeFx4l/YVcGjq8kIQhmKariPQ3uu0m/FiGy+Q//0unaD+zQ4XXObtvysQQTzmkN7twDwX4xVg A+mn0FjLM/ch8ivhMEQBySiLlFhJc0NIp+hMiNL/S3ah4mTY01qjbTCvPPicRfbLapNyW+9qNZcq to7M9AmSWniQIPPRVAmxpo8DSAWbDPuJpyEx0EONb4ueYNorXUpvmuGHqgQznrVEiZ7Gra7mJ916 aIDO6mLf1GDE/V13QWyUkzjxFFTYVTXXFv4VK8/MKz6B+pif5PW28DtjimLWk5iWmE8sgsmddXId elZoACDdPDGo/GPxE7xSp1QMl3yJCug4EqfojZsXojlx+8dqSxCIGgeoYJYaEDhaGcash+xz9s/l uB5zb1edGbiPUN5ZjUC11pB4CodRUFCb0LQknVbyR5xJxp2QLuQpc73k3+Puh70aqTHdAfyxKtDJ 5KVOLNHQ7U46LXuejSS9ui6UiCnPoub0IwGMrMRYYvay9c/ejRBsghL7DGRNqdxGCjrbYr2JU6kL j7RJriMbBu+IBQWMcR4KkJ7PGdsDoU+6LMW9VDBuA+wa35bMQC+vJGpo85MRE2n3NgaB8wZvZM1W bZTUomrFWS3dUL3ooAoyJElH3J4Kw8PhLJRhhIfT2ef6kU+2DnWlPJqKmj98jb8RH8Xl/Z9bvEGb X2C+68xZuOwWxgHev0J7QLIUrhCASzZIFiPtObBOqmWajVuU0z8LOWdUJYdt0kgjbu7j1MCMC2jb tG822A9dRzJFE7i1CQJks6wdq0OpJGyfuI2t4ZmO8KXEcTQ+gLG/c0DLjWsBX0ol4/d0kPu27k5e pV589b29+xG7MWfvKcg/ANbtMX/esuJcSYLZRnYJtP5AS+pqQFYxVUZdCYstxnrX86tIy7MAn+47 OxfW4L+7rJf0CAGJ4XXJeA6DFWVSyFSssjvAlZdbgpfpZZDIdOEf3X0T0RqCitvKzgud/P6Pom+2 6JL0jSle1H/t3eIvGhksonvFlM7xMaKa2Ys+zPEvo3MWbD43bHdJaOh3U2heof6+CrnRDYR4uxqs gdCREFVAL+lOpL5dSsgf7SCUAe1qnHsAuJ/+0OAcxGgyzmxFkLMRci3TslzQp6a+8ybRj132yOFw tYDaqtblSARZmHWVKsRvOULUkShOm60qIYr69nwlWjSbBAT+I3mmGpylbqEoWr3TiwlN2A0H8l66 No9YkkfVEz0IWzH7EhqQrf8XuQY0gi0P79HAC4Ggr5RKu7ypDkcSzxUpcWksPRJcFoBHZzhPh+0m sa0bhp2GfPUp0R9HC4fNGDadrz9K8/JwyVinsTwUPxwnw4YH76mf/QtFJVAesiM3ISGj0TAHP7ea pX4EpHfKXjZY6ZScArG71GynER8/Ohy6viSebFPJpmJ49YnQxjc4jW7HjMUvObQsFJFG1XSgahtf BMN426uK4HyPRjGC+5fzRAjiE/SY9UPvMsrG1R2Tjo70LyMAEEC9mMbHh/gDOeGZikoh3Iyihql8 ne8OlDAb06fiy4uUkL0VtHSYZIed7T8XM3wZVUVYD433aoHpN2UesMWSGTMrOojjV91h2JFIddVa 6i8tDKzPl6Plj1rMoIf1gXJkFog21i06xMuV8szBbCHu4+zZsMLw+BipRpt2lyXhstu+5yE+ce/X CpGa9fg2zUzhq66XS812gAE/VsC10CZy/nUCLLpBLA9xCVtPuBu/IgHpmWkBUuuenAgKpmBRF18C MY0HHLbvwr9L/YyszBu6Lu0dNNEKBFhFm9tfscAhsEyEAZIZCuAzV/bkTz4NWFUwDb9hwbTJitHn K6ukGMvUHx+qTUxoorexLH/xHxhBHnaypSeq+hSZWG5znN5HmkdD0Q97m0IQeSXPW7jtMi7aBJ3Q FuNGlaJPN7Bt1OEnXRv3Cgh/F0l9cP2DB66rs6EDxUV058s3H8/NSU1soOYSoYpATl1/wE65ZA4m f8Aagax1qt610ZVBB1bun5tIaEXrfzAUZoO9qZbsWyrhiL+wU8wHmft9aoLI0PHwj1P5zVsgNWVG NWJKu2lPCSktDBQ6BENFuGjQdhGtoaEuKkGZ6cG3myEGGc9LGj+4P41uKvOJFBpvQIX22PQOedU/ eYbWEUb24cNcFOlm+GVhSUTYdfW8osPH/B1A79VAuuHkr3b2n+p750TjfnXnPpE8I5lQbbry24Bn Ka/b1ranHxyMNA9+zMamDci6KGmC4NaNejJehbYe7cBV8oCXStKrjQvuXG3Yp6um8MFxUpb5D0WS v1dGSIiHIeq6cYEysXAR7FSmoZb758w/uin/a4FPAR5Krsysx+lW5kBWCD86wUJNYmr7NtTcWWXZ BO4UoCHsL8tx8k6XLlntwwJP8o0RLWEk0BCA7TX3Hbw/2oW/9q1nHKa8LcehTMJstoT91q4X/H0q Bqz1OVBbGs8FkvRAxf7DpHXqof+jVfkyJNKe0o/sVtnm/pfJMXyMVjCIDYQKNd54QvHC70fNjRVl DVp5d4vuQz0/NMvcUber0THIXCGcAz/kZo5e9U65NFkF8/g4wo66mf5Nl4R3cLTRj7NwP5MaFD6Y Mo8o9ubNX/PVuBksoEJ4Wicjqp7ZoM79DlhPoar9CwEzezWJveicMNdSUO4nPucxjvDzm6aGuqIb BWT7sL0zW/vSv9fNaJRqL+umQNG1D2lqc7eORDOzbkoUoxARdBA3bcLC8X3fRrQ6jQflbFpaFO08 Mx7lXw24hNFBrjEpyH+r/myIDA80WGSmY7nAI6MZJki+dCrZVl3Fr4Q9FPCa+KQJ8WiOtVqeOlv7 cGkLRn2No/IlqWB+LIIdFumWdGUJSORDSOIclVJZC1OnZlUkrnV2GIcTvL2XF7agdA0dFfv48RPr OKkgRn5EzQDINpcuL8V+AWKUjKJT3UqDff47tnZpNPVtmf5yklwchQDmO8mF5l3pUDEeFm4EFOnf Nu/8/YriNKZl3fOixYrr/NULnjpReZLC8VIBWXGgUG3XoxH4sEAUUPXNW70V/2Q7+Rs1p3DDOtbf bOk3QPdrkw1SXBsktZCnwEV10DJN4gGtEIo9HQM+9vucjD8ICKBLDXKHKeTSXSnYEBz472V1I3rJ Sn7aH98b+FgWOvmjsp0Hxf1LSkb6WkhsB0q/Du01jnnkSve0er6nAQpgBa1CkaBvZJRA+Q2yJZNV 8NTTEsIvBKsRWzSIH+AdMfcJrs3/hRybKd5ccWm0vivTOGIL1r+gxkKv4EzYsfSSZrez5xDkxU2p 3CzpJ7sf3bhuDs8mNZTjf6jQ7icXAZ0BvnZpvkSjUTQMGUbhFdKcNsz1itLXYcz/IeD8bQ5C9l8k OJDI2XrFLzOatI3IN1Wq9y4geperQEQ+KCWbhMCgmEKmKhKE6UIa5+zVTFg/eQe2gsya8I0lhZE0 ZJ1olZAt0cNrZGdP4EOLJGa6v5VpqP0ej9kHH5s09Abr6C3//h9JPDbbydIXZAHdkZygyDA6hhq1 i9U1eoogJRX2xN+ideqOw0uzZ/Tp+Fm1fBT6D7a/Mj67Dn9MNJr0MV90vAW5MT4NkHsO6sViJZn9 k8JP/6jOf87LeTXFix1wsU+dhTamSqXRW/twL9jjNXI7rmAWgxfMcgFnheIzQ8+Z438Pc3teKqmN dRkDLectSn5ZrjxJ1NHl5lnLctXPkaA8d6gTDy8Cm9ZwVqRQbP0QY4zbzTzLI07H5paUjCkYzzg7 hoJQdfWoSYzCVH2g8DN/kI5f3sVjMNNWSmneA4u/9x+Cw33SZk1gwMASlG+smAiJYtmGio51GAsk WVqims/FGj8AzaYq2Qr/MuSf5z/ObjDBksQVIC38/qy4n/AnVwiSlzX8VlHiOSrJ7zBWrgzglrlK VNY8jyCTukKs8CdckF0dEI33oiLrPGECboDZsIS/KSCXp2Qtvi52kBXqeQ6e9pmj8ovjUrovU3yd peYJDJSGk1axkKnzk1jy6c6ByCGiDrkh7X0avPLGu2D4bOLbAqrZQtkZ7JknK2+2qeBjzNW5xbzZ T8c749I11bHgC1NfLolicgoRFT5JSrHykMGGWXu++V+Ktzyg1iCc8AxDIB0AetUvLemGH1i2BSPy hJPrBBP7tAJz93BI5jJlyoQwUONU6k9t5caUBB7tBDrLdiiZaQoHFZ2STFRj46P5oaCliQYwJOW+ OV2b99NND0rkmrff4O14YlALUOF2AvvnOwbYSquLlCnPXeli+qBceW3bKWFTCAlpDUhqXYHWNYnI MSz8Q+eQBGAtzKGZwo7bi9mT8mZAGSzuRmJRwL4ZsxTs4Pi1fR0ajzo6H3rTjojyBFAinA7iH+Df KTtdZqySMMtNO3uhsx6cADizLnJfGLyOA9YqN3d9pXTk1OZH9/LBGdgx0GbofXqJwbv4rq1GtIWN OkOlNRAFI00vS26mVuGwpuJMz9AwjeQQiuJQpQQLSwYiMqXTuE02qmU37oGcYJ8JBtDJUI6JZWCd choalIuJDYGtzP/nk74ocuS1EDaKD3fBXQAl5iBHaCMCDt8U3lKlnGLdKNllsz1t6RzUDi1c+Ccm 96wYcC+z+VAySinj9myjSCiNUDsL1aFnCv4O7UX80ajRuZvUehgRWtyWcINlXWLfDkjMlc9chx2R pvjZeD1dd65nCiJ2Nxgi3ad5LLENZgPTSALKeQYQPg3mimMbJ9YOErAPgs9+DKkjselpBAb5WIq3 C/BTbxCFsqIOJuB01qql3twYperAxvA+Dzw21GYXk9G7t7zvCR5e/Km+mk0cIg2P1UECpe2loDIw rP6MwWKNj+SVPEq3b2dd3HCJM/omv4O9pJduNqsde6MgyV+3QmuMU4qPRgp++uzH7zEUe/315KES aIS2vvkIjnaQISCsfSv780Z/dFpgbOEf8pRldOlqCvmTltzhzCqEH11hd05iBFlwRCHmAGssolBw KMsjUbC2VUI8Aq8oVIEE9eZLw9pbhB9N3ExTWqbrJuvhEKn3Gy7Pq1WjsSCNv8LJnKaUnGpLvP6L cyi4UPz1hnkGSoooWZJSGy4ggYeJnvjyZZgqcaQ99AmaI90Dur+pHvz0ogu/tXjFm4Oipqa1Bbwf Mr0M0pLh5caWfvLRXgTWAh/sh7q+MkTEcJZWf8RU8rJaowoax4RuBVJdjBo+eIAH93cITtX7MOJ4 2sbVkUwZuyYpN6ueUf4nIdCPJGlEryZ1mo0D5CJiG0sgzo2cW9MekT07Y3moykqXfF4gami+MbUP qttl4becKbJe7XZ207y+6YfiTtTKEauTVdNSi0aiakaThdeXXcLSFnaotT89kQLKWv49ZUTCvq4i lAjT5ylD5pzj5I6K+fvyFh6AIXP5KszDgMPhjl9+a2LEJoq5DCzjYi0bTmrLVuZAyDRGvwUVaHjm Q4XwVvGSR32HbAGWEuccVLZZvChZqUeHHTuVQ8H/DYJ9XClH6at36YxDYG5jbwUd5YocrX2KYSqg SdE+bBgmlDy1DCG/t0Zv7OXqvuRhDjzhthvHgC3vVO7P0v9cCzB2lTLfISIN8txYwIOC7mj3OzxA 5kRMiPLUlbApvUwjciWS1PTnY8curgrENwLbGfWb9u8+nCUrc7eU9kcTyutU49zz8GNncHI3zUK8 oI/B9gmJg4aohRvk9kHsLpWShMJQz2lQDd91QiapWXBjGEKWOEvgtCzkcAqJUn+rdQr/Ng== `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity repro2_1 is port ( clk : std_logic; rst : std_logic; tx : out std_logic_vector(7 downto 0)); end repro2_1; architecture behav of repro2_1 is subtype byte_t is std_logic_vector(7 downto 0); -- Define terminal newline characters (CR+LF) constant NEWLINE_CR : byte_t := x"0d"; constant NEWLINE_LF : byte_t := x"0a"; -- Create ROM array with all concatenated messages. type array_t is array(0 to 15) of byte_t; impure function get_msg_array return array_t is variable result : array_t := (others => (others => '0')); variable ridx : integer := 0; procedure append(constant msg : string) is begin -- Append the message to the output array. for c in 0 to msg'length-1 loop result(ridx) := x"00"; ridx := ridx + 1; end loop; -- Then append the CR+LF characters. result(ridx+0) := NEWLINE_CR; result(ridx+1) := NEWLINE_LF; ridx := ridx + 2; end procedure; begin -- For each fixed message... append("xx"); return result; end function; constant MESSAGE_ROM : array_t := get_msg_array; begin process (clk) variable p : natural; begin if rising_edge(clk) then if rst = '1' then p := 0; else tx <= message_rom (p); if p = message_rom'right then p := message_rom'left; else p := p + 1; end if; end if; end if; end process; end behav;
entity pipeline is generic (width : natural; depth : natural); port (i : bit_vector (1 to width); o : out bit_vector (1 to width); clk : bit); end pipeline; architecture behav of pipeline is type pipe is array (1 to depth) of bit_vector (1 to width); begin process (clk) is variable tmp : pipe; begin if clk = '1' then o <= tmp (1); tmp (1 to depth - 1) := tmp (2 to depth); tmp (depth) := i; end if; end process; end behav; entity tb is end tb; architecture behav of tb is constant width : natural := 4; signal i : bit_vector (1 to width); signal o : bit_vector (1 to width); signal clk : bit; begin p : entity work.pipeline generic map (width => width, depth => 3) port map (i => i, o => o, clk => clk); process is begin i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1010"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1001"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1000"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; wait; end process; end behav;
entity pipeline is generic (width : natural; depth : natural); port (i : bit_vector (1 to width); o : out bit_vector (1 to width); clk : bit); end pipeline; architecture behav of pipeline is type pipe is array (1 to depth) of bit_vector (1 to width); begin process (clk) is variable tmp : pipe; begin if clk = '1' then o <= tmp (1); tmp (1 to depth - 1) := tmp (2 to depth); tmp (depth) := i; end if; end process; end behav; entity tb is end tb; architecture behav of tb is constant width : natural := 4; signal i : bit_vector (1 to width); signal o : bit_vector (1 to width); signal clk : bit; begin p : entity work.pipeline generic map (width => width, depth => 3) port map (i => i, o => o, clk => clk); process is begin i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1010"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1001"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1000"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; wait; end process; end behav;
entity pipeline is generic (width : natural; depth : natural); port (i : bit_vector (1 to width); o : out bit_vector (1 to width); clk : bit); end pipeline; architecture behav of pipeline is type pipe is array (1 to depth) of bit_vector (1 to width); begin process (clk) is variable tmp : pipe; begin if clk = '1' then o <= tmp (1); tmp (1 to depth - 1) := tmp (2 to depth); tmp (depth) := i; end if; end process; end behav; entity tb is end tb; architecture behav of tb is constant width : natural := 4; signal i : bit_vector (1 to width); signal o : bit_vector (1 to width); signal clk : bit; begin p : entity work.pipeline generic map (width => width, depth => 3) port map (i => i, o => o, clk => clk); process is begin i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1010"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1001"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1000"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; i <= "1011"; clk <= '0'; wait for 0 ns; clk <= '1'; wait for 0 ns; wait; end process; end behav;
----------------------------------- -- PROCESSOR -- -- Test bench for now -- ----------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE WORK.controller_constants.ALL; ENTITY processor IS PORT ( -- Main processor clock system_clock : IN STD_LOGIC; -- Debug outputs --ir : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --mar : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --mdr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --pc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --r0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --r1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --r2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --r3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --r4 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --signal_alu : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --ra : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --rb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --status : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); buttons : IN STD_LOGIC_VECTOR(3 DOWNTO 0); switches : IN STD_LOGIC_VECTOR(17 DOWNTO 0); hex : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END processor; ARCHITECTURE behavioral OF processor IS -- Control signals from the controller SIGNAL s_controller_mask : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_controller_en : STD_LOGIC_VECTOR(40 DOWNTO 0); SIGNAL s_controller_fun : STD_LOGIC_VECTOR( 9 DOWNTO 0); SIGNAL s_controller_sel : STD_LOGIC_VECTOR(15 DOWNTO 0); -- Program counter input/output signals SIGNAL s_pc_output : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_pc_input : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Program counter incrementer unity data output SIGNAL s_pc_incrementer_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Memory addres register data output SIGNAL s_mar_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Ram data output SIGNAL s_ram_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Instruction register data output SIGNAL s_ir_output : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_ram_enable : STD_LOGIC; SIGNAL s_io_enable : STD_LOGIC; -- Main bus data SIGNAL s_bus_data : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Main bus address SIGNAL s_bus_addr : STD_LOGIC_VECTOR(31 DOWNTO 0); -- IO data output SIGNAL s_io_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Hex display output SIGNAL s_hex_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Memory destination register data input SIGNAL s_mdr_input : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Memory destination register data output SIGNAL s_mdr_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Value masked by the controler data mask SIGNAL s_mask_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Main data multiplexer SIGNAL s_main_mux_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Register bank output for register a SIGNAL s_register_bank_output_a : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Register bank output for register b SIGNAL s_register_bank_output_b : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Alu register a output SIGNAL s_register_a_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Alu register b output SIGNAL s_register_b_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Bit shifter data output SIGNAL s_shifter_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Bit shifter status flag output SIGNAL s_shifter_status_output : STD_LOGIC_VECTOR(31 DOWNTO 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)); -- Alu register data output SIGNAL s_alu_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Alu status flag output SIGNAL s_alu_status_output : STD_LOGIC_VECTOR(31 DOWNTO 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)); -- Status multiplexer data output (selects status from alu or bit shifter) SIGNAL s_mux_status_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Status register output SIGNAL s_status_register_output : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Register bank register signals SIGNAL s_reg_00 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_01 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_02 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_03 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_04 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_05 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_06 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_07 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_08 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_09 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_10 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_11 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_12 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_13 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_14 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_15 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_16 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_17 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_18 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_19 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_20 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_21 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_22 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_23 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_24 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_25 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_26 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_27 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_28 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_29 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_30 : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL s_reg_31 : STD_LOGIC_VECTOR(31 DOWNTO 0); BEGIN -- Debug output hooks --ir(31 DOWNTO 0) <= s_ir_output(31 DOWNTO 0); --mar(31 DOWNTO 0) <= s_mar_output(31 DOWNTO 0); --mdr(31 DOWNTO 0) <= s_mdr_output(31 DOWNTO 0); --pc(31 DOWNTO 0) <= s_ram_output(31 DOWNTO 0); --r0(31 DOWNTO 0) <= s_reg_31(31 DOWNTO 0); --r1(31 DOWNTO 0) <= s_reg_01(31 DOWNTO 0); --r2(31 DOWNTO 0) <= s_reg_02(31 DOWNTO 0); --r3(31 DOWNTO 0) <= s_reg_03(31 DOWNTO 0); --r4(31 DOWNTO 0) <= s_reg_04(31 DOWNTO 0); --signal_alu(31 DOWNTO 0) <= s_alu_output(31 DOWNTO 0); --ra(31 DOWNTO 0) <= s_register_a_output(31 DOWNTO 0); --rb(31 DOWNTO 0) <= s_register_b_output(31 DOWNTO 0); --status(31 DOWNTO 0) <= s_status_register_output; hex(31 DOWNTO 0) <= s_hex_output; -- Main data multiplexer driven by control selection signal main_mux: ENTITY WORK.mux(behavioral) GENERIC MAP ( g_selection_width => 3 ) PORT MAP ( in_i(0) => s_shifter_output, in_i(1) => s_alu_output, in_i(2) => s_mdr_output, in_i(3) => s_pc_output, in_i(4) => s_mask_output, in_sel(2 DOWNTO 0) => s_controller_sel(c_select_mux_main + c_select_mux_main_width - 1 DOWNTO c_select_mux_main), out_o => s_main_mux_output ); -- Controller unity receives ir, status and negated clock for double speed controller: ENTITY WORK.controller(behavioral) PORT MAP ( in_ir => s_ir_output, in_st => s_status_register_output, in_clk => NOT(system_clock), out_mask => s_controller_mask, out_en => s_controller_en, out_fun => s_controller_fun, out_sel => s_controller_sel ); -- Program counter register program_counter: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_pc_input, s_controller_en(c_clock_program_counter), system_clock, s_pc_output ); -- Program counter incrementer pc_incrementer: ENTITY WORK.adder(behavioral) PORT MAP ( s_pc_output, STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), '1', s_pc_incrementer_output, OPEN ); -- Program counter data selection multiplexer pc_incrementer_mux: ENTITY WORK.mux(behavioral) GENERIC MAP ( g_selection_width => 1 ) PORT MAP ( in_i(0) => s_main_mux_output, in_i(1) => s_pc_incrementer_output, in_sel => s_controller_sel(c_select_mux_pc_incrementer DOWNTO c_select_mux_pc_incrementer), out_o => s_pc_input ); -- Memory address register memory_address_register: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(c_clock_mar), system_clock, s_mar_output ); -- Memory destination register memory_destination_register: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_ram_output, s_controller_en(c_clock_mdr), system_clock, s_mdr_output ); -- Instruction register instruction_register: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_ram_output, s_controller_en(c_clock_instruction_register), system_clock, s_ir_output ); -- Memory mapped IO controller mem_io: ENTITY WORK.ram_io(behavioral) PORT MAP ( s_main_mux_output, -- mem_io data s_mar_output, -- mem_io addr buttons, -- board buttons switches, -- board switches s_controller_fun(c_function_ram_we), -- mem_io combined write enable s_controller_en(c_clock_ram), system_clock, ------------------------------------------- s_ram_output, -- fans out to registers s_hex_output ); -- -- IO/Memory bus controller -- io_memory_bus: ENTITY WORK.bus_controller(behavioral) -- PORT MAP -- ( -- s_mar_output, -- s_main_mux_output, -- s_io_output, -- s_ram_output, -- s_controller_en(c_clock_ram), -- s_bus_addr, -- s_bus_data, -- s_mdr_input, -- s_ram_enable, -- s_io_enable -- ); -- -- IO controller -- io_data_controller: ENTITY WORK.io_controller(behavioral) -- PORT MAP -- ( -- s_bus_data, -- s_bus_addr, -- s_controller_fun(c_function_ram_we), -- s_io_enable, -- system_clock, -- buttons, -- switches, -- s_io_output, -- s_hex_output -- ); -- -- Ram block -- ram: ENTITY WORK.ram(behavioral) -- GENERIC MAP -- ( -- g_addr_width => 10 -- ) -- PORT MAP -- ( -- s_bus_data, -- s_bus_addr(9 DOWNTO 0), -- s_controller_fun(c_function_ram_we), -- s_ram_enable, -- system_clock, -- s_ram_output -- ); -- Alu register a register_a: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_register_bank_output_a, s_controller_en(c_clock_register_a), system_clock, s_register_a_output ); -- Alu register b register_b: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_register_bank_output_b, s_controller_en(c_clock_register_b), system_clock, s_register_b_output ); -- Bit shifter shifter: ENTITY WORK.shifter(behavioral) PORT MAP ( s_register_a_output, s_controller_fun(c_function_shifter + c_function_shifter_width - 1 DOWNTO c_function_shifter), s_shifter_output, s_shifter_status_output(3 DOWNTO 0) ); -- Alu alu: ENTITY WORK.alu(behavioral) PORT MAP ( s_register_a_output, s_register_b_output, s_controller_fun(c_function_alu_cin), s_controller_fun(c_function_alu + c_function_alu_width - 1 DOWNTO c_function_alu), s_alu_output, s_alu_status_output(3 DOWNTO 0) ); -- Status selector multiplexer driven by control selection signal status_mux: ENTITY WORK.mux(behavioral) GENERIC MAP ( g_selection_width => 1 ) PORT MAP ( in_i(0) => s_alu_status_output, in_i(1) => s_shifter_status_output, in_sel => s_controller_sel(c_select_mux_status_alu_shifter DOWNTO c_select_mux_status_alu_shifter), out_o => s_mux_status_output ); -- Status register status_register: ENTITY WORK.parallel_register PORT MAP ( s_mux_status_output, s_controller_en(c_clock_status_register), system_clock, s_status_register_output ); -- Register bank registers r00: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(0), system_clock, s_reg_00 ); r01: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(1), system_clock, s_reg_01 ); r02: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(2), system_clock, s_reg_02 ); r03: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(3), system_clock, s_reg_03 ); r04: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(4), system_clock, s_reg_04 ); r05: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(5), system_clock, s_reg_05 ); r06: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(6), system_clock, s_reg_06 ); r07: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(7), system_clock, s_reg_07 ); r08: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(8), system_clock, s_reg_08 ); r09: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(9), system_clock, s_reg_09 ); r10: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(10), system_clock, s_reg_10 ); r11: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(11), system_clock, s_reg_11 ); r12: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(12), system_clock, s_reg_12 ); r13: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(13), system_clock, s_reg_13 ); r14: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(14), system_clock, s_reg_14 ); r15: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(15), system_clock, s_reg_15 ); r16: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(16), system_clock, s_reg_16 ); r17: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(17), system_clock, s_reg_17 ); r18: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(18), system_clock, s_reg_18 ); r19: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(19), system_clock, s_reg_19 ); r20: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(20), system_clock, s_reg_20 ); r21: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(21), system_clock, s_reg_21 ); r22: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(22), system_clock, s_reg_22 ); r23: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(23), system_clock, s_reg_23 ); r24: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(24), system_clock, s_reg_24 ); r25: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(25), system_clock, s_reg_25 ); r26: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(26), system_clock, s_reg_26 ); r27: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(27), system_clock, s_reg_27 ); r28: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(28), system_clock, s_reg_28 ); r29: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(29), system_clock, s_reg_29 ); r30: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(30), system_clock, s_reg_30 ); r31: ENTITY WORK.parallel_register(behavioral) PORT MAP ( s_main_mux_output, s_controller_en(31), system_clock, s_reg_31 ); -- Register bank data output a multiplexer driven by selection signal m1: ENTITY WORK.mux(behavioral) GENERIC MAP ( g_selection_width => 5 ) PORT MAP ( in_i(0) => s_reg_00, in_i(1) => s_reg_01, in_i(2) => s_reg_02, in_i(3) => s_reg_03, in_i(4) => s_reg_04, in_i(5) => s_reg_05, in_i(6) => s_reg_06, in_i(7) => s_reg_07, in_i(8) => s_reg_08, in_i(9) => s_reg_09, in_i(10) => s_reg_10, in_i(11) => s_reg_11, in_i(12) => s_reg_12, in_i(13) => s_reg_13, in_i(14) => s_reg_14, in_i(15) => s_reg_15, in_i(16) => s_reg_16, in_i(17) => s_reg_17, in_i(18) => s_reg_18, in_i(19) => s_reg_19, in_i(20) => s_reg_20, in_i(21) => s_reg_21, in_i(22) => s_reg_22, in_i(23) => s_reg_23, in_i(24) => s_reg_24, in_i(25) => s_reg_25, in_i(26) => s_reg_26, in_i(27) => s_reg_27, in_i(28) => s_reg_28, in_i(29) => s_reg_29, in_i(30) => s_reg_30, in_i(31) => s_reg_31, in_sel => s_controller_sel(c_select_mux_registerbank_a + c_select_mux_registerbank_width - 1 DOWNTO c_select_mux_registerbank_a), out_o => s_register_bank_output_a ); -- Register bank data output b multiplexer driven by selection signal m2: ENTITY WORK.mux(behavioral) GENERIC MAP ( g_selection_width => 5 ) PORT MAP ( in_i(0) => s_reg_00, in_i(1) => s_reg_01, in_i(2) => s_reg_02, in_i(3) => s_reg_03, in_i(4) => s_reg_04, in_i(5) => s_reg_05, in_i(6) => s_reg_06, in_i(7) => s_reg_07, in_i(8) => s_reg_08, in_i(9) => s_reg_09, in_i(10) => s_reg_10, in_i(11) => s_reg_11, in_i(12) => s_reg_12, in_i(13) => s_reg_13, in_i(14) => s_reg_14, in_i(15) => s_reg_15, in_i(16) => s_reg_16, in_i(17) => s_reg_17, in_i(18) => s_reg_18, in_i(19) => s_reg_19, in_i(20) => s_reg_20, in_i(21) => s_reg_21, in_i(22) => s_reg_22, in_i(23) => s_reg_23, in_i(24) => s_reg_24, in_i(25) => s_reg_25, in_i(26) => s_reg_26, in_i(27) => s_reg_27, in_i(28) => s_reg_28, in_i(29) => s_reg_29, in_i(30) => s_reg_30, in_i(31) => s_reg_31, in_sel => s_controller_sel(c_select_mux_registerbank_b + c_select_mux_registerbank_width - 1 DOWNTO c_select_mux_registerbank_b), out_o => s_register_bank_output_b ); -- Data masking s_mask_output <= s_controller_mask AND s_mdr_output; END behavioral;