content
stringlengths
1
1.04M
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Jeffrey Magina and Jon Leidhold -- -- Create Date: SPRING 2015 -- Module Name: DEBUG UNIT -- Project Name: DEBUG UNIT -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Debug Unit for part 4 of Lab 1 -- Takes in a 0 - F on the ASCII_DATA line -- and outputs it to the BUFFER concatenated -- together to form the Instruction --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ASCII_BUFFER is port( ASCII_DATA : in STD_LOGIC_VECTOR(7 downto 0); ASCII_RD: in STD_LOGIC; ASCII_WE: in STD_LOGIC; CLK: in STD_LOGIC; RST: in STD_LOGIC; ASCII_BUFF: out STD_LOGIC_VECTOR(19 downto 0) ); end ASCII_BUFFER; architecture dataflow of ASCII_BUFFER is type StateType is (init, idle, VALID_KEY, SPECIAL_KEY, BACKSPACE, FLUSH); signal STATE : StateType := init; type ram_type is array (0 to 5) of STD_LOGIC_VECTOR(3 downto 0); signal ram_addr : integer range 0 to 5; signal ram : ram_type; signal KEY : STD_LOGIC_VECTOR(3 downto 0); signal INST: STD_LOGIC_VECTOR(19 downto 0) := (OTHERS => '0'); begin with ASCII_DATA select KEY <= x"f" when x"66", x"e" when x"65", x"d" when x"64", x"c" when x"63", x"b" when x"62", x"a" when x"61", x"F" when x"46", x"E" when x"45", x"D" when x"44", x"C" when x"43", x"B" when x"42", x"A" when x"41", x"9" when x"39", x"8" when x"38", x"7" when x"37", x"6" when x"36", x"5" when x"35", x"4" when x"34", x"3" when x"33", x"2" when x"32", x"1" when x"31", x"0" when x"30", x"0" when OTHERS; -- Null PROCESS(CLK,RST) BEGIN if(RST = '1') then STATE <= init; elsif (CLK'event and CLK= '1' ) then case STATE is when init => ASCII_BUFF <= (OTHERS => '0'); ram(0) <= x"0"; ram(1) <= x"0"; ram(2) <= x"0"; ram(3) <= x"0"; ram(4) <= x"0"; ram_addr <= 0; state <= idle; when idle => ASCII_BUFF <= INST; if ASCII_RD = '1' and ASCII_WE = '1' then state <= VALID_KEY; -- A Valid key was pressed elsif ASCII_RD = '1' and ASCII_WE = '0' then state <= SPECIAL_KEY; --Special key was pressed else state <= idle; end if; when VALID_KEY => if ram_addr < 5 then ram(ram_addr) <= key; ram_addr <= ram_addr + 1; else ram_addr <= 5; end if; state <= idle; when SPECIAL_KEY => if ASCII_DATA = x"0D" then --0D = enterkey state <= FLUSH; elsif ASCII_DATA = x"08" then -- 08 = backspace state <= BACKSPACE; else state <= idle; end if; when BACKSPACE => if ram_addr > 0 then ram_addr <= ram_addr - 1; end if; ram(ram_addr) <= x"0"; state <= idle; when FLUSH => INST <= ram(0) & ram(1) & ram(2) & ram(3) & ram(4); state <= init; when OTHERS => state <= idle; end case; end if; end process; end architecture dataflow;
architecture RTL of ENTITY1 is function FUNC1 (A : in natural) return natural is variable temp : natural; begin temp := A; while (temp /= 10) loop temp := temp + 1; end loop; while (temp /= 20) loop temp := temp + 1; end loop; while (temp /= 30) loop temp := temp + 1; end loop; return temp; end function FUNC1; begin end architecture RTL;
package vunit2 is type int_ptr is access integer; type array_of_access is array (natural range <>) of int_ptr; impure function get_one (idx : natural) return int_ptr; end package; package body vunit2 is shared variable a : array_of_access(1 to 5); impure function get_one (idx : natural) return int_ptr is begin return a(idx); end function; end package body;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06/16/2016 04:17:04 AM -- Design Name: -- Module Name: spi_slave - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity spi_slave is Generic ( N : positive := 8; CPOL : std_logic := '0'; CPHA : std_logic := '0' ); Port ( clk : in STD_LOGIC; -- External SPI signals spi_ss_n : in STD_LOGIC; spi_clk : in STD_LOGIC; spi_mosi : in STD_LOGIC; spi_miso : out STD_LOGIC; -- Internal data signals di : out STD_LOGIC_VECTOR (N-1 downto 0); -- Data received from SPI do : in STD_LOGIC_VECTOR (N-1 downto 0); -- Data to be transmitted over SPI di_valid : out std_logic; -- High for one clock cycle to indicate a new word is present do_wren : in std_logic; -- Write a data word to the transmit register do_wrack : out std_logic); -- High for one clock cycle when the transmission starts. -- The next data word can be written as soon as this signal goes low. end spi_slave; architecture Behavioral of spi_slave is -- constants to control FlipFlop synthesis constant CAPTURE_EDGE : std_logic := (CPOL xnor CPHA); constant CHANGE_EDGE : std_logic := (CPOL xor CPHA); type spi_state_t is ( IDLE, INIT_TRANSACTION, SHIFTING_DATA, WORD_COMPLETE ); signal spi_state : spi_state_t := IDLE; signal spi_state_next : spi_state_t; signal spi_clk_buf : std_logic := CPOL; signal spi_capture_edge : std_logic; signal spi_change_edge : std_logic; signal di_buf : std_logic; signal di_reg : std_logic_vector(N-1 downto 0); signal do_reg : std_logic_vector(N-1 downto 0); signal do_i : std_logic_vector(N-1 downto 0); signal bit_counter : integer range 0 to N-1 := 0; begin -- state register process begin wait until rising_edge (clk); if spi_ss_n='1' then spi_state <= IDLE; else spi_state <= spi_state_next; end if; end process; -- Next state logic process ( spi_state, spi_ss_n, bit_counter) begin spi_state_next <= spi_state; case (spi_state) is when IDLE => if spi_ss_n='0' then spi_state_next <= INIT_TRANSACTION; end if; when INIT_TRANSACTION => spi_state_next <= SHIFTING_DATA; when SHIFTING_DATA => if bit_counter=N-1 then spi_state_next <= WORD_COMPLETE; end if; when WORD_COMPLETE => if bit_counter = 0 then spi_state_next <= INIT_TRANSACTION; end if; when others => spi_state_next <= IDLE; end case; end process; -- SPI clock edge detector process begin wait until rising_edge(clk); spi_clk_buf <= spi_clk; if (spi_clk_buf= not spi_clk) and spi_clk=CAPTURE_EDGE then spi_capture_edge <= '1'; else spi_capture_edge <= '0'; end if; if (spi_clk_buf= not spi_clk) and spi_clk=CHANGE_EDGE then spi_change_edge <= '1'; else spi_change_edge <= '0'; end if; end process; -- Input shift register process begin wait until rising_edge(clk); di_buf <= spi_mosi; if spi_capture_edge='1' then di_reg <= di_reg(N-2 downto 0) & di_buf; bit_counter <= bit_counter + 1; end if; end process; -- output received data word process begin wait until rising_edge(clk); di_valid <= '0'; if spi_state=WORD_COMPLETE and bit_counter=0 then di <= di_reg; di_valid <= '1'; end if; end process; -- get data word for tx process begin wait until rising_edge(clk); if do_wren='1' then do_i <= do; end if; end process; -- output shift register process begin wait until rising_edge(clk); do_wrack <= '0'; if spi_state = IDLE then do_reg <= X"00"; elsif spi_state = INIT_TRANSACTION then do_reg <= do_i; do_wrack <= '1'; elsif spi_change_edge='1' and bit_counter /= 0 then do_reg <= do_reg(N-2 downto 0) & '0'; end if; end process; spi_miso <= do_reg(7); end Behavioral;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; ENTITY noise_tb IS END noise_tb; ARCHITECTURE behavior OF noise_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT noise GENERIC( NOISE : NOISE_TYPE := WHITE ); PORT( clk : IN std_logic; rst : IN std_logic; ce : IN std_logic; data : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal ce : std_logic := '1'; --Outputs signal data : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: noise PORT MAP ( clk => clk, rst => rst, ce => ce, data => data ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait; end process; END;
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: pll50.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 17.0.2 Build 602 07/19/2017 SJ Lite Edition -- ************************************************************ --Copyright (C) 2017 Intel Corporation. All rights reserved. --Your use of Intel Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Intel Program License --Subscription Agreement, the Intel Quartus Prime License Agreement, --the Intel MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Intel and sold by Intel or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pll50 IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; c1 : OUT STD_LOGIC ; c2 : OUT STD_LOGIC ; c3 : OUT STD_LOGIC ; locked : OUT STD_LOGIC ); END pll50; ARCHITECTURE SYN OF pll50 IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire2_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire2 : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL sub_wire3 : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC ; SIGNAL sub_wire5 : STD_LOGIC ; SIGNAL sub_wire6 : STD_LOGIC ; SIGNAL sub_wire7 : STD_LOGIC ; SIGNAL sub_wire8 : STD_LOGIC ; COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; clk1_divide_by : NATURAL; clk1_duty_cycle : NATURAL; clk1_multiply_by : NATURAL; clk1_phase_shift : STRING; clk2_divide_by : NATURAL; clk2_duty_cycle : NATURAL; clk2_multiply_by : NATURAL; clk2_phase_shift : STRING; clk3_divide_by : NATURAL; clk3_duty_cycle : NATURAL; clk3_multiply_by : NATURAL; clk3_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING; self_reset_on_loss_lock : STRING; width_clock : NATURAL ); PORT ( inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0); locked : OUT STD_LOGIC ); END COMPONENT; BEGIN sub_wire2_bv(0 DOWNTO 0) <= "0"; sub_wire2 <= To_stdlogicvector(sub_wire2_bv); sub_wire0 <= inclk0; sub_wire1 <= sub_wire2(0 DOWNTO 0) & sub_wire0; sub_wire7 <= sub_wire3(3); sub_wire6 <= sub_wire3(2); sub_wire5 <= sub_wire3(1); sub_wire4 <= sub_wire3(0); c0 <= sub_wire4; c1 <= sub_wire5; c2 <= sub_wire6; c3 <= sub_wire7; locked <= sub_wire8; altpll_component : altpll GENERIC MAP ( bandwidth_type => "AUTO", clk0_divide_by => 1, clk0_duty_cycle => 50, clk0_multiply_by => 2, clk0_phase_shift => "0", clk1_divide_by => 1, clk1_duty_cycle => 50, clk1_multiply_by => 2, clk1_phase_shift => "5000", clk2_divide_by => 1, clk2_duty_cycle => 50, clk2_multiply_by => 3, clk2_phase_shift => "0", clk3_divide_by => 1, clk3_duty_cycle => 50, clk3_multiply_by => 3, clk3_phase_shift => "4167", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Cyclone 10 LP", lpm_hint => "CBX_MODULE_PREFIX=pll50", lpm_type => "altpll", operation_mode => "SOURCE_SYNCHRONOUS", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_USED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_USED", port_clk2 => "PORT_USED", port_clk3 => "PORT_USED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED", self_reset_on_loss_lock => "OFF", width_clock => 5 ) PORT MAP ( inclk => sub_wire1, clk => sub_wire3, locked => sub_wire8 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" -- Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "100.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "150.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "150.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone 10 LP" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2" -- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2" -- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "3" -- Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "3" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "200.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "150.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "150.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "180.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "225.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll50.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK3 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLK1 STRING "1" -- Retrieval info: PRIVATE: USE_CLK2 STRING "1" -- Retrieval info: PRIVATE: USE_CLK3 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA3 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "5000" -- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "3" -- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "3" -- Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "4167" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone 10 LP" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "SOURCE_SYNCHRONOUS" -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -- Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -- Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3 -- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll50.cmp TRUE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-14 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : CNE_01200_good.vhd -- File Creation date : 2015-04-14 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Identification of process label: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity CNE_01200_good is port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal i_D : in std_logic; -- D Flip-Flop input signal o_Q : out std_logic -- D Flip-Flop output signal ); end CNE_01200_good; architecture Behavioral of CNE_01200_good is signal Q : std_logic; -- D Flip-Flop output begin --CODE -- D FlipFlop process P_FlipFlop:process(i_Clock, i_Reset_n) begin if (i_Reset_n='0') then Q <= '0'; elsif (rising_edge(i_Clock)) then Q <= i_D; end if; end process; --CODE o_Q <= Q; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity compar_fsm_tb is end entity; architecture behav of compar_fsm_tb is component compar_fsm is port( clk : in std_logic; reset : in std_logic; ab : in std_logic_vector(1 downto 0); --this is pair of bits of two numbers, a0b0, a1b1, etc.., easier to process o: out std_logic_vector(1 downto 0) -- 00 is equal, 10 a is bigger, 01 b is bigger ); end component; signal clk : std_logic :='1'; signal reset : std_logic :='0'; signal ab, o : std_logic_vector(1 downto 0) :="00"; constant period : time := 20 ns; begin mapping: compar_fsm port map (clk=>clk, reset=>reset, ab=>ab, o=>o); clk_proc: process begin clk<=not clk; wait for period/4; end process; stim_proc: process begin ab<="00"; wait for period; ab<="00"; wait for period; ab<="10"; wait for period; ab<="01"; wait for period; ab<="10"; wait for period; ab<="10"; wait for period; ab<="10"; wait for period; ab<="01"; wait for period; ab<="00"; wait for period; ab<="00"; wait for period; wait; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Tb_Mult_GF_2_M -- Module Name: Tb_Mult_GF_2_M -- Project Name: GF_2_M Arithmetic -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- This test bench tests multiplier circuit implementation for a field GF(2^m). -- -- The circuits parameters -- -- PERIOD : -- -- Input clock period to be applied on the test. -- -- gf_2_m : -- -- The size of the field used in this circuit. -- -- -- Dependencies: -- VHDL-93 -- IEEE.NUMERIC_STD.ALL; -- IEEE.STD_LOGIC_TEXTIO.ALL; -- STD.TEXTIO.ALL; -- -- mult_gf_2_m Rev 1.0 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_TEXTIO.ALL; library STD; use STD.TEXTIO.ALL; entity tb_mult_gf_2_m is Generic( PERIOD : time := 10 ns; gf_2_m : integer range 1 to 20 := 20; -- Software -- test_memory_file_gf_2_1 : string := "mceliece/finite_field_tests/mult_gf_2_1.dat"; test_memory_file_gf_2_2 : string := "mceliece/finite_field_tests/mult_gf_2_2_x2_x1_1.dat"; test_memory_file_gf_2_3 : string := "mceliece/finite_field_tests/mult_gf_2_3_x3_x1_1.dat"; test_memory_file_gf_2_4 : string := "mceliece/finite_field_tests/mult_gf_2_4_x4_x1_1.dat"; test_memory_file_gf_2_5 : string := "mceliece/finite_field_tests/mult_gf_2_5_x5_x2_1.dat"; test_memory_file_gf_2_6 : string := "mceliece/finite_field_tests/mult_gf_2_6_x6_x1_1.dat"; test_memory_file_gf_2_7 : string := "mceliece/finite_field_tests/mult_gf_2_7_x7_x1_1.dat"; test_memory_file_gf_2_8 : string := "mceliece/finite_field_tests/mult_gf_2_8_x8_x4_x3_x2_1.dat"; test_memory_file_gf_2_9 : string := "mceliece/finite_field_tests/mult_gf_2_9_x9_x4_1.dat"; test_memory_file_gf_2_10 : string := "mceliece/finite_field_tests/mult_gf_2_10_x10_x3_1.dat"; test_memory_file_gf_2_11 : string := "mceliece/finite_field_tests/mult_gf_2_11_x11_x2_1.dat"; test_memory_file_gf_2_12 : string := "mceliece/finite_field_tests/mult_gf_2_12_x12_x6_x4_x1_1.dat"; test_memory_file_gf_2_13 : string := "mceliece/finite_field_tests/mult_gf_2_13_x13_x4_x3_x1_1.dat"; test_memory_file_gf_2_14 : string := "mceliece/finite_field_tests/mult_gf_2_14_x14_x5_x3_x1_1.dat"; test_memory_file_gf_2_15 : string := "mceliece/finite_field_tests/mult_gf_2_15_x15_x1_1.dat"; test_memory_file_gf_2_16 : string := "mceliece/finite_field_tests/mult_gf_2_16_x16_x5_x3_x2_1.dat"; test_memory_file_gf_2_17 : string := "mceliece/finite_field_tests/mult_gf_2_17_x17_x3_1.dat"; test_memory_file_gf_2_18 : string := "mceliece/finite_field_tests/mult_gf_2_18_x18_x7_1.dat"; test_memory_file_gf_2_19 : string := "mceliece/finite_field_tests/mult_gf_2_19_x19_x5_x2_x1_1.dat"; test_memory_file_gf_2_20 : string := "mceliece/finite_field_tests/mult_gf_2_20_x20_x3_1.dat" -- IEEE -- -- test_memory_file_gf_2_1 : string := "mceliece/finite_field_tests/mult_gf_2_1.dat"; -- test_memory_file_gf_2_2 : string := "mceliece/finite_field_tests/mult_gf_2_2_x2_x1_1.dat"; -- test_memory_file_gf_2_3 : string := "mceliece/finite_field_tests/mult_gf_2_3_x3_x1_1.dat"; -- test_memory_file_gf_2_4 : string := "mceliece/finite_field_tests/mult_gf_2_4_x4_x1_1.dat"; -- test_memory_file_gf_2_5 : string := "mceliece/finite_field_tests/mult_gf_2_5_x5_x2_1.dat"; -- test_memory_file_gf_2_6 : string := "mceliece/finite_field_tests/mult_gf_2_6_x6_x1_1.dat"; -- test_memory_file_gf_2_7 : string := "mceliece/finite_field_tests/mult_gf_2_7_x7_x1_1.dat"; -- test_memory_file_gf_2_8 : string := "mceliece/finite_field_tests/mult_gf_2_8_x8_x4_x3_x1_1.dat"; -- test_memory_file_gf_2_9 : string := "mceliece/finite_field_tests/mult_gf_2_9_x9_x1_1.dat"; -- test_memory_file_gf_2_10 : string := "mceliece/finite_field_tests/mult_gf_2_10_x10_x3_1.dat"; -- test_memory_file_gf_2_11 : string := "mceliece/finite_field_tests/mult_gf_2_11_x11_x2_1.dat"; -- test_memory_file_gf_2_12 : string := "mceliece/finite_field_tests/mult_gf_2_12_x12_x3_1.dat"; -- test_memory_file_gf_2_13 : string := "mceliece/finite_field_tests/mult_gf_2_13_x13_x4_x3_x1_1.dat"; -- test_memory_file_gf_2_14 : string := "mceliece/finite_field_tests/mult_gf_2_14_x14_x5_1.dat"; -- test_memory_file_gf_2_15 : string := "mceliece/finite_field_tests/mult_gf_2_15_x15_x1_1.dat"; -- test_memory_file_gf_2_16 : string := "mceliece/finite_field_tests/mult_gf_2_16_x16_x5_x3_x1_1.dat"; -- test_memory_file_gf_2_17 : string := "mceliece/finite_field_tests/mult_gf_2_17_x17_x3_1.dat"; -- test_memory_file_gf_2_18 : string := "mceliece/finite_field_tests/mult_gf_2_18_x18_x3_1.dat"; -- test_memory_file_gf_2_19 : string := "mceliece/finite_field_tests/mult_gf_2_19_x19_x5_x2_x1_1.dat"; -- test_memory_file_gf_2_20 : string := "mceliece/finite_field_tests/mult_gf_2_20_x20_x3_1.dat" ); end tb_mult_gf_2_m; architecture Behavioral of tb_mult_gf_2_m is component mult_gf_2_m is Generic(gf_2_m : integer range 1 to 20 := 11); Port( a : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); b: in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) ); end component; signal test_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal test_b : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal test_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal true_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal error : STD_LOGIC := '0'; signal clk : STD_LOGIC := '1'; signal test_bench_finish : STD_LOGIC := '0'; begin test : mult_gf_2_m Generic Map(gf_2_m => gf_2_m) Port Map( a => test_a, b => test_b, o => test_o ); clock : process begin while ( test_bench_finish /= '1') loop clk <= not clk; wait for PERIOD/2; end loop; wait; end process; --clk <= not clk after PERIOD/2; process FILE ram_file : text; variable line_n : line; variable number_of_tests : integer; variable read_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); variable read_b : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); variable read_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); begin case gf_2_m is when 1 => file_open(ram_file, test_memory_file_gf_2_1, READ_MODE); when 2 => file_open(ram_file, test_memory_file_gf_2_2, READ_MODE); when 3 => file_open(ram_file, test_memory_file_gf_2_3, READ_MODE); when 4 => file_open(ram_file, test_memory_file_gf_2_4, READ_MODE); when 5 => file_open(ram_file, test_memory_file_gf_2_5, READ_MODE); when 6 => file_open(ram_file, test_memory_file_gf_2_6, READ_MODE); when 7 => file_open(ram_file, test_memory_file_gf_2_7, READ_MODE); when 8 => file_open(ram_file, test_memory_file_gf_2_8, READ_MODE); when 9 => file_open(ram_file, test_memory_file_gf_2_9, READ_MODE); when 10 => file_open(ram_file, test_memory_file_gf_2_10, READ_MODE); when 11 => file_open(ram_file, test_memory_file_gf_2_11, READ_MODE); when 12 => file_open(ram_file, test_memory_file_gf_2_12, READ_MODE); when 13 => file_open(ram_file, test_memory_file_gf_2_13, READ_MODE); when 14 => file_open(ram_file, test_memory_file_gf_2_14, READ_MODE); when 15 => file_open(ram_file, test_memory_file_gf_2_15, READ_MODE); when 16 => file_open(ram_file, test_memory_file_gf_2_16, READ_MODE); when 17 => file_open(ram_file, test_memory_file_gf_2_17, READ_MODE); when 18 => file_open(ram_file, test_memory_file_gf_2_18, READ_MODE); when 19 => file_open(ram_file, test_memory_file_gf_2_19, READ_MODE); when 20 => file_open(ram_file, test_memory_file_gf_2_20, READ_MODE); end case; readline (ram_file, line_n); read (line_n, number_of_tests); wait for PERIOD; for I in 1 to number_of_tests loop error <= '0'; readline (ram_file, line_n); read (line_n, read_a); readline (ram_file, line_n); read (line_n, read_b); readline (ram_file, line_n); read (line_n, read_o); test_a <= read_a; test_b <= read_b; true_o <= read_o; wait for PERIOD; if (true_o = test_o) then error <= '0'; else error <= '1'; report "Computed values do not match expected ones"; end if; wait for PERIOD; error <= '0'; wait for PERIOD; end loop; test_bench_finish <= '1'; wait; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.math_real.all; entity iqit_node is generic( sample_width : integer := 8; qp_width : integer := 8; wo_dc_width : integer := 8; data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8 ); port( clk : in std_logic; rst : in std_logic; -- recv interface to network recv_data : in std_logic_vector(data_width-1 downto 0); src_addr : in std_logic_vector(addr_width-1 downto 0); is_tail_flit : in std_logic; data_in_buffer : in std_logic_vector(num_vc-1 downto 0); dequeue : out std_logic_vector(num_vc-1 downto 0); select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0); -- send interface to network send_data : out std_logic_vector(data_width-1 downto 0); dest_addr : out std_logic_vector(addr_width-1 downto 0); set_tail_flit : out std_logic; send_flit : out std_logic; ready_to_send : in std_logic--; ----debug -- state_out : out std_logic_vector(7 downto 0); -- input_sample_0 : out std_logic_vector(7 downto 0); -- input_sample_1 : out std_logic_vector(7 downto 0); -- input_sample_2 : out std_logic_vector(7 downto 0); -- input_sample_3 : out std_logic_vector(7 downto 0); -- input_sample_4 : out std_logic_vector(7 downto 0); -- input_sample_5 : out std_logic_vector(7 downto 0); -- input_sample_6 : out std_logic_vector(7 downto 0); -- input_sample_7 : out std_logic_vector(7 downto 0); -- input_sample_8 : out std_logic_vector(7 downto 0); -- input_sample_9 : out std_logic_vector(7 downto 0); -- input_sample_A : out std_logic_vector(7 downto 0); -- input_sample_B : out std_logic_vector(7 downto 0); -- input_sample_C : out std_logic_vector(7 downto 0); -- input_sample_D : out std_logic_vector(7 downto 0); -- input_sample_E : out std_logic_vector(7 downto 0); -- input_sample_F : out std_logic_vector(7 downto 0); -- qp_out : out std_logic_vector(7 downto 0); -- zigzag_0 : out std_logic_vector(7 downto 0); -- zigzag_1 : out std_logic_vector(7 downto 0); -- zigzag_2 : out std_logic_vector(7 downto 0); -- zigzag_3 : out std_logic_vector(7 downto 0); -- zigzag_4 : out std_logic_vector(7 downto 0); -- zigzag_5 : out std_logic_vector(7 downto 0); -- zigzag_6 : out std_logic_vector(7 downto 0); -- zigzag_7 : out std_logic_vector(7 downto 0); -- zigzag_8 : out std_logic_vector(7 downto 0); -- zigzag_9 : out std_logic_vector(7 downto 0); -- zigzag_A : out std_logic_vector(7 downto 0); -- zigzag_B : out std_logic_vector(7 downto 0); -- zigzag_C : out std_logic_vector(7 downto 0); -- zigzag_D : out std_logic_vector(7 downto 0); -- zigzag_E : out std_logic_vector(7 downto 0); -- zigzag_F : out std_logic_vector(7 downto 0); -- dequant_0 : out std_logic_vector(15 downto 0); -- dequant_1 : out std_logic_vector(15 downto 0); -- dequant_2 : out std_logic_vector(15 downto 0); -- dequant_3 : out std_logic_vector(15 downto 0); -- dequant_4 : out std_logic_vector(15 downto 0); -- dequant_5 : out std_logic_vector(15 downto 0); -- dequant_6 : out std_logic_vector(15 downto 0); -- dequant_7 : out std_logic_vector(15 downto 0); -- dequant_8 : out std_logic_vector(15 downto 0); -- dequant_9 : out std_logic_vector(15 downto 0); -- dequant_A : out std_logic_vector(15 downto 0); -- dequant_B : out std_logic_vector(15 downto 0); -- dequant_C : out std_logic_vector(15 downto 0); -- dequant_D : out std_logic_vector(15 downto 0); -- dequant_E : out std_logic_vector(15 downto 0); -- dequant_F : out std_logic_vector(15 downto 0); -- result_0 : out std_logic_vector(7 downto 0); -- result_1 : out std_logic_vector(7 downto 0); -- result_2 : out std_logic_vector(7 downto 0); -- result_3 : out std_logic_vector(7 downto 0); -- result_4 : out std_logic_vector(7 downto 0); -- result_5 : out std_logic_vector(7 downto 0); -- result_6 : out std_logic_vector(7 downto 0); -- result_7 : out std_logic_vector(7 downto 0); -- result_8 : out std_logic_vector(7 downto 0); -- result_9 : out std_logic_vector(7 downto 0); -- result_A : out std_logic_vector(7 downto 0); -- result_B : out std_logic_vector(7 downto 0); -- result_C : out std_logic_vector(7 downto 0); -- result_D : out std_logic_vector(7 downto 0); -- result_E : out std_logic_vector(7 downto 0); -- result_F : out std_logic_vector(7 downto 0) ); end entity iqit_node; architecture fsmd of iqit_node is --- COMPONENTS ------------------------------------------------------------ component zigzag is generic( sample_width : integer := 8 ); port( x : in std_logic_vector((16*sample_width)-1 downto 0); y : out std_logic_vector((16*sample_width)-1 downto 0) ); end component zigzag; component inverse_quant is generic( in_sample_width : integer := 8; out_sample_width : integer := 16; qp_width : integer := 8; wo_dc_width : integer := 8 ); port( quantized_samples : in std_logic_vector((16*in_sample_width)-1 downto 0); quant_param : in std_logic_vector(qp_width-1 downto 0); without_dc : in std_logic_vector(wo_dc_width-1 downto 0); dequant_samples : out std_logic_vector((16*out_sample_width)-1 downto 0) ); end component inverse_quant; component inverse_transform is generic( in_sample_width : integer := 16; out_sample_width : integer := 8 ); port( transform_block : in std_logic_vector((16*in_sample_width)-1 downto 0); inv_transform_block : out std_logic_vector((16*out_sample_width)-1 downto 0); sign_mask : out std_logic_vector(15 downto 0) ); end component inverse_transform; component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; --- TYPES ----------------------------------------------------------------- type iqit_states is (idle, sel_vc, rx_header, dequeue_header, wait_row_4_3, rx_row_4_3, dequeue_row_4_3, wait_row_2_1, rx_row_2_1, dequeue_row_2_1, wait_tx_header, tx_header, wait_tx_row_4_3, tx_row_4_3, wait_tx_row_2_1, tx_row_2_1 ); --- SIGNALS --------------------------------------------------------------- signal state : iqit_states; signal next_state : iqit_states; signal quant_param_d : std_logic_vector(qp_width-1 downto 0); signal quant_param_q : std_logic_vector(qp_width-1 downto 0); signal without_dc_d : std_logic_vector(wo_dc_width-1 downto 0); signal without_dc_q : std_logic_vector(wo_dc_width-1 downto 0); signal identifier_d : std_logic_vector(7 downto 0); signal identifier_q : std_logic_vector(7 downto 0); signal input_samples_d : std_logic_vector((16*sample_width)-1 downto 0); signal input_samples_q : std_logic_vector((16*sample_width)-1 downto 0); signal samples_after_zigzag : std_logic_vector((16*sample_width)-1 downto 0); signal samples_after_inv_q : std_logic_vector((16*2*sample_width)-1 downto 0); signal inv_t_input : std_logic_vector((16*2*sample_width)-1 downto 0); signal result_samples : std_logic_vector((16*sample_width)-1 downto 0); signal tx_header_data : std_logic_vector(data_width-1 downto 0); signal tx_row_4_3_data : std_logic_vector(data_width-1 downto 0); signal tx_row_2_1_data : std_logic_vector(data_width-1 downto 0); signal sel_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_enc : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_one_hot : std_logic_vector(num_vc-1 downto 0); signal dc_high_byte_q : std_logic_vector(7 downto 0); signal dc_high_byte_d : std_logic_vector(7 downto 0); signal sign_mask : std_logic_vector(15 downto 0); signal x_pass_thru_d : std_logic_vector(10 downto 0); signal y_pass_thru_d : std_logic_vector(10 downto 0); signal LCbCr_pass_thru_d : std_logic_vector(1 downto 0); signal x_pass_thru_q : std_logic_vector(10 downto 0); signal y_pass_thru_q : std_logic_vector(10 downto 0); signal LCbCr_pass_thru_q : std_logic_vector(1 downto 0); constant do_iqit_cmd : std_logic_vector(7 downto 0) := x"03"; begin --- DATAPATH -------------------------------------------------------------- u0: component zigzag generic map( sample_width => sample_width ) port map( x => input_samples_q, y => samples_after_zigzag ); u1: component inverse_quant generic map( in_sample_width => sample_width, out_sample_width => 2*sample_width, qp_width => qp_width, wo_dc_width => wo_dc_width ) port map( quantized_samples => samples_after_zigzag, quant_param => quant_param_q, without_dc => without_dc_q, dequant_samples => samples_after_inv_q ); u2: component inverse_transform generic map( in_sample_width => 2*sample_width, out_sample_width => sample_width ) port map( transform_block => inv_t_input, inv_transform_block => result_samples, sign_mask => sign_mask ); u3: component priority_encoder generic map( encoded_word_size => vc_sel_width ) Port map( input => data_in_buffer, output => sel_vc_enc ); --register process process(clk, rst) begin if rst = '1' then state <= idle; quant_param_q <= (others => '0'); without_dc_q <= (others => '0'); identifier_q <= (others => '0'); input_samples_q <= (others => '0'); sel_vc_q <= (others => '0'); dc_high_byte_q <= (others => '0'); x_pass_thru_q <= (others => '0'); y_pass_thru_q <= (others => '0'); LCbCr_pass_thru_q <= (others => '0'); elsif rising_edge(clk) then state <= next_state; quant_param_q <= quant_param_d; without_dc_q <= without_dc_d; identifier_q <= identifier_d; input_samples_q <= input_samples_d; sel_vc_q <= sel_vc_d; dc_high_byte_q <= dc_high_byte_d; x_pass_thru_q <= x_pass_thru_d; y_pass_thru_q <= y_pass_thru_d; LCbCr_pass_thru_q <= LCbCr_pass_thru_d; end if; end process; --insert high byte of dc into signal if non-zero inv_t_input <= samples_after_inv_q(16*2*sample_width-1 downto sample_width*2) & dc_high_byte_q & samples_after_inv_q(sample_width-1 downto 0) when or_reduce(dc_high_byte_q) = '1' else samples_after_inv_q; --parse packet quant_param_d <= recv_data(47 downto 40) when state = rx_header else quant_param_q; without_dc_d <= recv_data(39 downto 32) when state = rx_header else without_dc_q; identifier_d <= recv_data(7 downto 0) when state = rx_header else identifier_q; dc_high_byte_d <= recv_data(55 downto 48) when state = rx_header else dc_high_byte_q; x_pass_thru_d <= recv_data(18 downto 8) when state = rx_header else x_pass_thru_q; y_pass_thru_d <= recv_data(29 downto 19) when state = rx_header else y_pass_thru_q; LCbCr_pass_thru_d <= recv_data(31 downto 30) when state = rx_header else LCbCr_pass_thru_q; input_samples_d((16*sample_width)-1 downto (8*sample_width)) <= recv_data when state = rx_row_4_3 else input_samples_q((16*sample_width)-1 downto (8*sample_width)); input_samples_d((8*sample_width)-1 downto 0) <= recv_data when state = rx_row_2_1 else input_samples_q((8*sample_width)-1 downto 0); -- format repsonse packet tx_header_data <= x_pass_thru_q & "00000" &sign_mask& "000" & LCbCr_pass_thru_q & y_pass_thru_q &do_iqit_cmd&identifier_q; tx_row_4_3_data <= result_samples((16*sample_width)-1 downto (8*sample_width)); tx_row_2_1_data <= result_samples((8*sample_width)-1 downto 0) ; -- channel selection logic sel_vc_d <= sel_vc_enc when state = sel_vc else sel_vc_q; --rx controls dequeue <= sel_vc_one_hot when state = dequeue_header or state = dequeue_row_4_3 or state = dequeue_row_2_1 else "00"; select_vc_read <= sel_vc_q; sel_vc_one_hot <= "01" when sel_vc_q = "0" else "10"; --packet generation send_data <= tx_header_data when state = wait_tx_header or state = tx_header else tx_row_4_3_data when state = wait_tx_row_4_3 or state = tx_row_4_3 else tx_row_2_1_data when state = wait_tx_row_2_1 or state = tx_row_2_1 else std_logic_vector(to_unsigned(0, data_width)); dest_addr <= std_logic_vector(to_unsigned(7, addr_width)); set_tail_flit <= '1' when state = wait_row_2_1 or state = tx_row_2_1 else '0'; send_flit <= '1' when state = tx_header or state = tx_row_4_3 or state = tx_row_2_1 else '0'; -- STATE MACHINE ---------------------------------------------------------- process(state, data_in_buffer, is_tail_flit, sel_vc_one_hot, ready_to_send) begin next_state <= state; --default behaviour if state = idle and or_reduce(data_in_buffer) = '1' then next_state <= sel_vc; end if; if state = sel_vc then next_state <= rx_header; end if; if state = rx_header then next_state <= dequeue_header; end if; if state = dequeue_header then next_state <= wait_row_4_3; end if; if state = wait_row_4_3 and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_row_4_3; end if; if state = rx_row_4_3 then next_state <= dequeue_row_4_3; end if; if state = dequeue_row_4_3 then next_state <= wait_row_2_1; end if; if state = wait_row_2_1 and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_row_2_1; end if; if state = rx_row_2_1 then next_state <= dequeue_row_2_1; end if; if state = dequeue_row_2_1 then next_state <= wait_tx_header; end if; if state = wait_tx_header and ready_to_send = '1' then next_state <= tx_header; end if; if state = tx_header then next_state <= wait_tx_row_4_3; end if; if state = wait_tx_row_4_3 and ready_to_send = '1' then next_state <= tx_row_4_3; end if; if state = tx_row_4_3 then next_state <= wait_tx_row_2_1; end if; if state = wait_tx_row_2_1 and ready_to_send = '1' then next_state <= tx_row_2_1; end if; if state = tx_row_2_1 then next_state <= idle; end if; end process; end architecture fsmd;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use WORK.CONSCTANS.ALL; entity KITT is port ( CLK : in std_logic; RESET : in std_logic; LEDS : out std_logic_vector (7 downto 0) ); end KITT; architecture KITT_BODY of KITT is signal CNT_OUT : std_logic_vector (OUTPUT_WIDTH - 1 downto 0); signal UP, TOP, BOTTOM : std_logic; component CONTROLLER is port( CLK : in std_logic; RESET : in std_logic; TOP : in std_logic; BOTTOM : in std_logic; UP : out std_logic ); end component; component COUNTER is port ( CLK : in std_logic; RESET : in std_logic; UP : in std_logic; CNT_OUT : out std_logic_vector (OUTPUT_WIDTH - 1 downto 0); TOP : out std_logic; BOTTOM : out std_logic ); end component; component DECODER is port ( BIN_VALUE : in std_logic_vector (2 downto 0); ONE_HOT : out std_logic_vector (7 downto 0) ); end component; begin RADIC : CONTROLLER port map ( CLK => CLK, RESET => RESET, UP => UP, TOP => TOP, BOTTOM => BOTTOM ); CITAC : COUNTER port map ( CLK => CLK, RESET => RESET, UP => UP, CNT_OUT => CNT_OUT, TOP => TOP, BOTTOM => BOTTOM ); DEKODER : DECODER port map ( BIN_VALUE => CNT_OUT, ONE_HOT => LEDS); end architecture;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_ab_e -- -- Generated -- by: wig -- on: Wed Nov 10 10:29:04 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../genwidth.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ab_e-e.vhd,v 1.2 2004/11/10 09:54:10 wig Exp $ -- $Date: 2004/11/10 09:54:10 $ -- $Log: inst_ab_e-e.vhd,v $ -- Revision 1.2 2004/11/10 09:54:10 wig -- testcase extended -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.46 2004/08/18 10:45:45 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_ab_e -- entity inst_ab_e is -- Generics: generic( -- Generated Generics for Entity inst_ab_e width : integer := 8 -- End of Generated Generics for Entity inst_ab_e ); -- Generated Port Declaration: port( -- Generated Port for Entity inst_ab_e y_p0_i : in std_ulogic_vector(width - 1 downto 0) -- End of Generated Port for Entity inst_ab_e ); end inst_ab_e; -- -- End of Generated Entity inst_ab_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_shadow_k3_k4_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_shadow_k3_k4_e-e.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $ -- $Date: 2005/07/15 16:20:01 $ -- $Log: inst_shadow_k3_k4_e-e.vhd,v $ -- Revision 1.2 2005/07/15 16:20:01 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_shadow_k3_k4_e -- entity inst_shadow_k3_k4_e is -- Generics: -- No Generated Generics for Entity inst_shadow_k3_k4_e -- Generated Port Declaration: -- No Generated Port for Entity inst_shadow_k3_k4_e end inst_shadow_k3_k4_e; -- -- End of Generated Entity inst_shadow_k3_k4_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'high = 3 report "TEST FAILED T high" severity FAILURE; report "TEST PASSED T high" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'high = 3 report "TEST FAILED T high" severity FAILURE; report "TEST PASSED T high" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'high = 3 report "TEST FAILED T high" severity FAILURE; report "TEST PASSED T high" severity NOTE; wait; end process p; end only;
-- $Id: tb_nexys3_core.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2011-2016 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: tb_nexys3_core - sim -- Description: Test bench for nexys3 - core device handling -- -- Dependencies: simlib/simbididly -- bplib/micron/mt45w8mw16b -- -- To test: generic, any nexys3 target -- -- Target Devices: generic -- Tool versions: xst 11.4-14.7; ghdl 0.26-0.33 -- Revision History: -- Date Rev Version Comment -- 2016-07-20 791 1.1 use simbididly -- 2011-11-25 432 1.0 Initial version (derived from tb_nexys2_core) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simlib.all; use work.simbus.all; entity tb_nexys3_core is port ( I_SWI : out slv8; -- n3 switches I_BTN : out slv5; -- n3 buttons O_MEM_CE_N : in slbit; -- cram: chip enable (act.low) O_MEM_BE_N : in slv2; -- cram: byte enables (act.low) O_MEM_WE_N : in slbit; -- cram: write enable (act.low) O_MEM_OE_N : in slbit; -- cram: output enable (act.low) O_MEM_ADV_N : in slbit; -- cram: address valid (act.low) O_MEM_CLK : in slbit; -- cram: clock O_MEM_CRE : in slbit; -- cram: command register enable I_MEM_WAIT : out slbit; -- cram: mem wait O_MEM_ADDR : in slv23; -- cram: address lines IO_MEM_DATA : inout slv16 -- cram: data lines ); end tb_nexys3_core; architecture sim of tb_nexys3_core is signal MM_MEM_CE_N : slbit := '1'; signal MM_MEM_BE_N : slv2 := (others=>'1'); signal MM_MEM_WE_N : slbit := '1'; signal MM_MEM_OE_N : slbit := '1'; signal MM_MEM_ADV_N : slbit := '1'; signal MM_MEM_CLK : slbit := '0'; signal MM_MEM_CRE : slbit := '0'; signal MM_MEM_WAIT : slbit := '0'; signal MM_MEM_ADDR : slv23 := (others=>'Z'); signal MM_MEM_DATA : slv16 := (others=>'0'); signal R_SWI : slv8 := (others=>'0'); signal R_BTN : slv5 := (others=>'0'); constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8)); constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8)); constant pcb_delay : Delay_length := 1 ns; begin MM_MEM_CE_N <= O_MEM_CE_N after pcb_delay; MM_MEM_BE_N <= O_MEM_BE_N after pcb_delay; MM_MEM_WE_N <= O_MEM_WE_N after pcb_delay; MM_MEM_OE_N <= O_MEM_OE_N after pcb_delay; MM_MEM_ADV_N <= O_MEM_ADV_N after pcb_delay; MM_MEM_CLK <= O_MEM_CLK after pcb_delay; MM_MEM_CRE <= O_MEM_CRE after pcb_delay; MM_MEM_ADDR <= O_MEM_ADDR after pcb_delay; I_MEM_WAIT <= MM_MEM_WAIT after pcb_delay; BUSDLY: simbididly generic map ( DELAY => pcb_delay, DWIDTH => 16) port map ( A => IO_MEM_DATA, B => MM_MEM_DATA); MEM : entity work.mt45w8mw16b port map ( CLK => MM_MEM_CLK, CE_N => MM_MEM_CE_N, OE_N => MM_MEM_OE_N, WE_N => MM_MEM_WE_N, UB_N => MM_MEM_BE_N(1), LB_N => MM_MEM_BE_N(0), ADV_N => MM_MEM_ADV_N, CRE => MM_MEM_CRE, MWAIT => MM_MEM_WAIT, ADDR => MM_MEM_ADDR, DATA => MM_MEM_DATA ); proc_simbus: process (SB_VAL) begin if SB_VAL'event and to_x01(SB_VAL)='1' then if SB_ADDR = sbaddr_swi then R_SWI <= to_x01(SB_DATA(R_SWI'range)); end if; if SB_ADDR = sbaddr_btn then R_BTN <= to_x01(SB_DATA(R_BTN'range)); end if; end if; end process proc_simbus; I_SWI <= R_SWI; I_BTN <= R_BTN; end sim;
-- Alias of enum in another package -- package pack1 is type t is (foo, bar, baz); end package; use work.pack1.all; package pack2 is alias t is work.pack1.t; -- OK end package; use work.pack2.all; package pack3 is constant c1 : t := foo; -- OK end package; use work.pack1.all; use work.pack2.all; package pack4 is constant c2 : t := bar; -- OK constant c3 : integer := foo; -- Error end package;
--------------------------------------------------------------------------- -- -- Title: Hardware Thread User Logic Exit Thread -- To be used as a place holder, and size estimate for HWTI -- --------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_misc.all; library Unisim; use Unisim.all; --------------------------------------------------------------------------- -- Port declarations --------------------------------------------------------------------------- -- Definition of Ports: -- -- Misc. Signals -- clock -- -- HWTI to HWTUL interconnect -- intrfc2thrd_address 32 bits memory -- intrfc2thrd_value 32 bits memory function -- intrfc2thrd_function 16 bits control -- intrfc2thrd_goWait 1 bits control -- -- HWTUL to HWTI interconnect -- thrd2intrfc_address 32 bits memory -- thrd2intrfc_value 32 bits memory function -- thrd2intrfc_function 16 bits function -- thrd2intrfc_opcode 6 bits memory function -- --------------------------------------------------------------------------- -- Thread Manager Entity section --------------------------------------------------------------------------- entity user_logic_hwtul is port ( clock : in std_logic; intrfc2thrd_address : in std_logic_vector(0 to 31); intrfc2thrd_value : in std_logic_vector(0 to 31); intrfc2thrd_function : in std_logic_vector(0 to 15); intrfc2thrd_goWait : in std_logic; thrd2intrfc_address : out std_logic_vector(0 to 31); thrd2intrfc_value : out std_logic_vector(0 to 31); thrd2intrfc_function : out std_logic_vector(0 to 15); thrd2intrfc_opcode : out std_logic_vector(0 to 5) ); end entity user_logic_hwtul; --------------------------------------------------------------------------- -- Architecture section --------------------------------------------------------------------------- architecture IMP of user_logic_hwtul is --------------------------------------------------------------------------- -- Signal declarations --------------------------------------------------------------------------- type state_machine is ( FUNCTION_RESET, FUNCTION_USER_SELECT, FUNCTION_START, FUNCTION_EXIT, STATE_1, STATE_2, STATE_3, STATE_4, STATE_5, STATE_6, STATE_7, STATE_8, STATE_9, STATE_10, STATE_11, STATE_12, STATE_13, STATE_14, STATE_15, STATE_16, STATE_17, STATE_18, STATE_19, STATE_20, STATE_21, STATE_22, STATE_23, STATE_24, STATE_25, STATE_26, STATE_27, STATE_28, STATE_29, STATE_30, WAIT_STATE, ERROR_STATE); -- Function definitions constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000"; constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001"; constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002"; constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003"; constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101"; constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102"; constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103"; constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104"; constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105"; constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106"; constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107"; constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108"; constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109"; constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110"; constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111"; constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112"; constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113"; constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114"; constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115"; constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116"; constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117"; constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118"; constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119"; constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120"; constant U_STATE_21 : std_logic_vector(0 to 15) := x"0121"; constant U_STATE_22 : std_logic_vector(0 to 15) := x"0122"; constant U_STATE_23 : std_logic_vector(0 to 15) := x"0123"; constant U_STATE_24 : std_logic_vector(0 to 15) := x"0124"; constant U_STATE_25 : std_logic_vector(0 to 15) := x"0125"; constant U_STATE_26 : std_logic_vector(0 to 15) := x"0126"; constant U_STATE_27 : std_logic_vector(0 to 15) := x"0127"; constant U_STATE_28 : std_logic_vector(0 to 15) := x"0128"; constant U_STATE_29 : std_logic_vector(0 to 15) := x"0129"; constant U_STATE_30 : std_logic_vector(0 to 15) := x"0130"; -- Range 0003 to 7999 reserved for user logic's state machine -- Range 8000 to 9999 reserved for system calls constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000"; constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001"; constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010"; constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011"; constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012"; constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013"; constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014"; constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015"; constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016"; constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020"; constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021"; constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022"; constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023"; constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030"; constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031"; constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032"; constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033"; constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034"; constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040"; constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041"; constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042"; constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043"; constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050"; constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051"; constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052"; constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053"; constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054"; -- Ranged A000 to FFFF reserved for supported library calls constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000"; constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001"; constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002"; -- user_opcode Constants constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000"; -- Memory sub-interface specific opcodes constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001"; constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010"; constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011"; constant OPCODE_READ : std_logic_vector(0 to 5) := "000100"; constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101"; constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110"; -- Function sub-interface specific opcodes constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000"; constant OPCODE_POP : std_logic_vector(0 to 5) := "010001"; constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010"; constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011"; constant Z32 : std_logic_vector(0 to 31) := (others => '0'); signal current_state, next_state : state_machine := FUNCTION_RESET; signal return_state, return_state_next: state_machine := FUNCTION_RESET; signal toUser_address : std_logic_vector(0 to 31); signal toUser_value : std_logic_vector(0 to 31); signal toUser_function : std_logic_vector(0 to 15); signal toUser_goWait : std_logic; signal retVal, retVal_next : std_logic_vector(0 to 31); signal arg, arg_next : std_logic_vector(0 to 31); signal reg1, reg1_next : std_logic_vector(0 to 31); signal reg2, reg2_next : std_logic_vector(0 to 31); signal reg3, reg3_next : std_logic_vector(0 to 31); signal reg4, reg4_next : std_logic_vector(0 to 31); signal reg5, reg5_next : std_logic_vector(0 to 31); signal reg6, reg6_next : std_logic_vector(0 to 31); signal reg7, reg7_next : std_logic_vector(0 to 31); signal reg8, reg8_next : std_logic_vector(0 to 31); --------------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------------- begin -- architecture IMP HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is begin if (clock'event and (clock = '1')) then toUser_address <= intrfc2thrd_address; toUser_value <= intrfc2thrd_value; toUser_function <= intrfc2thrd_function; toUser_goWait <= intrfc2thrd_goWait; return_state <= return_state_next; retVal <= retVal_next; arg <= arg_next; reg1 <= reg1_next; reg2 <= reg2_next; reg3 <= reg3_next; reg4 <= reg4_next; reg5 <= reg5_next; reg6 <= reg6_next; reg7 <= reg7_next; reg8 <= reg8_next; -- Find out if the HWTI is tell us what to do if (intrfc2thrd_goWait = '1') then case intrfc2thrd_function is -- Typically the HWTI will tell us to control our own destiny when U_FUNCTION_USER_SELECT => current_state <= next_state; -- List all the functions the HWTI could tell us to run when U_FUNCTION_RESET => current_state <= FUNCTION_RESET; when U_FUNCTION_START => current_state <= FUNCTION_START; when U_STATE_1 => current_state <= STATE_1; when U_STATE_2 => current_state <= STATE_2; when U_STATE_3 => current_state <= STATE_3; when U_STATE_4 => current_state <= STATE_4; when U_STATE_5 => current_state <= STATE_5; when U_STATE_6 => current_state <= STATE_6; when U_STATE_7 => current_state <= STATE_7; when U_STATE_8 => current_state <= STATE_8; when U_STATE_9 => current_state <= STATE_9; when U_STATE_10 => current_state <= STATE_10; when U_STATE_11 => current_state <= STATE_11; when U_STATE_12 => current_state <= STATE_12; when U_STATE_13 => current_state <= STATE_13; when U_STATE_14 => current_state <= STATE_14; when U_STATE_15 => current_state <= STATE_15; when U_STATE_16 => current_state <= STATE_16; when U_STATE_17 => current_state <= STATE_17; when U_STATE_18 => current_state <= STATE_18; when U_STATE_19 => current_state <= STATE_19; when U_STATE_20 => current_state <= STATE_20; when U_STATE_21 => current_state <= STATE_21; when U_STATE_22 => current_state <= STATE_22; when U_STATE_23 => current_state <= STATE_23; when U_STATE_24 => current_state <= STATE_24; when U_STATE_25 => current_state <= STATE_25; when U_STATE_26 => current_state <= STATE_26; when U_STATE_27 => current_state <= STATE_27; when U_STATE_28 => current_state <= STATE_28; when U_STATE_29 => current_state <= STATE_29; when U_STATE_30 => current_state <= STATE_30; -- If the HWTI tells us to do something we don't know, error when OTHERS => current_state <= ERROR_STATE; end case; else current_state <= WAIT_STATE; end if; end if; end process HWTUL_STATE_PROCESS; HWTUL_STATE_MACHINE : process (clock) is begin -- Default register assignments thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_USER_SELECT; return_state_next <= return_state; next_state <= current_state; retVal_next <= retVal; arg_next <= arg; reg1_next <= reg1; reg2_next <= reg2; reg3_next <= reg3; reg4_next <= reg4; reg5_next <= reg5; reg6_next <= reg6; reg7_next <= reg7; reg8_next <= reg8; ----------------------------------------------------------------------- -- Testcase: cond_wait_2.c -- reg2 = * mutex -- reg3 = * cond -- reg6 = * function -- reg7 = thread ----------------------------------------------------------------------- -- The state machine case current_state is when FUNCTION_RESET => --Set default values thrd2intrfc_opcode <= OPCODE_NOOP; thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_START; -- struct test_data * data = (struct test_data *) arg; when FUNCTION_START => -- Pop the argument thrd2intrfc_value <= Z32; thrd2intrfc_opcode <= OPCODE_POP; next_state <= WAIT_STATE; return_state_next <= STATE_1; when STATE_1 => arg_next <= intrfc2thrd_value; -- Read the address of mutex thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= intrfc2thrd_value; next_state <= WAIT_STATE; return_state_next <= STATE_2; when STATE_2 => reg2_next <= intrfc2thrd_value; -- Read the address of cond thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= arg + 4; next_state <= WAIT_STATE; return_state_next <= STATE_3; when STATE_3 => reg3_next <= intrfc2thrd_value; -- Read the address of function thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= arg + 8; next_state <= WAIT_STATE; return_state_next <= STATE_4; -- hthread_mutex_lock( data->mutex ); when STATE_4 => reg6_next <= intrfc2thrd_value; -- push data->mutex thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg2; next_state <= WAIT_STATE; return_state_next <= STATE_5; when STATE_5 => -- call hthread_mutex_lock thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_LOCK; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_6; next_state <= WAIT_STATE; -- hthread_create( &data->thread, NULL, data->function, (void *) data ); when STATE_6 => -- push (void *) data thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= arg; next_state <= WAIT_STATE; return_state_next <= STATE_7; when STATE_7 => -- push data->function thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg6; next_state <= WAIT_STATE; return_state_next <= STATE_8; when STATE_8 => -- push NULL thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= Z32; next_state <= WAIT_STATE; return_state_next <= STATE_9; when STATE_9 => -- push &data->thread thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= arg + x"0000000C"; next_state <= WAIT_STATE; return_state_next <= STATE_10; when STATE_10 => -- call hthread_create thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_11; next_state <= WAIT_STATE; -- retVal = hthread_cond_wait( data->cond, data->mutex ); when STATE_11 => -- Puth data->mutex thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg2; next_state <= WAIT_STATE; return_state_next <= STATE_12; when STATE_12 => -- Puth data->cond thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg3; next_state <= WAIT_STATE; return_state_next <= STATE_13; when STATE_13 => -- call hthread_cond_wait thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_COND_WAIT; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_14; next_state <= WAIT_STATE; -- hthread_mutex_unlock( data->mutex ); when STATE_14 => retVal_next <= intrfc2thrd_value; -- push data->mutex thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg2; next_state <= WAIT_STATE; return_state_next <= STATE_15; when STATE_15 => -- call hthread_mutex_unlock thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_UNLOCK; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_16; next_state <= WAIT_STATE; -- hthread_join( data->thread, NULL ); when STATE_16 => -- Load the value of data->thread thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= arg + x"0000000C"; next_state <= WAIT_STATE; return_state_next <= STATE_17; when STATE_17 => reg7_next <= intrfc2thrd_value; -- push NULL thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= Z32; next_state <= WAIT_STATE; return_state_next <= STATE_18; when STATE_18 => -- push data->thread thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg7; next_state <= WAIT_STATE; return_state_next <= STATE_19; when STATE_19 => -- call hthread_join thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_20; next_state <= WAIT_STATE; when STATE_20 => next_state <= FUNCTION_EXIT; when FUNCTION_EXIT => --Same as hthread_exit( (void *) retVal ); thrd2intrfc_value <= retVal; thrd2intrfc_opcode <= OPCODE_RETURN; next_state <= WAIT_STATE; when WAIT_STATE => next_state <= return_state; when ERROR_STATE => next_state <= ERROR_STATE; when others => next_state <= ERROR_STATE; end case; end process HWTUL_STATE_MACHINE; end architecture IMP;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias4: electrical; terminal gnd: electrical; terminal vdd: electrical; terminal vbias2: electrical; terminal vbias3: electrical; terminal vbias1: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; terminal net11: electrical; begin subnet0_subnet0_m1 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in1, S => net4 ); subnet0_subnet0_m2 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in2, S => net4 ); subnet0_subnet0_m3 : entity nmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net4, G => vbias4, S => gnd ); subnet0_subnet1_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net1, G => vbias2, S => net5 ); subnet0_subnet1_m2 : entity pmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net5, G => net1, S => vdd ); subnet0_subnet1_m3 : entity pmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net6, G => net1, S => vdd ); subnet0_subnet1_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => vbias2, S => net6 ); subnet0_subnet2_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net2, G => vbias2, S => net7 ); subnet0_subnet2_m2 : entity pmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net7, G => net2, S => vdd ); subnet0_subnet2_m3 : entity pmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net8, G => net2, S => vdd ); subnet0_subnet2_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => out1, G => vbias2, S => net8 ); subnet0_subnet3_m1 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_1, scope => Wprivate ) port map( D => net3, G => vbias3, S => net9 ); subnet0_subnet3_m2 : entity nmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net9, G => net3, S => gnd ); subnet0_subnet3_m3 : entity nmos(behave) generic map( L => Lcm_1, W => Wcmout_1, scope => private ) port map( D => net10, G => net3, S => gnd ); subnet0_subnet3_m4 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_1, scope => Wprivate ) port map( D => out1, G => vbias3, S => net10 ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net11 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net11, G => vbias4, S => gnd ); end simple;
-- ################################################################################################# -- # << NEO430 - 32-bit Wishbone Bus Interface Adapter >> # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- # ********************************************************************************************* # -- # The NEO430 Processor - https://github.com/stnolting/neo430 # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library neo430; use neo430.neo430_package.all; entity neo430_wb_interface is port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- wishbone interface -- wb_adr_o : out std_ulogic_vector(31 downto 0); -- address wb_dat_i : in std_ulogic_vector(31 downto 0); -- read data wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data wb_we_o : out std_ulogic; -- read/write wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable wb_stb_o : out std_ulogic; -- strobe wb_cyc_o : out std_ulogic; -- valid cycle wb_ack_i : in std_ulogic -- transfer acknowledge ); end neo430_wb_interface; architecture neo430_wb_interface_rtl of neo430_wb_interface is -- IO space: module base address -- constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit constant lo_abb_c : natural := index_size_f(wb32_size_c); -- low address boundary bit -- control reg bits -- constant ctrl_byte_en0_c : natural := 0; -- -/w: wishbone data byte enable bit 0 constant ctrl_byte_en1_c : natural := 1; -- -/w: wishbone data byte enable bit 1 constant ctrl_byte_en2_c : natural := 2; -- -/w: wishbone data byte enable bit 2 constant ctrl_byte_en3_c : natural := 3; -- -/w: wishbone data byte enable bit 3 constant ctrl_pending_c : natural := 15; -- r/-: pending wb transfer -- access control -- signal acc_en : std_ulogic; -- module access enable signal addr : std_ulogic_vector(15 downto 0); -- access address signal wr_en : std_ulogic; -- accessible regs -- signal wb_addr : std_ulogic_vector(31 downto 0); signal wb_rdata : std_ulogic_vector(31 downto 0); signal wb_wdata : std_ulogic_vector(31 downto 0); signal pending : std_ulogic; -- pending transfer? signal byte_en : std_ulogic_vector(03 downto 0); -- misc -- signal enable : std_ulogic; begin -- Access control ----------------------------------------------------------- -- ----------------------------------------------------------------------------- acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = wb32_base_c(hi_abb_c downto lo_abb_c)) else '0'; addr <= wb32_base_c(15 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 1) & '0'; -- word aligned wr_en <= acc_en and wren_i; -- Write access ------------------------------------------------------------- -- ----------------------------------------------------------------------------- wr_access: process(clk_i) begin if rising_edge(clk_i) then if (wr_en = '1') then -- valid word write if (addr = wb32_rd_adr_lo_addr_c) then wb_addr(15 downto 0) <= data_i; wb_we_o <= '0'; end if; if (addr = wb32_rd_adr_hi_addr_c) then wb_addr(31 downto 16) <= data_i; wb_we_o <= '0'; end if; if (addr = wb32_wr_adr_lo_addr_c) then wb_addr(15 downto 0) <= data_i; wb_we_o <= '1'; end if; if (addr = wb32_wr_adr_hi_addr_c) then wb_addr(31 downto 16) <= data_i; wb_we_o <= '1'; end if; if (addr = wb32_data_lo_addr_c) then wb_wdata(15 downto 0) <= data_i; end if; if (addr = wb32_data_hi_addr_c) then wb_wdata(31 downto 16) <= data_i; end if; if (addr = wb32_ctrl_addr_c) then byte_en(0) <= data_i(ctrl_byte_en0_c); byte_en(1) <= data_i(ctrl_byte_en1_c); byte_en(2) <= data_i(ctrl_byte_en2_c); byte_en(3) <= data_i(ctrl_byte_en3_c); end if; end if; end if; end process wr_access; -- direct output -- wb_adr_o <= wb_addr; -- address wb_dat_o <= wb_wdata; -- write data wb_sel_o <= byte_en; -- byte enable -- Access arbiter ------------------------------------------------------------- -- ----------------------------------------------------------------------------- arbiter: process(clk_i) begin if rising_edge(clk_i) then -- trigger transfer -- if (pending = '0') or (enable = '0') then wb_stb_o <= '0'; pending <= '0'; if (wr_en = '1') and (enable = '1') and ((addr_i = wb32_rd_adr_hi_addr_c) or (addr_i = wb32_wr_adr_hi_addr_c)) then wb_stb_o <= '1'; pending <= '1'; end if; else -- transfer in progress wb_stb_o <= '0'; -- use ONLY standard/classic cycle with single-cycle STB assertion!! -- waiting for ACK if (wb_ack_i = '1') then wb_rdata <= wb_dat_i; -- sample input data wb_stb_o <= '0'; pending <= '0'; end if; end if; end if; end process arbiter; -- device actually in use? -- enable <= or_all_f(byte_en); -- valid cycle signal -- wb_cyc_o <= pending; -- Read access -------------------------------------------------------------- -- ----------------------------------------------------------------------------- rd_access: process(clk_i) begin if rising_edge(clk_i) then data_o <= (others => '0'); if (rden_i = '1') and (acc_en = '1') then if (addr = wb32_data_lo_addr_c) then data_o <= wb_rdata(15 downto 00); elsif (addr = wb32_data_hi_addr_c) then data_o <= wb_rdata(31 downto 16); else -- when wb32_ctrl_addr_c => data_o(ctrl_pending_c) <= pending; end if; end if; end if; end process rd_access; end neo430_wb_interface_rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc525.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n04i00525ent IS END c03s03b00x00p03n04i00525ent; ARCHITECTURE c03s03b00x00p03n04i00525arch OF c03s03b00x00p03n04i00525ent IS BEGIN TESTING : PROCESS type bit_ptr is access bit; variable v_bit_ptr1: bit_ptr := new bit'('1'); variable v_bit_ptr2: bit_ptr; variable v_bit_ptr3: bit_ptr := v_bit_ptr1; variable v_bit_ptr4: bit_ptr := new bit'('0'); variable v_bit_ptr5: bit_ptr := v_bit_ptr4; variable OKtest : integer := 0; BEGIN assert v_bit_ptr1.all = '1'; if (v_bit_ptr1.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr2 = null; if (v_bit_ptr2 = null) then OKtest := OKtest + 1; end if; assert v_bit_ptr3.all = '1'; if (v_bit_ptr3.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr4.all = '0'; if (v_bit_ptr4.all = '0') then OKtest := OKtest + 1; end if; assert v_bit_ptr5.all = '0'; if (v_bit_ptr5.all = '0') then OKtest := OKtest + 1; end if; v_bit_ptr2 := new bit'('0'); assert v_bit_ptr2.all = '0'; if (v_bit_ptr2.all = '0') then OKtest := OKtest + 1; end if; assert (v_bit_ptr1.all & v_bit_ptr3.all) = "11"; if ((v_bit_ptr1.all & v_bit_ptr3.all) = "11") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr5.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr5.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr2.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr2.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all > v_bit_ptr5.all) = true; if ((v_bit_ptr3.all > v_bit_ptr5.all) = true) then OKtest := OKtest + 1; end if; deallocate(v_bit_ptr1); deallocate(v_bit_ptr2); deallocate(v_bit_ptr4); assert NOT(OKtest = 10) report "***PASSED TEST: c03s03b00x00p03n04i00525" severity NOTE; assert (OKtest = 10) report "***FAILED TEST: c03s03b00x00p03n04i00525 - Bit type using as base for access type test failed." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n04i00525arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc525.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n04i00525ent IS END c03s03b00x00p03n04i00525ent; ARCHITECTURE c03s03b00x00p03n04i00525arch OF c03s03b00x00p03n04i00525ent IS BEGIN TESTING : PROCESS type bit_ptr is access bit; variable v_bit_ptr1: bit_ptr := new bit'('1'); variable v_bit_ptr2: bit_ptr; variable v_bit_ptr3: bit_ptr := v_bit_ptr1; variable v_bit_ptr4: bit_ptr := new bit'('0'); variable v_bit_ptr5: bit_ptr := v_bit_ptr4; variable OKtest : integer := 0; BEGIN assert v_bit_ptr1.all = '1'; if (v_bit_ptr1.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr2 = null; if (v_bit_ptr2 = null) then OKtest := OKtest + 1; end if; assert v_bit_ptr3.all = '1'; if (v_bit_ptr3.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr4.all = '0'; if (v_bit_ptr4.all = '0') then OKtest := OKtest + 1; end if; assert v_bit_ptr5.all = '0'; if (v_bit_ptr5.all = '0') then OKtest := OKtest + 1; end if; v_bit_ptr2 := new bit'('0'); assert v_bit_ptr2.all = '0'; if (v_bit_ptr2.all = '0') then OKtest := OKtest + 1; end if; assert (v_bit_ptr1.all & v_bit_ptr3.all) = "11"; if ((v_bit_ptr1.all & v_bit_ptr3.all) = "11") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr5.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr5.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr2.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr2.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all > v_bit_ptr5.all) = true; if ((v_bit_ptr3.all > v_bit_ptr5.all) = true) then OKtest := OKtest + 1; end if; deallocate(v_bit_ptr1); deallocate(v_bit_ptr2); deallocate(v_bit_ptr4); assert NOT(OKtest = 10) report "***PASSED TEST: c03s03b00x00p03n04i00525" severity NOTE; assert (OKtest = 10) report "***FAILED TEST: c03s03b00x00p03n04i00525 - Bit type using as base for access type test failed." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n04i00525arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc525.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n04i00525ent IS END c03s03b00x00p03n04i00525ent; ARCHITECTURE c03s03b00x00p03n04i00525arch OF c03s03b00x00p03n04i00525ent IS BEGIN TESTING : PROCESS type bit_ptr is access bit; variable v_bit_ptr1: bit_ptr := new bit'('1'); variable v_bit_ptr2: bit_ptr; variable v_bit_ptr3: bit_ptr := v_bit_ptr1; variable v_bit_ptr4: bit_ptr := new bit'('0'); variable v_bit_ptr5: bit_ptr := v_bit_ptr4; variable OKtest : integer := 0; BEGIN assert v_bit_ptr1.all = '1'; if (v_bit_ptr1.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr2 = null; if (v_bit_ptr2 = null) then OKtest := OKtest + 1; end if; assert v_bit_ptr3.all = '1'; if (v_bit_ptr3.all = '1') then OKtest := OKtest + 1; end if; assert v_bit_ptr4.all = '0'; if (v_bit_ptr4.all = '0') then OKtest := OKtest + 1; end if; assert v_bit_ptr5.all = '0'; if (v_bit_ptr5.all = '0') then OKtest := OKtest + 1; end if; v_bit_ptr2 := new bit'('0'); assert v_bit_ptr2.all = '0'; if (v_bit_ptr2.all = '0') then OKtest := OKtest + 1; end if; assert (v_bit_ptr1.all & v_bit_ptr3.all) = "11"; if ((v_bit_ptr1.all & v_bit_ptr3.all) = "11") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr5.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr5.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all & v_bit_ptr2.all) = "10"; if ((v_bit_ptr3.all & v_bit_ptr2.all) = "10") then OKtest := OKtest + 1; end if; assert (v_bit_ptr3.all > v_bit_ptr5.all) = true; if ((v_bit_ptr3.all > v_bit_ptr5.all) = true) then OKtest := OKtest + 1; end if; deallocate(v_bit_ptr1); deallocate(v_bit_ptr2); deallocate(v_bit_ptr4); assert NOT(OKtest = 10) report "***PASSED TEST: c03s03b00x00p03n04i00525" severity NOTE; assert (OKtest = 10) report "***FAILED TEST: c03s03b00x00p03n04i00525 - Bit type using as base for access type test failed." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n04i00525arch;
entity hello_world is end ; architecture hello_world of hello_world is begin stimulus : PROCESS begin assert false report "Hello, world!" severity note ; wait ; end PROCESS stimulus ; end hello_world ;
entity hello_world is end ; architecture hello_world of hello_world is begin stimulus : PROCESS begin assert false report "Hello, world!" severity note ; wait ; end PROCESS stimulus ; end hello_world ;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Mq15Uxvq8TpovK0VHOjVIqcterdGjGw0vXf5/CcRbJy8DxHeaX/7+OLue1eHm86CijvgGESbBACz JkIFLWfF6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlGlmlWgx2dcArT+YjNR03+AI8xDpAJqs3PUH0dGTVPNy+2me3I6K3/Y+0PUOBmo6ENPSimUygAZ ksy+UgkArErykALj4Yj+1tAP4lzB6LHa6T1YjcCqfl+YO4Vtv7pKTgHSvlFceVOmrabtv0zQJ3EP HibINqN9+2AUxPaRLbw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ieSQPqZte5larMkINq4qdjH3bha3FgNb9uJ1MBMjsdacO5TSkrB90FQF8rKzRUP5KEhBXp8Ak8O8 4U6FS0Ftsd1UXa0SY2UPirL7OTSLjEwtUplMaI2qee2/xsowDi/MytsRBX+Sqm3vecLoVbWcgPTX J7hZi0bEUcvuwONV6+DB6ljvtB2j3MZc95iK/Eu75Z3ZHUNEa1HtkNnIvA0/ZcDLDE72iUO1n3OI iJelh2h+Gyz4q6RWKYNrPkjymYgOtmahINJFA0Bl1XPrpHXYKim9UrBghaNbvlPSma+2iF24qsZ9 RL9JnYAiHDNuX+ayj0G5BysC6e2vNEpglneX7A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block E+rNhZb8j6xyn69nHWjBZWwQXFf+BInEpetRjDfr3osdyGH9Fa8UeEClGLsgCSBviCenskBRx/Kr xmNJYiIjrwPU6oAT08DhzIQAOZOK6Eap1d8wTQt90ZvJWws3m7LI+SDSxuy6oNBuw+OUE/nwyq9K bBwCvFZB2RYiQIrx1x4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mw6I7LOoOLE21IWc9bUtA1vlL06F/sKTv+Fwc9yH/pBYQHzPlsTCRAtKr7poTm6QzuCpwfNq4Vgn WIlkXEoDQmZ2ibKnRITzBvkRC+ISCGddBWICPescIMf67IXz+Ghduy0BmYAodv5+qKiNl0azhp3Q 4IcqV3lQnlMSi8cuqgykUsOBCV+3yIKK/O3WGM9cC1OshmsS9UgweGlh2WdbatNIfpbfkxMp7tBV vH5kcGRBwAdVcCxF6Y7ao6gE1M/UNaDgZxWoIl577FYo2OYhoLLgdSA1LIntwfIn1TShnb55ohKb 2rFmwuNLMhiGCfqe/aSSSir0MA5TrcQj7WKMfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6144) `protect data_block ySdgngRGY5Div0/OHorL390/ZKU+mFFn7++a2Gu34cEee4RM7cGOQA5qR6Yu1p+HGyuHjdkR2x6o B+18s6OjtGJ7aIsiRRzFmDVvMRDvmceK3xPe+jZ/9TD4lu1b747rLi1I+tCZJ8oYSueM9S1CFPd2 mVN7pO3l8UhJT2AQZxOzUYEZbVG3HUyhQf7hGqJHUCNYN+e8H5EoomoWJYDnDABFycoW8UJ5E8yj 3d7JUyfFJJVRx9ZZX4LEs5wsk+7l7WqqWO3IB+3BDsTsXbOE0a/IPa9mMl8xZP30k5NJ73pcxJWR LYF4FecPFA3YaizvwyFptcu6+h8yMs2HbJoAeGgkSX2nnz3sICeDzm6KSyfBIiAMd4uThgBpHupc o147FmdXfOg2OJVCHyYOV6TKCLWLSIfIMxJJlxTnab9Rd2lAHEm+NTwdnHdvSJqR6ZDBebSuKpVF 6vtdsFW5oLTijB2N2lyJE5Bqzx2YHHm8cfO7wRv2WfgLci/dOjUdH7rY06FXOPfdDXW3tJPPoQce 8Xtz4ftzRmtBsZXssOGwvH3I/EC60NbqLcSG71UWpw7k1U/9lUEpfNaX5QDeNzq4C/vPV4s3pZz1 rRFRT9WHujyssDGOICy/cBQRywjILvopCzROaPHWepD/t/r6fBI8rGsQde6FYdki6FTYQE79xnOB NwNJ5dXGRYlxg7HpMKDdnL3UmomJ5tcojmAiyYe5gv6OgWbOfeAc0fJUPX1k23tyTTX0Wu2sYEZK ye0osKlPM3lW2S2IFXjUotLZTFX/3EFo8ZzIB33ezP1ZZaBsQBXgfueht+H801hnOHQiWOdhEdW5 +4d9HkjYWpuRdh2oWXXsX7Vxvxg+mpEkgmzoZnXgPkCIfAy/qNcSbGjkizY5mEPV3/wj2EN75+0o v0m+6+bVpxaTPNvTbj+koLlofHWpWiEbvP0LuBfSKvLl6QsDqZQetb7apZlom8N/5VwvSfL6h/QC gjn5+PNCiAcG0a81yPPXiXbKajXnaZN/RhgVpYiK3qRxgfPPqcBWSBDoAq5f4H7MsCEgCjldf5Gu MBdNDfKXZCSHsDfBaemQUWh3McE368qft4Bg9HXxBZxv2bv27Tf1lNCudZ5bYEmf+VLmq2LLjoxF 3UL0AQeQcpw63dZKqd1nx3nWOYsITuijbpItZLkn8nHWK1LSFe/ENghM1ZEA1rGCXSDNgaRUKUC3 gpV+MtqjBAAmdFO8XvBoDAEhLYo+XYUlJaKr0M84fktk9EPAOW/DXZPlGctXi3vs3CII2CD8jOsR f0zYZH7tXFfCNaxF28ZSiQFfBe8kG/sjVPM5bhjE7KTkPFrcSaSQ5psUnUh4fRAGJ3v3qMEYrjI7 auwhsU7b2POZVSFuNHVxlpuR8NHUY6obOxEkdbQQypDpk/DaYta5wontlSzqbq4OKeWqlgakmcCk dhtsnJNjkh3ZhKm3W9tc772J+s5Ad12PxLE93eXGZvUYsCGm5XimXO7rPloHnPBicFCyvq3AVHvC uyopYlKmwchbDBSGTpTIb0r43CJGPF8guqAmJhj+R5Rk61OT9VVf0moEoljV1HpWH5mpE27ZGBtK Var7D37irKaHOyplnTrQZgFJJuZi/PliQHb+cNeTQme7dGgdrHZDfZVGo0IXGVfPrd/J0hV3i15s btWhI32RV3eZMb2iW0bI45WonlcTlLC9tdqZAL/TioDCK5eBLPGBCT9qTcg5XfIfhMADl6joAytq yHVjWwa04iS0K0YjcDfNsFQQabATsxaW956V1qQSX7W0iPJsKodImupUu4QR7Q3OSXJvl7OXw/Nl PHSPGmF7m1FFw4M2v4HL9K/oqbtP4p5TfqeY17nMMK7qNhalcIuFDjN+Upy2VnxQf92qTfKbqAjI 78bssScoQ3gcGhVFQR94KJUuPQLDe04cW9oZ3Qgs3iUSc8hlzKEk/k6mf5ukACQHR0ZXceGxmds3 A3JCSvOH1vNOK1ak0wjyMt5PDmU8842wqRVwFBUZx2SRELcc7ZCMiZeBr/qyVqE4YBGxDmpItnTk b7jgm4hg+S3DrLbQkyyGuEdSVSUaqQrDcPWgEksn2ccbzObs76hh+a7DfSr5l3VPor7RdxlSZ3K3 2jVxXery38pzjmR2GfFfPiR2ig3pvHaj3njdh5MXVF3ZUvLxZSZ2d4z0/MIqQtISnKk6JCZ5c/VP 85shjrUsUWDFWovUOiuWt9JlsKJTtheKVKgIG0ZhgK5aDg4xJXlDff/iuDKeo02MNDpT6NS5mfF0 98xv98GqYhn53GVVJQmlYFOnzC36Zn+cxOkla7Ek1BGsAw+BPGavdXIhNbsPGgnwKqccb3xEZrdB COWNG3nQqgfAhH8sSa7FOKEHfdRxpjhJBgoQKRM5SDPU3Cfa5RwpPZmg9uA9r5HZKZT1QQYOgOnH 6XDDG4/BQ9RZ5daYFnuGEhQuChPl32XmBUZlItA/skD/yLqrHYEPE4pp1VgnUPSEv8DDm4CTfOC4 qwyXZKcVGTYi1XFiOs4F/ei8rxPFP6CQ6lZ+oOoJJiHved1aUYUuNm6VsIiTYic6QMX5L7gwepKm mOX9O7UYWyiOxFV4R1YUpHJRZS3qab+A+pCjb9HStJi4xaiV6EYbraV0UeruVit8KbPebexTrYmY hc1u77z1gwt56afgXXdxOIDetF4ZuWCHBTD7FmWXVeE32M9Kj6bUp+0fzHDjIwUv2JXwwTUY0opE r9pH3bvLCDyK53Whd6tx3jpvAH/vCA/UJrPQGEpXcOGBZfZzZgOlKoBVKYnljvM75a+pCR14HkkN RTsbaWFxpONL+HzyzhfTNeG8y23uXbfmBr3XRWjU3WaAMj8W6KgeEOPBiAYdEj5WmAQRf3cNsRI5 I4uNECMRWIKnK2RdS3/H+bR4Hk+lXIVlWurXClhJXl/QixhaQWb2klESM3HYV8AorPGkfWgkBF0p ilA9EW1xbph+SQ/t5WomlUxBgKdzkiqDf8BSprRYEX0Oy25UYT4aClmwKaaT2S+BEeDQm3dF1d8D rYA8ENMn9cV2hi7PXxC9IPvboPIdKxnBr3RKF6SlFhdyXjvFq3JsQmgp9EmM1vzp3IrMToBnTsVO HvLtqxU0leKstcPzdQjY5Q3TmEJG19YHzpxEFPwLjQJmEVFHrkNveBp0XkdHOHeIHJtLXNYh1T/Y KpCtYB3Qg5GLCjpQp6vrnM0zc6GY+3XpKEUyrMi6nUF5FBN3Ogkg2/PafFmMF5i2Vd3NgAyn5h2B jRlQivfUY2NQ75AZ2/kAlxTu29QHfQAM+rOaF+j6REXhR/9/kOOd4S+pv+gKijMTFxbEQGR+h6Mt Xu9QRt+NJDgcp234+8bFFCK0O3uwB0+pVCiLI95KfaR88c23WGOv434sdzyijRMx3njEXpWokMOL m6wZDcuUhE78Xg55MEg2ra0pYawfUzzVb4z0INwaRyA95zNc/mBLqNHdsAC7Ug6EXyBmY8xMMI3Z NCrcPkOwGWJcRqYqzGvy19iq8wLLhXZIaXydi+jj5iRmjVyYIK6BBXJifeUoPWaa+qGBe+uyXOt3 5BmXufp/YZNve5GNFztJycHTkxt5AORcxN90IpBeTHF+otqr1g+HIcrbAw4ITNnF4SF33sxNPRFR j6Dr8Rd+VqAAVkhSuFKwVBEFiDR3ft7K/lO6ufMUAYVJb/X+q68BYyPeoJN0rQiLmNsj4TA9Uko/ ZuwZ8w9SAXdt7gM61kEtAXPl5sQ1LPFjsK/OSmUxOq6M7JUJUFfQJJep17nrd1hlixDnaPJYIxbF EA4r8pUaGoM5mDP5LoRlxY/7HhsIu2r5DlW2kRkjQMca48LIcHWEZAA4ewBDRVqtKyCVoumS9rt5 XF+vnnJXf7Kxa+3Z7MXUsLUJShDL/biiLiPUqyvldfN8XQshW27kxLv8jkkXBmfG19XFRLNIVin+ deHGQg6QTAoPXvL3rB2BjwGYZIhGMxPm14kNMEtKXl2vhQQPhhh52BAx+/2cRn6Pve9eSr+jQDDh DoxEzwfCisnRR1wgtIZpLN3NRbIjA+BVk7yk4XM4105QraU2ccQALyfaAO0/y/l3m4U3ZlWZzVIh qnEZI+7GO3aDOzEeUFFqzKyUYYBJhAbkW/FafgtiMaT2JZCzjARE1iB1NYN3UYw6lfWvkCD/74+J 0dklVoqq/E9VO8D/Z946wThP53Acus9nRYwOycqa3ukXghJx3ljDrSdOjKKdL4Y4mtydngPkcSe1 11xpQJ8ESbLQpGYsLXz6Woy4mBzpzh+Lwr8V4NqRimUUzvSKnOYNRHeUlhpm0CMPn7zEa4mgu4kc JWsvBqP7hN6wg8tA0z/xW40W5lcpJrQDHDuPGmOdQiifiJ6yjzPcfTkFwTXWb2oixUkBVT2YaBe+ +KFzv7+/PN6L8dxvIfuHuu17dELPAWeUSqW/kG7tEwBA9fbeuTo3DCPFZ84zT4X5YiGT0Zoyso0E oFC0vbv9FI4Nal5BdFWK/5pqM4WgrSA1iyF8HG83hTptNer8kJmr37+yvgFd0aA3kwxmLa1ScG5J A3L+YS3LpXzO3lj7MCkyYsWwdm7ddWdOiaKVcTJ5unWhfwbADwsgXI8MMnWfIXsz9kYR+WheY8O+ Aht5e5LETWJRLlWcBRwTPbBN/e7uvb3IqggrQ2vFD+z0IcIRQKeBDO7LhS9OV3SVnOuuf17ppr0d QJWCIxVGPglTQ/unl20iO9BWt9HEOu8wTmpvNxLy07FYRECUyplFybjbs/3MDcLDOi8rchTfUNd3 4tnvgkRxI6ezHMBdPE5KxSo2SG98+Qo67Fp7f86pgRhP4gYAdofsU2Mx5OFC7MjafNi34jWkA5al 0cjWDalssLc38wjxpZycgGO3O98lStojfhw4bLixDmgjyf9UquwurfcXNpL1QLMUm8oELiganchV 1E0qRned1N0Y56c7q3pRGEdwTpln0M+9wL6yT9eWXQRat57FfhI5xbsheUWAB4wp6c/BAUNh8fYc jZk/whBRZW/Vw0gyrEagiKnUoBveockJcmoZ8KmtFKAVXEnW4pFwsPaOcx121lMGyK4GeLQr4P83 QZluzK7ql/N9q9pOb4vprqxHs4v1Uy3n9Agux+g1hzo2oD/QOnTf1rFlXQ2R6Fn3zNKxa2OKdiwk 4CZc0N5UuWg7ooMO8erew65pmkUTgBdpopr4g18oDfX+ShwpFjh5VO4F6IaCeQynozF4SRkANBrw ong4ZRN3JJ421MRJXGzX721ck7Sz2rFFYdJ5LefKOOH3JDZo7JgQpqizf27tSoY4BTZiep6aYn3f 8AagXVRjhdYID15KZSD/ok6uSf/O5rzMI8ip8DeMF3tW5xYtsqjJj/Hes0Kq+nOnd+csLFW0h5cV Hhzn43Sm1Y0cXaDCxy+7mKTuKo00a96FV0yst9BLa3oyIsEwHq5N5IkBDI8pY9rwlGSm6B9qRhVk 1F8Jr0E637t/CTSLO7uEagspGy0pn5lZR33LAz1ydPnrjHVgwhB1Y/wwS8V5bgtiDNdDAPAR6nGy GzxJdN1ZYSpeDMZCNUC4OBejdy6e0vr4xmZMXoC+pyorNUJRHXKyY2YaU1Dx3uZLHXUNnnSquFiq Ndc7E/duiRPfUzinKPKt1T8dDwYhtgvjy+bnOSAU0kZPSqe2krh3uAbRKOmMR5Atpdgoi+xwqTbQ 49ypiyR5MbIu7M8BQeE9ku3Z7Y+uOrobPGAict0og9bsf1YcMOqihvcEMHaqjwiwvOkcJS9oKciV QDRDzPXOekL4hTgDf5SIHreKmTPo1Bxu5oDBhOyu4VAabggwVfut4saRDQ6Pia3/PzPI5AWs2K3m WQsEjgGeemNB5wpfElq1MiO6fo9GUgy1c1vm1+9UGADGl2jtLCzZOlGH+vNk+y0UMfbCaXBj3CbY W6bRf8ZX07zARNWYPKqvwjgHfxShv6Wtr2ggBFKWLHVsLNVITD8l/SzuCB7ETgJRHIZi6aKXgRcj kVyKtVPwNNyPZFY1/V3QHsje4tlkFhOWM2EpHPYkLrnjcwth0Hjr8gsGf1xQwIcxLVULjwffiD/a 3c6diGnvx5OZqoUr+BJypjN1HsnIv/QSqtY9QrkOvACYgRDhh9OG0PdfNorYEV9YsWEXgEkD1KWm wIAPL0bXYIpgqfs1T+aGB2sVsjyA/Y4v/NQZAFYaUuIVKkblMrAvnz5Er5IeNKFKEV45lUOoBl4L NbTR3n+LNg8hAPHM/mRrJwDJKF/T0VIUDtpi1rboSLF3Anz6KcoyYINFMQ61Xa/2qiuOLqWNnZ4Z /yBNb5LHlaQBjBUIrsWMmYHRSLahi71+hSZhVeGQxRcACFOZjSnnfpiJc3XpKB4rcit3SgzKqzjD HmPTiDpaU/efMqPJa/zCLfMzHCziBG8vDEk4Iwyc24w/BVPxRMp6OrakcUNPHNbn02LXqbxtXu0b 28dR0lz1hyJ1a7qcGynkrIyrWvlJTyzviZ+IOuezXmIOKfx6k1OUf78tjAi/BMrmlKBqPXAHXlmV fKIinuj+cBax1eXHvZopvPPC3Ako1QgNOnEySLZApE3dOn8L15YnR9INjjYkvrNwEbWQGLlX2mBL urqvCX3iXcS74MuLGoyMHk+VqMBW6WB0JVQ1OEWejHSzQQu/gTOSA9818o3khXZs+hsOgoEuzwKO h2zaPSXyk10q6nnrchBMN5OpibOzpkEr3pc5xyvLVMSXgEwXyJCYn3JF4cf64Do8PVZgrMhwmANN F2Giuy53hak4s+j0YEYEADzYVLc/OJuG5U1bqgX2U5Irdj3tbmep1zIc5o4tg13afN2WPrUV8mQg tfoJ9addtja+fU37eBf0MZygfIarCFrvt8rRVtQmyzumq3XKwu+HpJhLW4AnFqjMGKuA7jOfCRHS 3kVwXy0945ooP8KVbUiClch6rXQwS0Sa4+Hy8MjLZT4WuagfvbQc57esyc/3GGOVCXkAVieVv1Si rlEl9Oz2jP7mSICL8ky3u3RXN+DTWLcfWb3g58JRqGtOGLAqTMEaE32wChycQ+uXFOEkAxDHEb4H guUUBBs0heGPhcC20pg9oxq1t2ZPSrWgkCVZDccnVUlTZyXkgwdKpngAyIAbKGs4PNjgoakBvkH0 nzoyAkpOVwaV+rBW4czQEOes0qCFqJ7cZZ7wRpajvHihzPWgC1liTrGBd5aNtveQDfYWH9xqaotB bX2aSPP5YEHvN4ctZ4PCajpBimDUeFuoG57tHhdSU2a7ulo96hy1GIq+bQs7aicGMcY7so4XQ4BA MHa6IHYclbhudxWtcUphffbL5LvsRmvIL6i5V9ftgjaYyUat44IKdOFy777rmdLAvenzvTOyrFKW Keh1zJ+zbK/2unW2IMhl4eB+NwoNNRtf4/5D/Gp4lNFXhPlFGEdLgOJ9bFRGoNXCXCNCf/WG7ol2 1ivk3PS+QxJEmIKvIGSuk1kTnvFJdNwZUPvD7gCEy4q4K5dlrT9q5dFwT79KmnbsR68EluBPUzZt UnEkDyT2dhqDPb5H/RfwX57wd9YzKjv6Y0z9SciWoz0xAecBdCSccdaoO7CzFdjrWaSo1Hqhpr9D NtWAgnqpWtijRc70RQKsJg4LBfCVOyd+lgmPlZOav0yQ+/vrXPJJmwxOAQuzP07jlna1+0m7p17M HMFOweyxq82k6alQE2l/bXer6CbDwcMmSXBrhPZGEvq0lMGK7MxacynX3IYfugp+iWBaBznaBWuC lYi0pmeiP5O/RRPYu7HYqLWXKYpeLIC92T8gYIjFNURQWfk2j2F02tx6oq3ogW3hNm6e/2V6CLA0 JQHLTEX2Rwki4dPBtMo1EDu8jWKdzYnfNDVdl4WN2HuSHrFGTfeuVoNbCpoLgPp5LfZpVN7lq8WD 0VdlCD7qz7Prs1auBYQPSDoVFxUKppsu+gcrpiAB/EimmsjPQstPTxn1OhZf6k1veR983/G1bseh 7t+yBscst94w4iDml9f1UOB6UPDvi6IQF2kOSJADfnLyg9VM6gI0gfMiLRMUW+L29HodTtYQpMsu vcNsPe1GraO/1hj+DYj+gzSHLKe0ZC9wpAaQl2bokb+P0GteIy8+6LDSbT5pvUDMLhzPsaVGJPpI jmUXAvrs8TcPl+/76MA+0QmnOr6+72BG9QdIHr1g86x0WeIXhD3IJ1+KDdE3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Mq15Uxvq8TpovK0VHOjVIqcterdGjGw0vXf5/CcRbJy8DxHeaX/7+OLue1eHm86CijvgGESbBACz JkIFLWfF6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlGlmlWgx2dcArT+YjNR03+AI8xDpAJqs3PUH0dGTVPNy+2me3I6K3/Y+0PUOBmo6ENPSimUygAZ ksy+UgkArErykALj4Yj+1tAP4lzB6LHa6T1YjcCqfl+YO4Vtv7pKTgHSvlFceVOmrabtv0zQJ3EP HibINqN9+2AUxPaRLbw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ieSQPqZte5larMkINq4qdjH3bha3FgNb9uJ1MBMjsdacO5TSkrB90FQF8rKzRUP5KEhBXp8Ak8O8 4U6FS0Ftsd1UXa0SY2UPirL7OTSLjEwtUplMaI2qee2/xsowDi/MytsRBX+Sqm3vecLoVbWcgPTX J7hZi0bEUcvuwONV6+DB6ljvtB2j3MZc95iK/Eu75Z3ZHUNEa1HtkNnIvA0/ZcDLDE72iUO1n3OI iJelh2h+Gyz4q6RWKYNrPkjymYgOtmahINJFA0Bl1XPrpHXYKim9UrBghaNbvlPSma+2iF24qsZ9 RL9JnYAiHDNuX+ayj0G5BysC6e2vNEpglneX7A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block E+rNhZb8j6xyn69nHWjBZWwQXFf+BInEpetRjDfr3osdyGH9Fa8UeEClGLsgCSBviCenskBRx/Kr xmNJYiIjrwPU6oAT08DhzIQAOZOK6Eap1d8wTQt90ZvJWws3m7LI+SDSxuy6oNBuw+OUE/nwyq9K bBwCvFZB2RYiQIrx1x4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mw6I7LOoOLE21IWc9bUtA1vlL06F/sKTv+Fwc9yH/pBYQHzPlsTCRAtKr7poTm6QzuCpwfNq4Vgn WIlkXEoDQmZ2ibKnRITzBvkRC+ISCGddBWICPescIMf67IXz+Ghduy0BmYAodv5+qKiNl0azhp3Q 4IcqV3lQnlMSi8cuqgykUsOBCV+3yIKK/O3WGM9cC1OshmsS9UgweGlh2WdbatNIfpbfkxMp7tBV vH5kcGRBwAdVcCxF6Y7ao6gE1M/UNaDgZxWoIl577FYo2OYhoLLgdSA1LIntwfIn1TShnb55ohKb 2rFmwuNLMhiGCfqe/aSSSir0MA5TrcQj7WKMfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6144) `protect data_block ySdgngRGY5Div0/OHorL390/ZKU+mFFn7++a2Gu34cEee4RM7cGOQA5qR6Yu1p+HGyuHjdkR2x6o B+18s6OjtGJ7aIsiRRzFmDVvMRDvmceK3xPe+jZ/9TD4lu1b747rLi1I+tCZJ8oYSueM9S1CFPd2 mVN7pO3l8UhJT2AQZxOzUYEZbVG3HUyhQf7hGqJHUCNYN+e8H5EoomoWJYDnDABFycoW8UJ5E8yj 3d7JUyfFJJVRx9ZZX4LEs5wsk+7l7WqqWO3IB+3BDsTsXbOE0a/IPa9mMl8xZP30k5NJ73pcxJWR LYF4FecPFA3YaizvwyFptcu6+h8yMs2HbJoAeGgkSX2nnz3sICeDzm6KSyfBIiAMd4uThgBpHupc o147FmdXfOg2OJVCHyYOV6TKCLWLSIfIMxJJlxTnab9Rd2lAHEm+NTwdnHdvSJqR6ZDBebSuKpVF 6vtdsFW5oLTijB2N2lyJE5Bqzx2YHHm8cfO7wRv2WfgLci/dOjUdH7rY06FXOPfdDXW3tJPPoQce 8Xtz4ftzRmtBsZXssOGwvH3I/EC60NbqLcSG71UWpw7k1U/9lUEpfNaX5QDeNzq4C/vPV4s3pZz1 rRFRT9WHujyssDGOICy/cBQRywjILvopCzROaPHWepD/t/r6fBI8rGsQde6FYdki6FTYQE79xnOB NwNJ5dXGRYlxg7HpMKDdnL3UmomJ5tcojmAiyYe5gv6OgWbOfeAc0fJUPX1k23tyTTX0Wu2sYEZK ye0osKlPM3lW2S2IFXjUotLZTFX/3EFo8ZzIB33ezP1ZZaBsQBXgfueht+H801hnOHQiWOdhEdW5 +4d9HkjYWpuRdh2oWXXsX7Vxvxg+mpEkgmzoZnXgPkCIfAy/qNcSbGjkizY5mEPV3/wj2EN75+0o v0m+6+bVpxaTPNvTbj+koLlofHWpWiEbvP0LuBfSKvLl6QsDqZQetb7apZlom8N/5VwvSfL6h/QC gjn5+PNCiAcG0a81yPPXiXbKajXnaZN/RhgVpYiK3qRxgfPPqcBWSBDoAq5f4H7MsCEgCjldf5Gu MBdNDfKXZCSHsDfBaemQUWh3McE368qft4Bg9HXxBZxv2bv27Tf1lNCudZ5bYEmf+VLmq2LLjoxF 3UL0AQeQcpw63dZKqd1nx3nWOYsITuijbpItZLkn8nHWK1LSFe/ENghM1ZEA1rGCXSDNgaRUKUC3 gpV+MtqjBAAmdFO8XvBoDAEhLYo+XYUlJaKr0M84fktk9EPAOW/DXZPlGctXi3vs3CII2CD8jOsR f0zYZH7tXFfCNaxF28ZSiQFfBe8kG/sjVPM5bhjE7KTkPFrcSaSQ5psUnUh4fRAGJ3v3qMEYrjI7 auwhsU7b2POZVSFuNHVxlpuR8NHUY6obOxEkdbQQypDpk/DaYta5wontlSzqbq4OKeWqlgakmcCk dhtsnJNjkh3ZhKm3W9tc772J+s5Ad12PxLE93eXGZvUYsCGm5XimXO7rPloHnPBicFCyvq3AVHvC uyopYlKmwchbDBSGTpTIb0r43CJGPF8guqAmJhj+R5Rk61OT9VVf0moEoljV1HpWH5mpE27ZGBtK Var7D37irKaHOyplnTrQZgFJJuZi/PliQHb+cNeTQme7dGgdrHZDfZVGo0IXGVfPrd/J0hV3i15s btWhI32RV3eZMb2iW0bI45WonlcTlLC9tdqZAL/TioDCK5eBLPGBCT9qTcg5XfIfhMADl6joAytq yHVjWwa04iS0K0YjcDfNsFQQabATsxaW956V1qQSX7W0iPJsKodImupUu4QR7Q3OSXJvl7OXw/Nl PHSPGmF7m1FFw4M2v4HL9K/oqbtP4p5TfqeY17nMMK7qNhalcIuFDjN+Upy2VnxQf92qTfKbqAjI 78bssScoQ3gcGhVFQR94KJUuPQLDe04cW9oZ3Qgs3iUSc8hlzKEk/k6mf5ukACQHR0ZXceGxmds3 A3JCSvOH1vNOK1ak0wjyMt5PDmU8842wqRVwFBUZx2SRELcc7ZCMiZeBr/qyVqE4YBGxDmpItnTk b7jgm4hg+S3DrLbQkyyGuEdSVSUaqQrDcPWgEksn2ccbzObs76hh+a7DfSr5l3VPor7RdxlSZ3K3 2jVxXery38pzjmR2GfFfPiR2ig3pvHaj3njdh5MXVF3ZUvLxZSZ2d4z0/MIqQtISnKk6JCZ5c/VP 85shjrUsUWDFWovUOiuWt9JlsKJTtheKVKgIG0ZhgK5aDg4xJXlDff/iuDKeo02MNDpT6NS5mfF0 98xv98GqYhn53GVVJQmlYFOnzC36Zn+cxOkla7Ek1BGsAw+BPGavdXIhNbsPGgnwKqccb3xEZrdB COWNG3nQqgfAhH8sSa7FOKEHfdRxpjhJBgoQKRM5SDPU3Cfa5RwpPZmg9uA9r5HZKZT1QQYOgOnH 6XDDG4/BQ9RZ5daYFnuGEhQuChPl32XmBUZlItA/skD/yLqrHYEPE4pp1VgnUPSEv8DDm4CTfOC4 qwyXZKcVGTYi1XFiOs4F/ei8rxPFP6CQ6lZ+oOoJJiHved1aUYUuNm6VsIiTYic6QMX5L7gwepKm mOX9O7UYWyiOxFV4R1YUpHJRZS3qab+A+pCjb9HStJi4xaiV6EYbraV0UeruVit8KbPebexTrYmY hc1u77z1gwt56afgXXdxOIDetF4ZuWCHBTD7FmWXVeE32M9Kj6bUp+0fzHDjIwUv2JXwwTUY0opE r9pH3bvLCDyK53Whd6tx3jpvAH/vCA/UJrPQGEpXcOGBZfZzZgOlKoBVKYnljvM75a+pCR14HkkN RTsbaWFxpONL+HzyzhfTNeG8y23uXbfmBr3XRWjU3WaAMj8W6KgeEOPBiAYdEj5WmAQRf3cNsRI5 I4uNECMRWIKnK2RdS3/H+bR4Hk+lXIVlWurXClhJXl/QixhaQWb2klESM3HYV8AorPGkfWgkBF0p ilA9EW1xbph+SQ/t5WomlUxBgKdzkiqDf8BSprRYEX0Oy25UYT4aClmwKaaT2S+BEeDQm3dF1d8D rYA8ENMn9cV2hi7PXxC9IPvboPIdKxnBr3RKF6SlFhdyXjvFq3JsQmgp9EmM1vzp3IrMToBnTsVO HvLtqxU0leKstcPzdQjY5Q3TmEJG19YHzpxEFPwLjQJmEVFHrkNveBp0XkdHOHeIHJtLXNYh1T/Y KpCtYB3Qg5GLCjpQp6vrnM0zc6GY+3XpKEUyrMi6nUF5FBN3Ogkg2/PafFmMF5i2Vd3NgAyn5h2B jRlQivfUY2NQ75AZ2/kAlxTu29QHfQAM+rOaF+j6REXhR/9/kOOd4S+pv+gKijMTFxbEQGR+h6Mt Xu9QRt+NJDgcp234+8bFFCK0O3uwB0+pVCiLI95KfaR88c23WGOv434sdzyijRMx3njEXpWokMOL m6wZDcuUhE78Xg55MEg2ra0pYawfUzzVb4z0INwaRyA95zNc/mBLqNHdsAC7Ug6EXyBmY8xMMI3Z NCrcPkOwGWJcRqYqzGvy19iq8wLLhXZIaXydi+jj5iRmjVyYIK6BBXJifeUoPWaa+qGBe+uyXOt3 5BmXufp/YZNve5GNFztJycHTkxt5AORcxN90IpBeTHF+otqr1g+HIcrbAw4ITNnF4SF33sxNPRFR j6Dr8Rd+VqAAVkhSuFKwVBEFiDR3ft7K/lO6ufMUAYVJb/X+q68BYyPeoJN0rQiLmNsj4TA9Uko/ ZuwZ8w9SAXdt7gM61kEtAXPl5sQ1LPFjsK/OSmUxOq6M7JUJUFfQJJep17nrd1hlixDnaPJYIxbF EA4r8pUaGoM5mDP5LoRlxY/7HhsIu2r5DlW2kRkjQMca48LIcHWEZAA4ewBDRVqtKyCVoumS9rt5 XF+vnnJXf7Kxa+3Z7MXUsLUJShDL/biiLiPUqyvldfN8XQshW27kxLv8jkkXBmfG19XFRLNIVin+ deHGQg6QTAoPXvL3rB2BjwGYZIhGMxPm14kNMEtKXl2vhQQPhhh52BAx+/2cRn6Pve9eSr+jQDDh DoxEzwfCisnRR1wgtIZpLN3NRbIjA+BVk7yk4XM4105QraU2ccQALyfaAO0/y/l3m4U3ZlWZzVIh qnEZI+7GO3aDOzEeUFFqzKyUYYBJhAbkW/FafgtiMaT2JZCzjARE1iB1NYN3UYw6lfWvkCD/74+J 0dklVoqq/E9VO8D/Z946wThP53Acus9nRYwOycqa3ukXghJx3ljDrSdOjKKdL4Y4mtydngPkcSe1 11xpQJ8ESbLQpGYsLXz6Woy4mBzpzh+Lwr8V4NqRimUUzvSKnOYNRHeUlhpm0CMPn7zEa4mgu4kc JWsvBqP7hN6wg8tA0z/xW40W5lcpJrQDHDuPGmOdQiifiJ6yjzPcfTkFwTXWb2oixUkBVT2YaBe+ +KFzv7+/PN6L8dxvIfuHuu17dELPAWeUSqW/kG7tEwBA9fbeuTo3DCPFZ84zT4X5YiGT0Zoyso0E oFC0vbv9FI4Nal5BdFWK/5pqM4WgrSA1iyF8HG83hTptNer8kJmr37+yvgFd0aA3kwxmLa1ScG5J A3L+YS3LpXzO3lj7MCkyYsWwdm7ddWdOiaKVcTJ5unWhfwbADwsgXI8MMnWfIXsz9kYR+WheY8O+ Aht5e5LETWJRLlWcBRwTPbBN/e7uvb3IqggrQ2vFD+z0IcIRQKeBDO7LhS9OV3SVnOuuf17ppr0d QJWCIxVGPglTQ/unl20iO9BWt9HEOu8wTmpvNxLy07FYRECUyplFybjbs/3MDcLDOi8rchTfUNd3 4tnvgkRxI6ezHMBdPE5KxSo2SG98+Qo67Fp7f86pgRhP4gYAdofsU2Mx5OFC7MjafNi34jWkA5al 0cjWDalssLc38wjxpZycgGO3O98lStojfhw4bLixDmgjyf9UquwurfcXNpL1QLMUm8oELiganchV 1E0qRned1N0Y56c7q3pRGEdwTpln0M+9wL6yT9eWXQRat57FfhI5xbsheUWAB4wp6c/BAUNh8fYc jZk/whBRZW/Vw0gyrEagiKnUoBveockJcmoZ8KmtFKAVXEnW4pFwsPaOcx121lMGyK4GeLQr4P83 QZluzK7ql/N9q9pOb4vprqxHs4v1Uy3n9Agux+g1hzo2oD/QOnTf1rFlXQ2R6Fn3zNKxa2OKdiwk 4CZc0N5UuWg7ooMO8erew65pmkUTgBdpopr4g18oDfX+ShwpFjh5VO4F6IaCeQynozF4SRkANBrw ong4ZRN3JJ421MRJXGzX721ck7Sz2rFFYdJ5LefKOOH3JDZo7JgQpqizf27tSoY4BTZiep6aYn3f 8AagXVRjhdYID15KZSD/ok6uSf/O5rzMI8ip8DeMF3tW5xYtsqjJj/Hes0Kq+nOnd+csLFW0h5cV Hhzn43Sm1Y0cXaDCxy+7mKTuKo00a96FV0yst9BLa3oyIsEwHq5N5IkBDI8pY9rwlGSm6B9qRhVk 1F8Jr0E637t/CTSLO7uEagspGy0pn5lZR33LAz1ydPnrjHVgwhB1Y/wwS8V5bgtiDNdDAPAR6nGy GzxJdN1ZYSpeDMZCNUC4OBejdy6e0vr4xmZMXoC+pyorNUJRHXKyY2YaU1Dx3uZLHXUNnnSquFiq Ndc7E/duiRPfUzinKPKt1T8dDwYhtgvjy+bnOSAU0kZPSqe2krh3uAbRKOmMR5Atpdgoi+xwqTbQ 49ypiyR5MbIu7M8BQeE9ku3Z7Y+uOrobPGAict0og9bsf1YcMOqihvcEMHaqjwiwvOkcJS9oKciV QDRDzPXOekL4hTgDf5SIHreKmTPo1Bxu5oDBhOyu4VAabggwVfut4saRDQ6Pia3/PzPI5AWs2K3m WQsEjgGeemNB5wpfElq1MiO6fo9GUgy1c1vm1+9UGADGl2jtLCzZOlGH+vNk+y0UMfbCaXBj3CbY W6bRf8ZX07zARNWYPKqvwjgHfxShv6Wtr2ggBFKWLHVsLNVITD8l/SzuCB7ETgJRHIZi6aKXgRcj kVyKtVPwNNyPZFY1/V3QHsje4tlkFhOWM2EpHPYkLrnjcwth0Hjr8gsGf1xQwIcxLVULjwffiD/a 3c6diGnvx5OZqoUr+BJypjN1HsnIv/QSqtY9QrkOvACYgRDhh9OG0PdfNorYEV9YsWEXgEkD1KWm wIAPL0bXYIpgqfs1T+aGB2sVsjyA/Y4v/NQZAFYaUuIVKkblMrAvnz5Er5IeNKFKEV45lUOoBl4L NbTR3n+LNg8hAPHM/mRrJwDJKF/T0VIUDtpi1rboSLF3Anz6KcoyYINFMQ61Xa/2qiuOLqWNnZ4Z /yBNb5LHlaQBjBUIrsWMmYHRSLahi71+hSZhVeGQxRcACFOZjSnnfpiJc3XpKB4rcit3SgzKqzjD HmPTiDpaU/efMqPJa/zCLfMzHCziBG8vDEk4Iwyc24w/BVPxRMp6OrakcUNPHNbn02LXqbxtXu0b 28dR0lz1hyJ1a7qcGynkrIyrWvlJTyzviZ+IOuezXmIOKfx6k1OUf78tjAi/BMrmlKBqPXAHXlmV fKIinuj+cBax1eXHvZopvPPC3Ako1QgNOnEySLZApE3dOn8L15YnR9INjjYkvrNwEbWQGLlX2mBL urqvCX3iXcS74MuLGoyMHk+VqMBW6WB0JVQ1OEWejHSzQQu/gTOSA9818o3khXZs+hsOgoEuzwKO h2zaPSXyk10q6nnrchBMN5OpibOzpkEr3pc5xyvLVMSXgEwXyJCYn3JF4cf64Do8PVZgrMhwmANN F2Giuy53hak4s+j0YEYEADzYVLc/OJuG5U1bqgX2U5Irdj3tbmep1zIc5o4tg13afN2WPrUV8mQg tfoJ9addtja+fU37eBf0MZygfIarCFrvt8rRVtQmyzumq3XKwu+HpJhLW4AnFqjMGKuA7jOfCRHS 3kVwXy0945ooP8KVbUiClch6rXQwS0Sa4+Hy8MjLZT4WuagfvbQc57esyc/3GGOVCXkAVieVv1Si rlEl9Oz2jP7mSICL8ky3u3RXN+DTWLcfWb3g58JRqGtOGLAqTMEaE32wChycQ+uXFOEkAxDHEb4H guUUBBs0heGPhcC20pg9oxq1t2ZPSrWgkCVZDccnVUlTZyXkgwdKpngAyIAbKGs4PNjgoakBvkH0 nzoyAkpOVwaV+rBW4czQEOes0qCFqJ7cZZ7wRpajvHihzPWgC1liTrGBd5aNtveQDfYWH9xqaotB bX2aSPP5YEHvN4ctZ4PCajpBimDUeFuoG57tHhdSU2a7ulo96hy1GIq+bQs7aicGMcY7so4XQ4BA MHa6IHYclbhudxWtcUphffbL5LvsRmvIL6i5V9ftgjaYyUat44IKdOFy777rmdLAvenzvTOyrFKW Keh1zJ+zbK/2unW2IMhl4eB+NwoNNRtf4/5D/Gp4lNFXhPlFGEdLgOJ9bFRGoNXCXCNCf/WG7ol2 1ivk3PS+QxJEmIKvIGSuk1kTnvFJdNwZUPvD7gCEy4q4K5dlrT9q5dFwT79KmnbsR68EluBPUzZt UnEkDyT2dhqDPb5H/RfwX57wd9YzKjv6Y0z9SciWoz0xAecBdCSccdaoO7CzFdjrWaSo1Hqhpr9D NtWAgnqpWtijRc70RQKsJg4LBfCVOyd+lgmPlZOav0yQ+/vrXPJJmwxOAQuzP07jlna1+0m7p17M HMFOweyxq82k6alQE2l/bXer6CbDwcMmSXBrhPZGEvq0lMGK7MxacynX3IYfugp+iWBaBznaBWuC lYi0pmeiP5O/RRPYu7HYqLWXKYpeLIC92T8gYIjFNURQWfk2j2F02tx6oq3ogW3hNm6e/2V6CLA0 JQHLTEX2Rwki4dPBtMo1EDu8jWKdzYnfNDVdl4WN2HuSHrFGTfeuVoNbCpoLgPp5LfZpVN7lq8WD 0VdlCD7qz7Prs1auBYQPSDoVFxUKppsu+gcrpiAB/EimmsjPQstPTxn1OhZf6k1veR983/G1bseh 7t+yBscst94w4iDml9f1UOB6UPDvi6IQF2kOSJADfnLyg9VM6gI0gfMiLRMUW+L29HodTtYQpMsu vcNsPe1GraO/1hj+DYj+gzSHLKe0ZC9wpAaQl2bokb+P0GteIy8+6LDSbT5pvUDMLhzPsaVGJPpI jmUXAvrs8TcPl+/76MA+0QmnOr6+72BG9QdIHr1g86x0WeIXhD3IJ1+KDdE3 `protect end_protected
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PSR is Port ( NZVC : in STD_LOGIC_VECTOR (3 downto 0); nCWP: in STD_LOGIC; CLK: in STD_LOGIC; rst: in STD_LOGIC; icc: out STD_LOGIC_VECTOR(3 downto 0); CWP: out STD_LOGIC; C : out STD_LOGIC); end PSR; architecture Behavioral of PSR is --signal PSRegister: std_logic_vector(4 downto 0):=(others=>'0'); begin process(NZVC,nCWP,CLK,rst) begin if rst='1' then --PSRegister<=(others=>'0'); C<='0'; CWP<='0'; icc<=(others=>'0'); elsif rising_edge(CLK) then --if not(NZVC="1111") then --PSRegister(4 downto 1)<=NZVC; --end if; --PSRegister(0)<=nCWP; --CWP<=PSRegister(0); CWP<=nCWP; --C<=PSRegister(1); C<=NZVC(0); --icc<=PSRegister(4 downto 1); icc<=NZVC; end if; end process; end Behavioral;
architecture RTL of FIFO is begin process begin SIMPLE_LABEL : x := z; a := b; CONDITIONAL_LABEL : x := z when b = 0 else y; x := z when b = 0 else y; SELECTED_LABEL : with some_expression select a := b when z = 1; with some_expression select a := b when z = 1; end process; end architecture; -- Violations below architecture RTL of FIFO is begin process begin a := b; a := b; x := z when b = 0 else y; x := z when b = 0 else y; with some_expression select a := b when z = 1; with some_expression select a := b when z = 1; end process; end architecture;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: testmem_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY testmem_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(5 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC ); END testmem_exdes; ARCHITECTURE xilinx OF testmem_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT testmem IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(5 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : testmem PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity test_sound2 is generic( ADDR_WIDTH: integer := 4 ); port( addr: in std_logic_vector(ADDR_WIDTH - 1 downto 0); data: out std_logic_vector(5 downto 0) ); end test_sound2; architecture content of test_sound2 is type tune is array(0 to 2 ** ADDR_WIDTH - 1) of std_logic_vector(5 downto 0); constant TEST: tune := ( "111001", "001001", "110001", "001001", "101001", "001001", "100001", "001001", "011001", "001001", "010001", "001001", "001001", "001001", "000000", "000000" ); begin data <= TEST(conv_integer(addr)); end content;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias4: electrical; terminal gnd: electrical; terminal vdd: electrical; terminal vbias1: electrical; terminal vbias2: electrical; terminal vbias3: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; begin subnet0_subnet0_m1 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in1, S => net6 ); subnet0_subnet0_m2 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in2, S => net6 ); subnet0_subnet0_m3 : entity nmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias4, S => gnd ); subnet0_subnet0_m4 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net7, G => in1, S => net6 ); subnet0_subnet0_m5 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net7, G => in2, S => net6 ); subnet0_subnet0_m6 : entity pmos(behave) generic map( L => Lcmdiffp_0, W => Wcmdiffp_0, scope => private ) port map( D => net7, G => net7, S => vdd ); subnet0_subnet0_m7 : entity pmos(behave) generic map( L => Lcmdiffp_0, W => Wcmdiffp_0, scope => private ) port map( D => net7, G => net7, S => vdd ); subnet0_subnet0_m8 : entity pmos(behave) generic map( L => Lcmdiffp_0, W => Wcmdiffp_0, scope => private ) port map( D => net1, G => net7, S => vdd ); subnet0_subnet0_m9 : entity pmos(behave) generic map( L => Lcmdiffp_0, W => Wcmdiffp_0, scope => private ) port map( D => net2, G => net7, S => vdd ); subnet0_subnet1_m1 : entity pmos(behave) generic map( L => Lsrc, W => Wsrc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => net1, S => vdd ); subnet0_subnet2_m1 : entity pmos(behave) generic map( L => Lsrc, W => Wsrc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net4, G => net2, S => vdd ); subnet0_subnet3_m1 : entity nmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net3, G => net3, S => gnd ); subnet0_subnet3_m2 : entity nmos(behave) generic map( L => Lcm_3, W => Wcmcout_3, scope => private, symmetry_scope => sym_8 ) port map( D => net5, G => net3, S => gnd ); subnet0_subnet4_m1 : entity nmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net4, G => net4, S => gnd ); subnet0_subnet4_m2 : entity nmos(behave) generic map( L => Lcm_3, W => Wcmcout_3, scope => private, symmetry_scope => sym_8 ) port map( D => out1, G => net4, S => gnd ); subnet0_subnet5_m1 : entity pmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net5, G => net5, S => vdd ); subnet0_subnet5_m2 : entity pmos(behave) generic map( L => Lcm_1, W => Wcmout_1, scope => private ) port map( D => out1, G => net5, S => vdd ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net8 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net8, G => vbias4, S => gnd ); end simple;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; entity GPIO is generic (BitWidth: integer); port ( IO_sel: in std_logic; IO: inout std_logic_vector (BitWidth-1 downto 0); WrtData: in std_logic_vector (BitWidth-1 downto 0); RdData: out std_logic_vector (BitWidth-1 downto 0) ); end GPIO; architecture behavioral of GPIO is begin process(IO_sel, IO, WrtData)begin if IO_sel = '0' then IO <= (others => 'Z'); RdData <= IO; else IO <= WrtData; end if; end process; end behavioral;
-------------------------------------------------------------------------------------------------- -- Multi-channel FIR Filter Testbench -------------------------------------------------------------------------------------------------- -- Matthew Dallmeyer - [email protected] -------------------------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.tb_clockgen_pkg.all; use work.tb_read_csv_pkg.all; use work.dsp_pkg.all; use work.tb_write_csv_pkg.all; use work.multichannel_fir_filter_pkg.all; --This module is a test-bench for simulating the multichannel fir filter entity tb_multichannel_fir_filter is end tb_multichannel_fir_filter; -------------------------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------------------------- architecture sim of tb_multichannel_fir_filter is constant INPUT_FILE1 : string := "X:\Education\Masters Thesis\matlab\multichannel\chirp_s2f.csv"; constant TEST_FILTER1 : coefficient_array := LOW_PASS_101; constant OUTPUT_FILE1 : string := "X:\Education\Masters Thesis\matlab\multichannel\chirp_lowpass101.csv"; constant INPUT_FILE2 : string := "X:\Education\Masters Thesis\matlab\multichannel\chirp_f2s.csv"; constant TEST_FILTER2 : coefficient_array := HIGH_PASS_101; constant OUTPUT_FILE2 : string := "X:\Education\Masters Thesis\matlab\multichannel\chirp_highpass101.csv"; signal rst : std_logic := '0'; signal clk : std_logic := '0'; signal clk_2x : std_logic := '0'; signal sig1 : std_logic_vector(NUM_SIG_BITS-1 downto 0) := (others => '0'); signal sig2 : std_logic_vector(NUM_SIG_BITS-1 downto 0) := (others => '0'); signal filtered1 : fir_sig := (others => '0'); signal filtered2 : fir_sig := (others => '0'); begin --Instantiate clock generator clk1 : tb_clockgen generic map(PERIOD => 10ns, DUTY_CYCLE => 0.50) port map( clk => clk); --Instantiate 2x clock generator clk2 : tb_clockgen generic map(PERIOD => 5ns, DUTY_CYCLE => 0.50) port map( clk => clk_2x); --Instantiate file reader reader1 : tb_read_csv generic map(FILENAME => INPUT_FILE1) port map( clk => clk, data => sig1); --Instantiate file reader reader2 : tb_read_csv generic map(FILENAME => INPUT_FILE2) port map( clk => clk, data => sig2); --Instantiate unit under test uut : entity work.multichannel_fir_filter(behave) generic map(h0 => TEST_FILTER1, h1 => TEST_FILTER2) port map( clk => clk, clk_2x => clk_2x, rst => rst, x1 => signed(sig1), x2 => signed(sig2), y1 => filtered1, y2 => filtered2); --Instantiate a file writer writer1 : tb_write_csv generic map(FILENAME => OUTPUT_FILE1) port map( clk => clk, data => std_logic_vector(filtered1(30 downto 15))); --Instantiate a file writer writer2 : tb_write_csv generic map(FILENAME => OUTPUT_FILE2) port map( clk => clk, data => std_logic_vector(filtered2(30 downto 15))); --Main Process --TODO: Add a check for end of file, once reached terminate simulation. main: process begin rst <= '1'; wait for 12ns; rst <= '0'; wait; end process; end sim;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:42:42 03/05/2014 -- Design Name: -- Module Name: C:/Users/fafik/Dropbox/infa/xilinx/ethernet/rx_test.vhd -- Project Name: ethernet -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SMI_RXTX -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY rx_test IS END rx_test; ARCHITECTURE behavior OF rx_test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SMI_RXTX PORT( data_in : IN std_logic_vector(15 downto 0); data_out : OUT std_logic_vector(15 downto 0); phy_addr : IN std_logic_vector(4 downto 0); reg_addr : IN std_logic_vector(4 downto 0); write_read : IN std_logic; strt : IN std_logic; busy : OUT std_logic; reset : IN std_logic; clk : IN std_logic; clk_div : IN std_logic; MDC : OUT std_logic; MDIO : INOUT std_logic ); END COMPONENT; --Inputs signal data_in : std_logic_vector(15 downto 0) := (others => '0'); signal phy_addr : std_logic_vector(4 downto 0) := (others => '0'); signal reg_addr : std_logic_vector(4 downto 0) := (others => '0'); signal write_read : std_logic := '0'; signal strt : std_logic := '0'; signal reset : std_logic := '0'; signal clk : std_logic := '0'; signal clk_div : std_logic := '0'; --BiDirs signal MDIO : std_logic; --Outputs signal data_out : std_logic_vector(15 downto 0); signal busy : std_logic; signal MDC : std_logic; -- Clock period definitions constant clk_period : time := 40 ns; constant clk_div_period : time := 400 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: SMI_RXTX PORT MAP ( data_in => data_in, data_out => data_out, phy_addr => phy_addr, reg_addr => reg_addr, write_read => write_read, strt => strt, busy => busy, reset => reset, clk => clk, clk_div => clk_div, MDC => MDC, MDIO => MDIO ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; clk_div_process :process begin clk_div <= '0'; wait for clk_div_period/2; clk_div <= '1'; wait for clk_div_period/2; end process; -- write_read <= '1' after 35 ns; -- phy_addr <= "11001" after 35 ns; -- reg_addr <= "11001" after 35 ns; -- data_in <= "1111000011110000" after 35 ns; -- strt <= '1' after 67 ns, '0' after 107 ns; write_read <= '0' after 35 ns; phy_addr <= "11001" after 35 ns; reg_addr <= "11001" after 35 ns; strt <= '1' after 67 ns, '0' after 107 ns; MDIO <= 'Z', '1' after 19710 ns, '0' after 21310 ns, '1' after 22910 ns, '0' after 24510 ns, 'Z' after 26110 ns; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait; end process; END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:09:11 09/11/2011 -- Design Name: -- Module Name: deconcat3b - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity deconcat3b is Port ( sig : in STD_LOGIC_VECTOR (2 downto 0); A2 : out STD_LOGIC; A1 : out STD_LOGIC; A0 : out STD_LOGIC); end deconcat3b; architecture Behavioral of deconcat3b is begin A0 <= sig(0); A1 <= sig(1); A2 <= sig(2); end Behavioral;
------------------------------------------------------------------------------ -- The MIT License (MIT) -- -- Copyright (c) <2013> <Shimafuji Electric Inc., Osaka University, JAXA> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity SpaceWireCODECIPTimeCodeControl is port ( clock : in std_logic; reset : in std_logic; receiveClock : in std_logic; gotTimeCode : in std_logic; receiveTimeCodeOut : in std_logic_vector(7 downto 0); timeOut : out std_logic_vector(5 downto 0); controlFlagsOut : out std_logic_vector(1 downto 0); tickOut : out std_logic ); end SpaceWireCODECIPTimeCodeControl; architecture Behavioral of SpaceWireCODECIPTimeCodeControl is component SpaceWireCODECIPSynchronizeOnePulse is port ( clock : in std_logic; asynchronousClock : in std_logic; reset : in std_logic; asynchronousIn : in std_logic; synchronizedOut : out std_logic ); end component; signal iReceiveTimeCodeOutRegister : std_logic_vector (7 downto 0); signal iControlFlags : std_logic_vector(1 downto 0); signal iReceiveTimeCode : std_logic_vector(5 downto 0); signal iReceiveTimeCodePlus1 : std_logic_vector(5 downto 0); signal iTickOutSignal : std_logic; signal gotTimeCodeSynchronized : std_logic; begin timeOut <= iReceiveTimeCode; controlFlagsOut <= iControlFlags; tickOut <= iTickOutSignal; ---------------------------------------------------------------------- -- ECSS-E-ST-50-12C 8.12 System time distribution (normative) -- ECSS-E-ST-50-12C 7.3 Control characters and control codes -- The new time should be one more than the time-counter's previous -- time-value. ---------------------------------------------------------------------- process (clock, reset) begin if (reset = '1') then iReceiveTimeCode <= (others => '0'); iReceiveTimeCodePlus1 <= "000001"; iTickOutSignal <= '0'; iControlFlags <= "00"; iReceiveTimeCodeOutRegister <= (others => '0'); else if (clock'event and clock = '1') then if (gotTimeCodeSynchronized = '1') then iControlFlags <= iReceiveTimeCodeOutRegister (7 downto 6); iReceiveTimeCode <= iReceiveTimeCodeOutRegister (5 downto 0); iReceiveTimeCodePlus1 <= iReceiveTimeCodeOutRegister (5 downto 0) + 1; if (iReceiveTimeCodePlus1 = iReceiveTimeCodeOutRegister (5 downto 0)) then iTickOutSignal <= '1'; end if; else iTickOutSignal <= '0'; end if; iReceiveTimeCodeOutRegister <= receiveTimeCodeOut; end if; end if; end process; timeCodePulse : SpaceWireCODECIPSynchronizeOnePulse port map ( clock => clock, asynchronousClock => receiveClock, reset => reset, asynchronousIn => gotTimeCode, synchronizedOut => gotTimeCodeSynchronized ); end Behavioral;
library ieee; --library vunit_lib; --context vunit_lib.vunit_context; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_counter is generic (runner_cfg : string); end tb_counter; architecture arch_tb_counter of tb_counter is component counter is port ( key0: in std_logic; key3: in std_logic; counter_out: out std_logic_vector(3 downto 0) ); end component; signal key0, key3: std_logic; signal counter_out: std_logic_vector(3 downto 0); function trigger_rising() return std_logic_vector is begin key0 <= '0'; wait for 1 ns; key0 <= '1'; wait for 1 ns; end; begin uut: counter port map( key0 => key0, key3 => key3, counter_out => counter_out ); main: process begin test_runner_setup(runner, runner_cfg); for j in 0 to 8 loop trigger_rising(); check_match(counter_out, (std_logic_vector(to_unsigned(j + 1, 4)))); end loop; check_match(counter_out, ()))) test_runner_cleanup(runner); -- Simulation ends here end process; end arch_tb_counter ; -- arch_tb_counter
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1609.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p04n01i01609ent IS END c08s11b00x00p04n01i01609ent; ARCHITECTURE c08s11b00x00p04n01i01609arch OF c08s11b00x00p04n01i01609ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN L : for i in 1 to 10 loop exit L when 1.0; k := i; end loop; assert FALSE report "***FAILED TEST: c08s11b00x00p04n01i01609 - The condition in an exit statement must be of boolean type" severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p04n01i01609arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1609.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p04n01i01609ent IS END c08s11b00x00p04n01i01609ent; ARCHITECTURE c08s11b00x00p04n01i01609arch OF c08s11b00x00p04n01i01609ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN L : for i in 1 to 10 loop exit L when 1.0; k := i; end loop; assert FALSE report "***FAILED TEST: c08s11b00x00p04n01i01609 - The condition in an exit statement must be of boolean type" severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p04n01i01609arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1609.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p04n01i01609ent IS END c08s11b00x00p04n01i01609ent; ARCHITECTURE c08s11b00x00p04n01i01609arch OF c08s11b00x00p04n01i01609ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN L : for i in 1 to 10 loop exit L when 1.0; k := i; end loop; assert FALSE report "***FAILED TEST: c08s11b00x00p04n01i01609 - The condition in an exit statement must be of boolean type" severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p04n01i01609arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity hex2seg is Port ( clk : in STD_LOGIC; -- Clock of the display (30-100Hz?) en : in STD_LOGIC; hex : in STD_LOGIC_VECTOR (3 downto 0); -- HEX number you want to display 0-F seg : out STD_LOGIC_VECTOR (6 downto 0)); -- 7-Segment output, bit0=segment a, .. ,bit 6=segment g. Output is modulated with clock! end hex2seg; architecture Behavioral of hex2seg is signal segments : STD_LOGIC_VECTOR(6 downto 0); -- '1' for every segment that should be switched on (not modulated) signal clockvec : STD_LOGIC_VECTOR(6 downto 0); -- just "clk" on every bit of the vector begin clockvec <= (others => clk); -- "Copy" clock to every bit of the vector -- Switch on the hex char, and decide which segments should be on with hex select segments <= "0111111" when "0000", --Ziffer 0 "0000110" when "0001", --Ziffer 1 "1011011" when "0010", --Ziffer 2 "1001111" when "0011", --Ziffer 3 "1100110" when "0100", --Ziffer 4 "1101101" when "0101", --Ziffer 5 "1111101" when "0110", --Ziffer 6 "0000111" when "0111", --Ziffer 7 "1111111" when "1000", --Ziffer 8 "1101111" when "1001", --Ziffer 9 "1110111" when "1010", --Ziffer A "1111100" when "1011", --Ziffer B "0111001" when "1100", --Ziffer C "1011110" when "1101", --Ziffer D "1111001" when "1110", --Ziffer E "1110001" when "1111", --Ziffer F "0000000" when others; -- Assign seg (modulated). -- All segments which must be on, will have the inverse polarity of the clock. The others go with the clock. We can use xor for that. seg <= clockvec xor segments when en = '1' else clockvec; end Behavioral;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: String related functions and types -- -- Description: -- ------------------------------------ -- For detailed documentation see below. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.math_real.all; library PoC; use PoC.config.all; use PoC.utils.all; --use PoC.FileIO.all; package strings is -- default fill and string termination character for fixed size strings -- =========================================================================== constant C_POC_NUL : CHARACTER := ite((SYNTHESIS_TOOL /= SYNTHESIS_TOOL_ALTERA_QUARTUS2), NUL, '`'); -- character 0 causes Quartus to crash, if uses to pad STRINGs -- characters < 32 (control characters) are not supported in Quartus -- characters > 127 are not supported in VHDL files (strict ASCII files) -- character 255 craches ISE log window (created by 'CHARACTER'val(255)') -- Type declarations -- =========================================================================== subtype T_RAWCHAR is STD_LOGIC_VECTOR(7 downto 0); type T_RAWSTRING is array (NATURAL range <>) of T_RAWCHAR; -- testing area: -- =========================================================================== function to_IPStyle(str : STRING) return T_IPSTYLE; -- to_char function to_char(value : STD_LOGIC) return CHARACTER; function to_char(value : NATURAL) return CHARACTER; function to_char(rawchar : T_RAWCHAR) return CHARACTER; -- chr_is* function function chr_isDigit(chr : character) return boolean; function chr_isLowerHexDigit(chr : character) return boolean; function chr_isUpperHexDigit(chr : character) return boolean; function chr_isHexDigit(chr : character) return boolean; function chr_isLower(chr : character) return boolean; function chr_isLowerAlpha(chr : character) return boolean; function chr_isUpper(chr : character) return boolean; function chr_isUpperAlpha(chr : character) return boolean; function chr_isAlpha(chr : character) return boolean; -- raw_format_* functions function raw_format_bool_bin(value : BOOLEAN) return STRING; function raw_format_bool_chr(value : BOOLEAN) return STRING; function raw_format_bool_str(value : BOOLEAN) return STRING; function raw_format_slv_bin(slv : STD_LOGIC_VECTOR) return STRING; function raw_format_slv_oct(slv : STD_LOGIC_VECTOR) return STRING; function raw_format_slv_dec(slv : STD_LOGIC_VECTOR) return STRING; function raw_format_slv_hex(slv : STD_LOGIC_VECTOR) return STRING; function raw_format_nat_bin(value : NATURAL) return STRING; function raw_format_nat_oct(value : NATURAL) return STRING; function raw_format_nat_dec(value : NATURAL) return STRING; function raw_format_nat_hex(value : NATURAL) return STRING; -- str_format_* functions function str_format(value : REAL; precision : NATURAL := 3) return STRING; -- to_string function to_string(value : BOOLEAN) return STRING; function to_string(value : INTEGER; base : POSITIVE := 10) return STRING; function to_string(slv : STD_LOGIC_VECTOR; format : CHARACTER; length : NATURAL := 0; fill : CHARACTER := '0') return STRING; function to_string(rawstring : T_RAWSTRING) return STRING; -- to_slv function to_slv(rawstring : T_RAWSTRING) return STD_LOGIC_VECTOR; -- to_digit* function to_digit_bin(chr : character) return integer; function to_digit_oct(chr : character) return integer; function to_digit_dec(chr : character) return integer; function to_digit_hex(chr : character) return integer; function to_digit(chr : character; base : character := 'd') return integer; -- to_natural* function to_natural_bin(str : STRING) return INTEGER; function to_natural_oct(str : STRING) return INTEGER; function to_natural_dec(str : STRING) return INTEGER; function to_natural_hex(str : STRING) return INTEGER; function to_natural(str : STRING; base : CHARACTER := 'd') return INTEGER; -- to_raw* function to_RawChar(char : character) return T_RAWCHAR; function to_RawString(str : string) return T_RAWSTRING; -- resize function resize(str : STRING; size : POSITIVE; FillChar : CHARACTER := C_POC_NUL) return STRING; -- function resize(rawstr : T_RAWSTRING; size : POSITIVE; FillChar : T_RAWCHAR := x"00") return T_RAWSTRING; -- Character functions function chr_toLower(chr : character) return character; function chr_toUpper(chr : character) return character; -- String functions function str_length(str : STRING) return NATURAL; function str_equal(str1 : STRING; str2 : STRING) return BOOLEAN; function str_match(str1 : STRING; str2 : STRING) return BOOLEAN; function str_imatch(str1 : STRING; str2 : STRING) return BOOLEAN; function str_pos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER; function str_pos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER; function str_ipos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER; function str_ipos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER; function str_find(str : STRING; chr : CHARACTER) return BOOLEAN; function str_find(str : STRING; pattern : STRING) return BOOLEAN; function str_ifind(str : STRING; chr : CHARACTER) return BOOLEAN; function str_ifind(str : STRING; pattern : STRING) return BOOLEAN; function str_replace(str : STRING; pattern : STRING; replace : STRING) return STRING; function str_substr(str : STRING; start : INTEGER := 0; length : INTEGER := 0) return STRING; function str_ltrim(str : STRING; char : CHARACTER := ' ') return STRING; function str_rtrim(str : STRING; char : CHARACTER := ' ') return STRING; function str_trim(str : STRING) return STRING; function str_toLower(str : STRING) return STRING; function str_toUpper(str : STRING) return STRING; end package; package body strings is -- function to_IPStyle(str : STRING) return T_IPSTYLE is begin for i in T_IPSTYLE'pos(T_IPSTYLE'low) to T_IPSTYLE'pos(T_IPSTYLE'high) loop if str_imatch(str, T_IPSTYLE'image(T_IPSTYLE'val(I))) then return T_IPSTYLE'val(i); end if; end loop; report "Unknown IPStyle: '" & str & "'" severity FAILURE; end function; -- to_char -- =========================================================================== function to_char(value : STD_LOGIC) return CHARACTER is begin case value IS when 'U' => return 'U'; when 'X' => return 'X'; when '0' => return '0'; when '1' => return '1'; when 'Z' => return 'Z'; when 'W' => return 'W'; when 'L' => return 'L'; when 'H' => return 'H'; when '-' => return '-'; when others => return 'X'; end case; end function; -- TODO: rename to to_HexDigit(..) ? function to_char(value : natural) return character is constant HEX : string := "0123456789ABCDEF"; begin return ite(value < 16, HEX(value+1), 'X'); end function; function to_char(rawchar : T_RAWCHAR) return CHARACTER is begin return CHARACTER'val(to_integer(unsigned(rawchar))); end function; -- chr_is* function function chr_isDigit(chr : character) return boolean is begin return (character'pos('0') <= character'pos(chr)) and (character'pos(chr) <= character'pos('9')); end function; function chr_isLowerHexDigit(chr : character) return boolean is begin return (character'pos('a') <= character'pos(chr)) and (character'pos(chr) <= character'pos('f')); end function; function chr_isUpperHexDigit(chr : character) return boolean is begin return (character'pos('A') <= character'pos(chr)) and (character'pos(chr) <= character'pos('F')); end function; function chr_isHexDigit(chr : character) return boolean is begin return chr_isDigit(chr) or chr_isLowerHexDigit(chr) or chr_isUpperHexDigit(chr); end function; function chr_isLower(chr : character) return boolean is begin return chr_isLowerAlpha(chr); end function; function chr_isLowerAlpha(chr : character) return boolean is begin return (character'pos('a') <= character'pos(chr)) and (character'pos(chr) <= character'pos('z')); end function; function chr_isUpper(chr : character) return boolean is begin return chr_isUpperAlpha(chr); end function; function chr_isUpperAlpha(chr : character) return boolean is begin return (character'pos('A') <= character'pos(chr)) and (character'pos(chr) <= character'pos('Z')); end function; function chr_isAlpha(chr : character) return boolean is begin return chr_isLowerAlpha(chr) or chr_isUpperAlpha(chr); end function; -- raw_format_* functions -- =========================================================================== function raw_format_bool_bin(value : BOOLEAN) return STRING is begin return ite(value, "1", "0"); end function; function raw_format_bool_chr(value : BOOLEAN) return STRING is begin return ite(value, "T", "F"); end function; function raw_format_bool_str(value : BOOLEAN) return STRING is begin return str_toUpper(boolean'image(value)); end function; function raw_format_slv_bin(slv : STD_LOGIC_VECTOR) return STRING is variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0); variable Result : STRING(1 to slv'length); variable j : NATURAL; begin -- convert input slv to a downto ranged vector and normalize range to slv'low = 0 Value := movez(ite(slv'ascending, descend(slv), slv)); -- convert each bit to a character J := 0; for i in Result'reverse_range loop Result(i) := to_char(Value(j)); j := j + 1; end loop; return Result; end function; function raw_format_slv_oct(slv : STD_LOGIC_VECTOR) return STRING is variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0); variable Digit : STD_LOGIC_VECTOR(2 downto 0); variable Result : STRING(1 to div_ceil(slv'length, 3)); variable j : NATURAL; begin -- convert input slv to a downto ranged vector; normalize range to slv'low = 0 and resize it to a multiple of 3 Value := resize(movez(ite(slv'ascending, descend(slv), slv)), (Result'length * 3)); -- convert 3 bit to a character j := 0; for i in Result'reverse_range loop Digit := Value((j * 3) + 2 downto (j * 3)); Result(i) := to_char(to_integer(unsigned(Digit))); j := j + 1; end loop; return Result; end function; function raw_format_slv_dec(slv : STD_LOGIC_VECTOR) return STRING is variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0); variable Result : STRING(1 to div_ceil(slv'length, 3)); subtype TT_BCD is INTEGER range 0 to 31; type TT_BCD_VECTOR is array(natural range <>) of TT_BCD; variable Temp : TT_BCD_VECTOR(div_ceil(slv'length, 3) - 1 downto 0); variable Carry : T_UINT_8; variable Pos : NATURAL; begin Temp := (others => 0); Pos := 0; -- convert input slv to a downto ranged vector Value := ite(slv'ascending, descend(slv), slv); for i in Value'range loop Carry := to_int(Value(i)); for j in Temp'reverse_range loop Temp(j) := Temp(j) * 2 + Carry; Carry := to_int(Temp(j) > 9); Temp(j) := Temp(j) - to_int((Temp(j) > 9), 0, 10); end loop; end loop; for i in Result'range loop Result(i) := to_char(Temp(Temp'high - i + 1)); if ((Result(i) /= '0') and (Pos = 0)) then Pos := i; end if; end loop; -- trim leading zeros, except the last return Result(imin(Pos, Result'high) to Result'high); end function; function raw_format_slv_hex(slv : STD_LOGIC_VECTOR) return STRING is variable Value : STD_LOGIC_VECTOR(4*div_ceil(slv'length, 4) - 1 downto 0); variable Digit : STD_LOGIC_VECTOR(3 downto 0); variable Result : STRING(1 to div_ceil(slv'length, 4)); variable j : NATURAL; begin Value := resize(slv, Value'length); j := 0; for i in Result'reverse_range loop Digit := Value((j * 4) + 3 downto (j * 4)); Result(i) := to_char(to_integer(unsigned(Digit))); j := j + 1; end loop; return Result; end function; function raw_format_nat_bin(value : NATURAL) return STRING is begin return raw_format_slv_bin(to_slv(value, log2ceilnz(value+1))); end function; function raw_format_nat_oct(value : NATURAL) return STRING is begin return raw_format_slv_oct(to_slv(value, log2ceilnz(value+1))); end function; function raw_format_nat_dec(value : NATURAL) return STRING is begin return INTEGER'image(value); end function; function raw_format_nat_hex(value : NATURAL) return STRING is begin return raw_format_slv_hex(to_slv(value, log2ceilnz(value+1))); end function; -- str_format_* functions -- =========================================================================== function str_format(value : REAL; precision : NATURAL := 3) return STRING is constant s : REAL := sign(value); constant val : REAL := value * s; constant int : INTEGER := integer(floor(val)); constant frac : INTEGER := integer(round((val - real(int)) * 10.0**precision)); constant frac_str : STRING := INTEGER'image(frac); constant res : STRING := INTEGER'image(int) & "." & (2 to (precision - frac_str'length + 1) => '0') & frac_str; begin return ite ((s < 0.0), "-" & res, res); end function; -- to_string -- =========================================================================== function to_string(value : boolean) return string is begin return raw_format_bool_str(value); end function; function to_string(value : INTEGER; base : POSITIVE := 10) return STRING is constant absValue : NATURAL := abs(value); constant len : POSITIVE := log10ceilnz(absValue); variable power : POSITIVE; variable Result : STRING(1 TO len); begin power := 1; if (base = 10) then return INTEGER'image(value); else for i in len downto 1 loop Result(i) := to_char(absValue / power MOD base); power := power * base; end loop; if (value < 0) then return '-' & Result; else return Result; end if; end if; end function; -- TODO: rename to slv_format(..) ? function to_string(slv : STD_LOGIC_VECTOR; format : CHARACTER; length : NATURAL := 0; fill : CHARACTER := '0') return STRING is constant int : INTEGER := ite((slv'length <= 31), to_integer(unsigned(resize(slv, 31))), 0); constant str : STRING := INTEGER'image(int); constant bin_len : POSITIVE := slv'length; constant dec_len : POSITIVE := str'length;--log10ceilnz(int); constant hex_len : POSITIVE := ite(((bin_len MOD 4) = 0), (bin_len / 4), (bin_len / 4) + 1); constant len : NATURAL := ite((format = 'b'), bin_len, ite((format = 'd'), dec_len, ite((format = 'h'), hex_len, 0))); variable j : NATURAL; variable Result : STRING(1 to ite((length = 0), len, imax(len, length))); begin j := 0; Result := (others => fill); if (format = 'b') then for i in Result'reverse_range loop Result(i) := to_char(slv(j)); j := j + 1; end loop; elsif (format = 'd') then -- if (slv'length < 32) then -- return INTEGER'image(int); -- else -- return raw_format_slv_dec(slv); -- end if; Result(Result'length - str'length + 1 to Result'high) := str; elsif (format = 'h') then for i in Result'reverse_range loop Result(i) := to_char(to_integer(unsigned(slv((j * 4) + 3 downto (j * 4))))); j := j + 1; end loop; else report "unknown format" severity FAILURE; end if; return Result; end function; function to_string(rawstring : T_RAWSTRING) return STRING is variable str : STRING(1 to rawstring'length); begin for i in rawstring'low to rawstring'high loop str(I - rawstring'low + 1) := to_char(rawstring(I)); end loop; return str; end function; -- to_slv -- =========================================================================== function to_slv(rawstring : T_RAWSTRING) return STD_LOGIC_VECTOR is variable result : STD_LOGIC_VECTOR((rawstring'length * 8) - 1 downto 0); begin for i in rawstring'range loop result(((i - rawstring'low) * 8) + 7 downto (i - rawstring'low) * 8) := rawstring(i); end loop; return result; end function; -- to_* -- =========================================================================== function to_digit_bin(chr : character) return integer is begin case chr is when '0' => return 0; when '1' => return 1; when others => return -1; end case; end function; function to_digit_oct(chr : character) return integer is variable dec : integer; begin dec := to_digit_dec(chr); return ite((dec < 8), dec, -1); end function; function to_digit_dec(chr : character) return integer is begin if chr_isDigit(chr) then return character'pos(chr) - character'pos('0'); else return -1; end if; end function; function to_digit_hex(chr : character) return integer is begin if chr_isDigit(chr) then return character'pos(chr) - character'pos('0'); elsif chr_isLowerHexDigit(chr) then return character'pos(chr) - character'pos('a') + 10; elsif chr_isUpperHexDigit(chr) then return character'pos(chr) - character'pos('A') + 10; else return -1; end if; end function; function to_digit(chr : character; base : character := 'd') return integer is begin case base is when 'b' => return to_digit_bin(chr); when 'o' => return to_digit_oct(chr); when 'd' => return to_digit_dec(chr); when 'h' => return to_digit_hex(chr); when others => report "Unknown base character: " & base & "." severity failure; -- return statement is explicitly missing otherwise XST won't stop end case; end function; function to_natural_bin(str : STRING) return INTEGER is variable Result : NATURAL; variable Digit : INTEGER; begin for i in str'range loop Digit := to_digit_bin(str(I)); if (Digit /= -1) then Result := Result * 2 + Digit; else return -1; end if; end loop; return Result; end function; function to_natural_oct(str : STRING) return INTEGER is variable Result : NATURAL; variable Digit : INTEGER; begin for i in str'range loop Digit := to_digit_oct(str(I)); if (Digit /= -1) then Result := Result * 8 + Digit; else return -1; end if; end loop; return Result; end function; function to_natural_dec(str : STRING) return INTEGER is variable Result : NATURAL; variable Digit : INTEGER; begin for i in str'range loop Digit := to_digit_dec(str(I)); if (Digit /= -1) then Result := Result * 10 + Digit; else return -1; end if; end loop; return Result; -- return INTEGER'value(str); -- 'value(...) is not supported by Vivado Synth 2014.1 end function; function to_natural_hex(str : STRING) return INTEGER is variable Result : NATURAL; variable Digit : INTEGER; begin for i in str'range loop Digit := to_digit_hex(str(I)); if (Digit /= -1) then Result := Result * 16 + Digit; else return -1; end if; end loop; return Result; end function; function to_natural(str : STRING; base : CHARACTER := 'd') return INTEGER is begin case base is when 'b' => return to_natural_bin(str); when 'o' => return to_natural_oct(str); when 'd' => return to_natural_dec(str); when 'h' => return to_natural_hex(str); when others => report "unknown base" severity ERROR; end case; end function; -- to_raw* -- =========================================================================== function to_RawChar(char : character) return t_rawchar is begin return std_logic_vector(to_unsigned(character'pos(char), t_rawchar'length)); end function; function to_RawString(str : STRING) return T_RAWSTRING is variable rawstr : T_RAWSTRING(0 to str'length - 1); begin for i in str'low to str'high loop rawstr(i - str'low) := to_RawChar(str(i)); end loop; return rawstr; end function; -- resize -- =========================================================================== function resize(str : STRING; size : POSITIVE; FillChar : CHARACTER := C_POC_NUL) return STRING is constant ConstNUL : STRING(1 to 1) := (others => C_POC_NUL); variable Result : STRING(1 to size); begin Result := (others => FillChar); if (str'length > 0) then -- workaround for Quartus II Result(1 to imin(size, imax(1, str'length))) := ite((str'length > 0), str(1 to imin(size, str'length)), ConstNUL); end if; return Result; end function; -- function resize(str : T_RAWSTRING; size : POSITIVE; FillChar : T_RAWCHAR := x"00") return T_RAWSTRING is -- constant ConstNUL : T_RAWSTRING(1 to 1) := (others => x"00"); -- variable Result : T_RAWSTRING(1 to size); -- function ifthenelse(cond : BOOLEAN; value1 : T_RAWSTRING; value2 : T_RAWSTRING) return T_RAWSTRING is -- begin -- if cond then -- return value1; -- else -- return value2; -- end if; -- end function; -- begin -- Result := (others => FillChar); -- if (str'length > 0) then -- Result(1 to imin(size, imax(1, str'length))) := ifthenelse((str'length > 0), str(1 to imin(size, str'length)), ConstNUL); -- end if; -- return Result; -- end function; -- Character functions -- =========================================================================== function chr_toLower(chr : character) return character is begin if chr_isUpperAlpha(chr) then return character'val(character'pos(chr) - character'pos('A') + character'pos('a')); else return chr; end if; end function; function chr_toUpper(chr : character) return character is begin if chr_isLowerAlpha(chr) then return character'val(character'pos(chr) - character'pos('a') + character'pos('A')); else return chr; end if; end function; -- String functions -- =========================================================================== function str_length(str : STRING) return NATURAL is begin for i in str'range loop if (str(i) = C_POC_NUL) then return i - str'low; end if; end loop; return str'length; end function; function str_equal(str1 : STRING; str2 : STRING) return BOOLEAN is begin if str1'length /= str2'length then return FALSE; else return (str1 = str2); end if; end function; function str_match(str1 : STRING; str2 : STRING) return BOOLEAN is constant len : NATURAL := imin(str1'length, str2'length); begin -- if both strings are empty if ((str1'length = 0 ) and (str2'length = 0)) then return TRUE; end if; -- compare char by char for i in str1'low to str1'low + len - 1 loop if (str1(i) /= str2(str2'low + (i - str1'low))) then return FALSE; elsif ((str1(i) = C_POC_NUL) xor (str2(str2'low + (i - str1'low)) = C_POC_NUL)) then return FALSE; elsif ((str1(i) = C_POC_NUL) and (str2(str2'low + (i - str1'low)) = C_POC_NUL)) then return TRUE; end if; end loop; -- check special cases, return (((str1'length = len) and (str2'length = len)) or -- both strings are fully consumed and equal ((str1'length > len) and (str1(str1'low + len) = C_POC_NUL)) or -- str1 is longer, but str_length equals len ((str2'length > len) and (str2(str2'low + len) = C_POC_NUL))); -- str2 is longer, but str_length equals len end function; function str_imatch(str1 : STRING; str2 : STRING) return BOOLEAN is begin return str_match(str_toLower(str1), str_toLower(str2)); end function; function str_pos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER is begin for i in imax(str'low, start) to str'high loop exit when (str(i) = C_POC_NUL); if (str(i) = chr) then return i; end if; end loop; return -1; end function; function str_pos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER is begin for i in imax(str'low, start) to (str'high - pattern'length + 1) loop exit when (str(i) = C_POC_NUL); if (str(i to i + pattern'length - 1) = pattern) then return i; end if; end loop; return -1; end function; function str_ipos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER is begin return str_pos(str_toLower(str), chr_toLower(chr)); end function; function str_ipos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER is begin return str_pos(str_toLower(str), str_toLower(pattern)); end function; -- function str_pos(str1 : STRING; str2 : STRING) return INTEGER is -- variable PrefixTable : T_INTVEC(0 to str2'length); -- variable j : INTEGER; -- begin -- -- construct prefix table for KMP algorithm -- j := -1; -- PrefixTable(0) := -1; -- for i in str2'range loop -- while ((j >= 0) and str2(j + 1) /= str2(i)) loop -- j := PrefixTable(j); -- end loop; -- -- j := j + 1; -- PrefixTable(i - 1) := j + 1; -- end loop; -- -- -- search pattern str2 in text str1 -- j := 0; -- for i in str1'range loop -- while ((j >= 0) and str1(i) /= str2(j + 1)) loop -- j := PrefixTable(j); -- end loop; -- -- j := j + 1; -- if ((j + 1) = str2'high) then -- return i - str2'length + 1; -- end if; -- end loop; -- -- return -1; -- end function; function str_find(str : STRING; chr : CHARACTER) return boolean is begin return (str_pos(str, chr) > 0); end function; function str_find(str : STRING; pattern : STRING) return boolean is begin return (str_pos(str, pattern) > 0); end function; function str_ifind(str : STRING; chr : CHARACTER) return boolean is begin return (str_ipos(str, chr) > 0); end function; function str_ifind(str : STRING; pattern : STRING) return boolean is begin return (str_ipos(str, pattern) > 0); end function; function str_replace(str : STRING; pattern : STRING; replace : STRING) return STRING is variable pos : INTEGER; begin pos := str_pos(str, pattern); if (pos > 0) then if (pos = 1) then return replace & str(pattern'length + 1 to str'length); elsif (pos = str'length - pattern'length + 1) then return str(1 to str'length - pattern'length) & replace; else return str(1 to pos - 1) & replace & str(pos + pattern'length to str'length); end if; else return str; end if; end function; -- examples: -- 123456789ABC -- input string: "Hello World." -- low=1; high=12; length=12 -- -- str_substr("Hello World.", 0, 0) => "Hello World." - copy all -- str_substr("Hello World.", 7, 0) => "World." - copy from pos 7 to end of string -- str_substr("Hello World.", 7, 5) => "World" - copy from pos 7 for 5 characters -- str_substr("Hello World.", 0, -7) => "Hello World." - copy all until character 8 from right boundary function str_substr(str : STRING; start : INTEGER := 0; length : INTEGER := 0) return STRING is variable StartOfString : positive; variable EndOfString : positive; begin if (start < 0) then -- start is negative -> start substring at right string boundary StartOfString := str'high + start + 1; elsif (start = 0) then -- start is zero -> start substring at left string boundary StartOfString := str'low; else -- start is positive -> start substring at left string boundary + offset StartOfString := start; end if; if (length < 0) then -- length is negative -> end substring at length'th character before right string boundary EndOfString := str'high + length; elsif (length = 0) then -- length is zero -> end substring at right string boundary EndOfString := str'high; else -- length is positive -> end substring at StartOfString + length EndOfString := StartOfString + length - 1; end if; if (StartOfString < str'low) then report "StartOfString is out of str's range. (str=" & str & ")" severity error; end if; if (EndOfString < str'high) then report "EndOfString is out of str's range. (str=" & str & ")" severity error; end if; return str(StartOfString to EndOfString); end function; function str_ltrim(str : STRING; char : CHARACTER := ' ') return STRING is begin for i in str'range loop if (str(i) /= char) then return str(i to str'high); end if; end loop; return ""; end function; function str_rtrim(str : STRING; char : CHARACTER := ' ') return STRING is begin for i in str'reverse_range loop if (str(i) /= char) then return str(str'low to i); end if; end loop; return ""; end function; function str_trim(str : STRING) return STRING is begin return str(str'low to str'low + str_length(str) - 1); end function; function str_toLower(str : STRING) return STRING is variable temp : STRING(str'range); begin for i in str'range loop temp(I) := chr_toLower(str(I)); end loop; return temp; end function; function str_toUpper(str : STRING) return STRING is variable temp : STRING(str'range); begin for i in str'range loop temp(I) := chr_toUpper(str(I)); end loop; return temp; end function; end package body;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ent is port ( sgn : signed(7 downto 0) := x"f8"; uns : unsigned(7 downto 0) := x"07"; nat : natural := 15; int : integer := -3; mul_int_int : out integer; mul_uns_uns : out unsigned(15 downto 0); mul_uns_nat : out unsigned(15 downto 0); mul_nat_uns : out unsigned(15 downto 0); mul_sgn_sgn : out signed(15 downto 0); mul_sgn_int : out signed(15 downto 0); mul_int_sgn : out signed(15 downto 0); div_int_int : out integer; div_uns_uns : out unsigned(7 downto 0); div_uns_nat : out unsigned(7 downto 0); div_nat_uns : out unsigned(7 downto 0); div_sgn_sgn : out signed(7 downto 0); div_sgn_int : out signed(7 downto 0); div_int_sgn : out signed(7 downto 0); rem_int_int : out integer; rem_uns_uns : out unsigned(7 downto 0); rem_uns_nat : out unsigned(7 downto 0); rem_nat_uns : out unsigned(7 downto 0); rem_sgn_sgn : out signed(7 downto 0); rem_sgn_int : out signed(7 downto 0); rem_int_sgn : out signed(7 downto 0); mod_int_int : out integer; mod_uns_uns : out unsigned(7 downto 0); mod_uns_nat : out unsigned(7 downto 0); mod_nat_uns : out unsigned(7 downto 0); mod_sgn_sgn : out signed(7 downto 0); mod_sgn_int : out signed(7 downto 0); mod_int_sgn : out signed(7 downto 0) ); end; architecture a of ent is begin mul_int_int <= int * int; mul_uns_uns <= uns * uns; mul_uns_nat <= uns * nat; mul_nat_uns <= nat * uns; mul_sgn_sgn <= sgn * sgn; mul_sgn_int <= sgn * int; mul_int_sgn <= int * sgn; div_int_int <= int / int; div_uns_uns <= uns / uns; div_uns_nat <= uns / nat; div_nat_uns <= nat / uns; div_sgn_sgn <= sgn / sgn; div_sgn_int <= sgn / int; div_int_sgn <= int / sgn; rem_int_int <= int rem int; rem_uns_uns <= uns rem uns; rem_uns_nat <= uns rem nat; rem_nat_uns <= nat rem uns; rem_sgn_sgn <= sgn rem sgn; rem_sgn_int <= sgn rem int; rem_int_sgn <= int rem sgn; mod_int_int <= int mod int; mod_uns_uns <= uns mod uns; mod_uns_nat <= uns mod nat; mod_nat_uns <= nat mod uns; mod_sgn_sgn <= sgn mod sgn; mod_sgn_int <= sgn mod int; mod_int_sgn <= int mod sgn; end;
------------------------------------------------------------------------------ -- ZIPPY global architecture declarations -- -- Project : -- File : zarchPkg.vhd -- Authors : Rolf Enzler <[email protected]> -- Christian Plessl <[email protected]> -- Company : Swiss Federal Institute of Technology (ETH) Zurich -- Created : 2002/06/28 -- Last changed: $LastChangedDate: 2005-04-07 11:17:51 +0200 (Thu, 07 Apr 2005) $ ------------------------------------------------------------------------------ -- The zippy architecture is widely parameterized. The parameters that define -- the architecture are declared in this package, e.g. data-width, size of -- array, number of contexts, FIFO sizes etc. ------------------------------------------------------------------------------- -- Changes: -- 2004-10-08 CP added documentation ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.archConfigPkg.all; use work.AuxPkg.all; package ZArchPkg is -- The user configurable architecture parameter have been moved to -- archConfigPkg constant IFWIDTH : integer := 32; -- interface width constant CCNTWIDTH : integer := IFWIDTH; -- cycle counter width constant PARTWIDTH : integer := IFWIDTH; -- cfg. partition width constant N_CELLINPS : integer := 3; -- no. of inputs of a cell constant N_LOCALCON : integer := 8; -- no. local interconnect inputs ----------------------------------------------------------------------------- -- FIXME: N_IOP must be set to 2, not fully configurable, since FIFO -- instantiation and decoder are hardcoded. ----------------------------------------------------------------------------- constant N_IOP : integer := 2; -- no. of input/output ports constant SIW_WRDWIDTH : integer := IFWIDTH; -- sched. instr. word width constant SIW_CONWIDTH : integer := CNTXTWIDTH; -- context field width constant SIW_CYCWIDTH : integer := 20; -- cycle field width constant SIW_ADRWIDTH : integer := 7; -- next addr. field width -- native data type of the zippy architecture, all data operations occur on -- signals of this data type subtype data_word is std_logic_vector(DATAWIDTH-1 downto 0); type data_vector is array (natural range<>) of data_word; constant SL0 : std_logic := '0'; -- '0' constant in std_logic type constant SL1 : std_logic := '1'; -- '1' constant in std_logic type ---------------------------------------------------------------------------- -- ZUnit Register Mapping and Functions: -- 0 Reset W -- 1 FIFO0 R/W -- 2 FIFO0 Level R -- 3 FIFO1 R/W -- 4 FIFO1 Level R -- 5 Run Cycle Counter R/W -- 6 CfgMemory0 W -- 7 CfgMemory0 Pointer W -- 8 CfgMemory1 W -- 9 CfgMemory1 Pointer W -- 10 CfgMemory2 W -- 11 CfgMemory2 Pointer W -- 12 CfgMemory3 W -- 13 CfgMemory3 Pointer W -- 14 CfgMemory4 W -- 15 CfgMemory4 Pointer W -- 16 CfgMemory5 W -- 17 CfgMemory5 Pointer W -- 18 CfgMemory6 W -- 19 CfgMemory6 Pointer W -- 20 CfgMemory7 W -- 21 CfgMemory7 Pointer W -- 22 Context SelReg wo/ clear W -- 23 Context SelReg w/ clear W -- 50 VirtualizationContextNo W -- set number of virtualization contexts (used by TemporalPartitioning -- scheduler) -- 51 ContextSchedulerSelect W -- select which context scheduler type is used -- 125 Context Schedule Start W -- 126 Context Schedule Status R -- 127 Context Schedule Program W -- 128 "" -- ... "" -- 134 "" ---------------------------------------------------------------------------- constant ZREG_RST : integer := 0; constant ZREG_FIFO0 : integer := 1; constant ZREG_FIFO0LEV : integer := 2; constant ZREG_FIFO1 : integer := 3; constant ZREG_FIFO1LEV : integer := 4; constant ZREG_CYCLECNT : integer := 5; constant ZREG_CFGMEM0 : integer := 6; constant ZREG_CFGMEM0PTR : integer := 7; constant ZREG_CFGMEM1 : integer := 8; constant ZREG_CFGMEM1PTR : integer := 9; constant ZREG_CFGMEM2 : integer := 10; constant ZREG_CFGMEM2PTR : integer := 11; constant ZREG_CFGMEM3 : integer := 12; constant ZREG_CFGMEM3PTR : integer := 13; constant ZREG_CFGMEM4 : integer := 14; constant ZREG_CFGMEM4PTR : integer := 15; constant ZREG_CFGMEM5 : integer := 16; constant ZREG_CFGMEM5PTR : integer := 17; constant ZREG_CFGMEM6 : integer := 18; constant ZREG_CFGMEM6PTR : integer := 19; constant ZREG_CFGMEM7 : integer := 20; constant ZREG_CFGMEM7PTR : integer := 21; constant ZREG_CONTEXTSEL : integer := 22; constant ZREG_CONTEXTSELCLR : integer := 23; -- set number of contexts, used for cyclic context activation with -- temporal partitioning. constant ZREG_VIRTCONTEXTNO : integer := 50; constant ZREG_CONTEXTSCHEDSEL : integer := 51; -- 0: context sequencer and cycle counter -- 1: temporal paritioning scheduler constant ZREG_SCHEDSTART : integer := 125; -- data: 0 store, 1 clr (context) constant ZREG_SCHEDSTATUS : integer := 126; constant ZREG_SCHEDIWORD00 : integer := 127; constant ZREG_SCHEDIWORD01 : integer := 128; constant ZREG_SCHEDIWORD02 : integer := 129; constant ZREG_SCHEDIWORD03 : integer := 130; constant ZREG_SCHEDIWORD04 : integer := 131; constant ZREG_SCHEDIWORD05 : integer := 132; constant ZREG_SCHEDIWORD06 : integer := 133; constant ZREG_SCHEDIWORD07 : integer := 134; -- (8 will do for the moment ...) -- tstbitat0(a,b) out = ~0 if all 1bits of 'b' are 0 in word 'a', else 0 -- tstbitat1(a,b) out = ~0 if all 1bits of 'b' are 1 in word 'a' else 0 -- mux(a,b,c) out = 'a' if 'c(0)=0', else 'b' -- rom(a) out = romdata[a] constant ALUOPWIDTH : integer := 6; -- need to know for configuration subtype aluop_type is integer range 0 to 2**ALUOPWIDTH-1; -- FIXME: the following instructions are unnecessary -- ALU_PASS1 -- ALU_NEG1 (even NEG0, since can be implemeted as const(0)-input -- ALU_SUBU -- ALU_ADDU -- ALU_OP_MULTULO -- ALU_OP_MULTUHI -- ALU_NOT1 (even NOT0, since can be implemented as xor with 111..1) constant ALU_OP_PASS0 : aluop_type := 0; constant ALU_OP_PASS1 : aluop_type := 1; constant ALU_OP_NEG0 : aluop_type := 2; constant ALU_OP_NEG1 : aluop_type := 3; constant ALU_OP_ADD : aluop_type := 4; constant ALU_OP_SUB : aluop_type := 5; constant ALU_OP_ADDU : aluop_type := 6; constant ALU_OP_SUBU : aluop_type := 7; constant ALU_OP_MULTHI : aluop_type := 8; constant ALU_OP_MULTLO : aluop_type := 9; constant ALU_OP_MULTUHI : aluop_type := 10; constant ALU_OP_MULTULO : aluop_type := 11; constant ALU_OP_AND : aluop_type := 12; constant ALU_OP_NAND : aluop_type := 13; constant ALU_OP_OR : aluop_type := 14; constant ALU_OP_NOR : aluop_type := 15; constant ALU_OP_XOR : aluop_type := 16; constant ALU_OP_XNOR : aluop_type := 17; constant ALU_OP_NOT0 : aluop_type := 18; constant ALU_OP_NOT1 : aluop_type := 19; constant ALU_OP_SLL : aluop_type := 20; constant ALU_OP_SRL : aluop_type := 21; constant ALU_OP_ROL : aluop_type := 22; constant ALU_OP_ROR : aluop_type := 23; constant ALU_OP_TSTBITAT0 : aluop_type := 24; constant ALU_OP_TSTBITAT1 : aluop_type := 25; constant ALU_OP_MUX : aluop_type := 26; constant ALU_OP_ROM : aluop_type := 27; constant ALU_OP_EQ : aluop_type := 28; constant ALU_OP_NEQ : aluop_type := 29; constant ALU_OP_LT : aluop_type := 30; constant ALU_OP_GT : aluop_type := 31; constant ALU_OP_LTE : aluop_type := 32; constant ALU_OP_GTE : aluop_type := 33; type opcodename_array is array (0 to 33) of string(1 to 9); constant opcode_name : opcodename_array := ( 0 => "pass0 ", 1 => "pass1 ", 2 => "neg0 ", 3 => "neg1 ", 4 => "add ", 5 => "sub ", 6 => "addu ", 7 => "subu ", 8 => "multhi ", 9 => "multlo ", 10 => "multuhi ", 11 => "multulo ", 12 => "and ", 13 => "nand ", 14 => "or ", 15 => "nor ", 16 => "xor ", 17 => "xnor ", 18 => "not0 ", 19 => "not1 ", 20 => "sll ", 21 => "srl ", 22 => "rol ", 23 => "ror ", 24 => "tstbitat0", 25 => "tstbitat1", 26 => "mux ", 27 => "rom ", 28 => "eq ", 29 => "neq ", 30 => "lt ", 31 => "gt ", 32 => "lte ", 33 => "gte " ); ---------------------------------------------------------------------------- -- Configuration ---------------------------------------------------------------------------- type procInputMuxArray is array (N_CELLINPS-1 downto 0) of std_logic_vector(2 downto 0); type procInputCtxRegSelectArray is array (N_CELLINPS-1 downto 0) of std_logic_vector(CNTXTWIDTH-1 downto 0); subtype procOutputMux is std_logic_vector(1 downto 0); subtype procOutputCtxRegSelect is std_logic_vector(CNTXTWIDTH-1 downto 0); -- FIXME find better names for record elements. -- for instance -- InputMuxS instead of OpMuxS -- InputContextRegxS instead of OpCtxRegSelxS -- etc. type procConfigRec is record OpMuxS : procInputMuxArray; OpCtxRegSelxS : procInputCtxRegSelectArray; OutMuxS : procOutputMux; OutCtxRegSelxS : procOutputCtxRegSelect; AluOpxS : aluop_type; ConstOpxD : data_word; end record; type cellInputRec is record LocalxDI : data_vector(N_LOCALCON-1 downto 0); HBusNxDI : data_vector(N_HBUSN-1 downto 0); HBusSxDI : data_vector(N_HBUSS-1 downto 0); VBusExDI : data_vector(N_VBUSE-1 downto 0); end record; type cellOutputRec is record LocalxDO : data_word; HBusNxDZ : data_vector(N_HBUSN-1 downto 0); HBusSxDZ : data_vector(N_HBUSS-1 downto 0); VBusExDZ : data_vector(N_VBUSE-1 downto 0); end record; type cellRoutingInputConfigRec is record LocalxE : std_logic_vector(N_LOCALCON-1 downto 0); HBusNxE : std_logic_vector(N_HBUSN-1 downto 0); HBusSxE : std_logic_vector(N_HBUSS-1 downto 0); VBusExE : std_logic_vector(N_VBUSE-1 downto 0); end record; type cellRoutingInputConfigRecArr is array (N_CELLINPS-1 downto 0) of cellRoutingInputConfigRec; type cellRoutingOutputConfigRec is record HBusNxE : std_logic_vector(N_HBUSN-1 downto 0); HBusSxE : std_logic_vector(N_HBUSS-1 downto 0); VBusExE : std_logic_vector(N_VBUSE-1 downto 0); end record; type routConfigRec is record i : cellRoutingInputConfigRecArr; -- one cfg per input o : cellRoutingOutputConfigRec; end record; type cellConfigRec is record procConf : procConfigRec; routConf : routConfigRec; end record; type procelInputArray is array (N_CELLINPS-1 downto 0) of data_word; type engineInoutDataType is array (N_IOP-1 downto 0) of data_word; type engineHBusNorthArray is array (N_ROWS-1 downto 0) of data_vector(N_HBUSN-1 downto 0); type engineHBusSouthArray is array (N_ROWS-1 downto 0) of data_vector(N_HBUSS-1 downto 0); type engineVBusEastArray is array (N_COLS-1 downto 0) of data_vector(N_VBUSE-1 downto 0); -- hbdr(row)(hbus_n nr) enables driving input bus to horizontal -- north bus type HBusNorthDriverArray is array (N_ROWS-1 downto 0) of std_logic_vector(N_HBUSN-1 downto 0); type engineHBusNorthInputDriverArray is array (N_IOP-1 downto 0) of HBusNorthDriverArray; type engineHBusNorthOutputDriverArray is array (N_IOP-1 downto 0) of HBusNorthDriverArray; type rowConfigArray is array (N_COLS-1 downto 0) of cellConfigRec; type rowInputArray is array (N_COLS-1 downto 0) of cellInputRec; type rowOutputArray is array (N_COLS-1 downto 0) of cellOutputRec; type gridConfigArray is array (N_ROWS-1 downto 0) of rowConfigArray; type gridInputArray is array (N_ROWS-1 downto 0) of rowInputArray; type gridOutputArray is array (N_ROWS-1 downto 0) of rowOutputArray; type ioportConfigRec is record Cmp0MuxS : std_logic; Cmp0ModusxS : std_logic; Cmp0ConstxD : std_logic_vector(CCNTWIDTH-1 downto 0); Cmp1MuxS : std_logic; Cmp1ModusxS : std_logic; Cmp1ConstxD : std_logic_vector(CCNTWIDTH-1 downto 0); LUT4FunctxD : std_logic_vector(15 downto 0); end record; type engineInportConfigArray is array (N_IOP-1 downto 0) of ioportConfigRec; type engineOutportConfigArray is array (N_IOP-1 downto 0) of ioportConfigRec; type engineMemoryConfigArray is array (N_ROWS-1 downto 0) of data_vector(N_MEMDEPTH-1 downto 0); ------------------------------------------------------------------------------- -- HELPER constants and functions for configuration specification ------------------------------------------------------------------------------- -- convert a (singed) integer to a std_logic_vector, for specification of the -- constant operator ConstOpxD in the configuration file function i2cfgconst (i : integer) return data_word; -- convert an unsigned integer to a std_logic_vector, for -- specification of the input operand context registerselection -- OpCtxRegSelxS function i2ctx (i : natural) return std_logic_vector; -- select which cycle counter to use for comparison constant CFG_IOPORT_MUX_CYCLEUP : std_logic := '0'; -- cycle up counter constant CFG_IOPORT_MUX_CYCLEDOWN : std_logic := '1'; -- cycle down counter -- select comparison mode constant CFG_IOPORT_MODUS_LARGER : std_logic := '0'; -- test larger constant CFG_IOPORT_MODUS_EQUAL : std_logic := '1'; -- test equality -- select LUT function constant CFG_IOPORT_CMP1 : std_logic_vector(15 downto 0) := X"FF00"; constant CFG_IOPORT_CMP0 : std_logic_vector(15 downto 0) := X"F0F0"; constant CFG_IOPORT_ON : std_logic_vector(15 downto 0) := X"FFFF"; constant CFG_IOPORT_OFF : std_logic_vector(15 downto 0) := X"0000"; -- constants for selecting local inputs from neighbors constant LOCAL_N : natural := 0; constant LOCAL_NE : natural := 1; constant LOCAL_E : natural := 2; constant LOCAL_SE : natural := 3; constant LOCAL_S : natural := 4; constant LOCAL_SW : natural := 5; constant LOCAL_W : natural := 6; constant LOCAL_NW : natural := 7; -- cell input / output configuration constant I_NOREG : std_logic_vector(2 downto 0) := "000"; constant I_CONST : std_logic_vector(2 downto 0) := "010"; constant I_REG : std_logic_vector(2 downto 0) := "001"; constant I_REG_CTX_THIS : std_logic_vector(2 downto 0) := "001"; constant I_REG_CTX_OTHER : std_logic_vector(2 downto 0) := "011"; constant I_REG_FEEDBACK : std_logic_vector(2 downto 0) := "100"; constant O_NOREG : std_logic_vector(1 downto 0) := "00"; constant O_REG : std_logic_vector(1 downto 0) := "01"; constant O_REG_CTX_THIS : std_logic_vector(1 downto 0) := "01"; constant O_REG_CTX_OTHER : std_logic_vector(1 downto 0) := "11"; type engineConfigRec is record gridConf : gridConfigArray; inputDriverConf : engineHBusNorthInputDriverArray; outputDriverConf : engineHBusNorthOutputDriverArray; inportConf : engineInportConfigArray; outportConf : engineOutportConfigArray; memoryConf : engineMemoryConfigArray; end record; ----------------------------------------------------------------------------- -- Context Scheduler ----------------------------------------------------------------------------- type EngineScheduleControlType is record CExE : std_logic; ClrContextxS : std_logic_vector(CNTXTWIDTH-1 downto 0); ClrContextxE : std_logic; ContextxS : std_logic_vector(CNTXTWIDTH-1 downto 0); CycleDnCntxD : std_logic_vector(CCNTWIDTH-1 downto 0); CycleUpCntxD : std_logic_vector(CCNTWIDTH-1 downto 0); end record; ---------------------------------------------------------------------------- -- Subprograms ---------------------------------------------------------------------------- -- determines the length of a procConfig record function procConfig_length return integer; -- determines the length of a routConfig record function routConfig_length return integer; -- determines the length of a cellConfig record function cellConfig_length return integer; -- determines the length of a rowConfig array function rowConfig_length return integer; -- determines the length of a gridConfig array function gridConfig_length return integer; -- determines the length of a InputDriverConf array function inputDriverConfig_length return integer; -- determines the length of a OutputDriverConf array function outputDriverConfig_length return integer; -- determines the length of a InportConfig array function inportConfig_length return integer; -- determines the length of a InportConfig array function outportConfig_length return integer; -- determines the length of an ioportConfig record function ioportConfig_length return integer; -- determines the lenth of a MemoryConfig arrya function memoryConfig_length return integer; -- determines the length of an engineConfig record function engineConfig_length return integer; -- calculates the no. of partitions the configuration is partitioned into. function num_partitions (cfglen : integer; partwidth : integer) return integer; end ZArchPkg; package body ZArchPkg is function i2cfgconst (i : integer) return data_word is variable CfgxD : data_word; begin CfgxD := std_logic_vector(to_signed(i, CfgxD'length)); return CfgxD; end function i2cfgconst; function i2ctx (i : natural) return std_logic_vector is variable CtxxD : std_logic_vector(CNTXTWIDTH-1 downto 0); begin CtxxD := std_logic_vector(to_unsigned(i, CtxxD'length)); return CtxxD; end function i2ctx; -- determines the length of a procConfig record function procConfig_length return integer is variable Cfg : procConfigRec; begin return (Cfg.OpMuxS'length*Cfg.OpMuxS(0)'length + Cfg.OpCtxRegSelxS'length*Cfg.OpCtxRegSelxS(0)'length + Cfg.OutMuxS'length + Cfg.OutCtxRegSelxS'length + ALUOPWIDTH + Cfg.ConstOpxD'length); end procConfig_length; -- determines the length of a routConfig record function routConfig_length return integer is variable Cfg : routConfigRec; begin return (Cfg.i'length * (Cfg.i(0).LocalxE'length + Cfg.i(0).HBusNxE'length + Cfg.i(0).HBusSxE'length + Cfg.i(0).VBusExE'length) + Cfg.o.HBusNxE'length + Cfg.o.HBusSxE'length + Cfg.o.VBusExE'length); end routConfig_length; -- determines the length of a cellConfig record function cellConfig_length return integer is begin return (procConfig_length + routConfig_length); end cellConfig_length; -- determines the length of a rowConfig array function rowConfig_length return integer is begin return (N_COLS * cellConfig_length); end rowConfig_length; -- determines the length of a gridConfig array function gridConfig_length return integer is begin return (N_ROWS * rowConfig_length); end gridConfig_length; -- determines the length of an ioportConfig record function ioportConfig_length return integer is variable Cfg : ioportConfigRec; begin return (4 + Cfg.Cmp0ConstxD'length + Cfg.Cmp1ConstxD'length + Cfg.LUT4FunctxD'length); end ioportConfig_length; -- determines the length of a inputDriverConf array function inputDriverConfig_length return integer is begin return (N_IOP * N_ROWS * N_HBUSN); end inputDriverConfig_length; -- determines the length of a outputDriverConf array function outputDriverConfig_length return integer is begin return (N_IOP * N_ROWS * N_HBUSN); end outputDriverConfig_length; -- determines the length of a inportConfig array function inportConfig_length return integer is begin return (N_IOP * ioportConfig_length); end inportConfig_length; -- determines the length of a inportConfig array function outportConfig_length return integer is begin return (N_IOP * ioportConfig_length); end outportConfig_length; -- determines the length of an engine memory config array function memoryConfig_length return integer is variable arr : engineMemoryConfigArray; begin return (arr'length*arr(0)'length*DATAWIDTH); end memoryConfig_length; -- determines the length of an engineConfig record function engineConfig_length return integer is variable Cfg : engineConfigRec; begin return (gridConfig_length + inputDriverConfig_length + outputDriverConfig_length + inportConfig_length + outportConfig_length + memoryConfig_length ); end engineConfig_length; -- calculates the no. of partitions the configuration is partitioned into. function num_partitions (cfglen : integer; partwidth : integer) return integer is begin return (cfglen-1)/partwidth+1; end num_partitions; end ZArchPkg;
entity test is package a is new b generic map(c => foo'subtype range 0 to 2); end;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6.2 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_wrapper.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY blk_mem_gen_inputMem_top IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(16 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(16 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END blk_mem_gen_inputMem_top; ARCHITECTURE xilinx OF blk_mem_gen_inputMem_top IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT blk_mem_gen_inputMem IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(16 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(16 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : blk_mem_gen_inputMem PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016 -- Date : Sat Jan 21 17:58:34 2017 -- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS -- Command : write_vhdl -force -mode funcsim -- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/mul16_16_sim_netlist.vhdl -- Design : mul16_16 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xcku035-fbva676-3-e -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA I7rHN/CieA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5 Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo OP1PSFj5jpodG+LwXm4= `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF /kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3 251QPjQoZCw3A7W9PDc= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4 udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7 rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61 /ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2 hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg== `protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50 ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block Piu4NefJNGR7BhOid2LCW677jYDe4ctZ2ZzAWO0kGya79Q1cnkSW277IeH6iHKs9LZrwI9A3vQ0/ UAWg5A/lqbSa+vuakSJQNoQcBx2VvjyBdc8qJaGuCHAQZuHZf6MXFHv95SmAfwJO0bMI8a03CaKX rHWvJmj2yOpYgSwTfM2RU9VryY+2rrSZ17i4uO3GTydIeWlq1xmUKgcrY29tf5r32X32D/YZvAh5 i6g3pzSLb6p2CrM2s3O/R+PSWYBlfLXBzrJyIV00r8JoEYgWNTBnzKedC5tAJfyiPAcnbHjXcTrR ddBhf/ug5KpRxwXP6lqKyP1d1zYNv40dOvV6Vw== `protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block r5oDScj7M5K33b4acfMTEHWj2SCG2aLv7RL6tslfcusMINY0wLkp8XRoFAe7V9RhxvGyluqTP9EK nPcaEOs3Pz+5sTpCYtiq0TcTu+uGwm/UVrmRPk+n4bjPAUbh+imbshV3H4BKZEZu9caBXAa9vmhb mCC7QUbhnh+mk8Uyaw0zS39PI4otMCBP1kRYwP4zh908jxagXZLh/x0l4ECm435TiIbi9d1fkQ9h plgDWewx+QgfN/AXsqtdLeQcytxSoeh/pQAzHdX4plvhTAma55yIlyRFSJ6LI0uPKn7O2P+AHbx2 nH2sb2iyGN3w23EG7fbn5RtzpwsXdJENgkItcQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 433968) `protect data_block 0YfBvcWi1IOuEfz4upS9C5WD9BA4lVaSnm/VF7RnjfbV3AKdSWkSxf+IDZKBeYOXIf2wvZR/VC4/ WFcpHJAW8XBzGqalhuiRDlCMPHA2iQM/xqUGvtCqXM+NwnHc99qTpg5UIPesJciVNPlDDLg4mW5Y buCvWkBoeeA8fPggcXYZjRiHKjYFnGZEdq9/lUUr/T3IQnpBqVKOTTbkwSAVflbcF+DThQqTK66H w4mT36irCI/ilIYIs84BjirRY8jVsPsPGNQ11hGSbu2wvb+Iu/acqlcsPAdahT8E55h9o0ZZ7Tf6 16pMubvyqiaQwO+3ORM+vj3Y0UkPkXbFnhMMWlG1RkXoN/0dsfAJRbT22qZALjKa6vcPXRmyy364 lojtZ9CxRDPa+m+Gm/py+ozTX7Nf2OmDTrugejDLOeoPRDzylpjsKDkBFeaRwhlb3LIvdy6S1I70 ozKRFsVWM1F6GZBq/qcvl/wfUjVcEh82MtY+PbLbqa7WWczP6OXDT0OEDI2tqfg7FLx9zxga8MCY tO/Ih08ZWenOCwkUTRrICmcE+2qjgb0kGgqJC2MNDaaIJPyVkobzEB+8ock/TOh0pn2DHA7LyDla Jb7quGVjtvhxv4qpKb67wd6l7nSPzotrsG7zJmpHcjN/ziLsH+wl/YyY6GkK1OGBpzA51g2ad2Uq 4rqcFQFHawzrtbkr3ijTrTeKAtPpnZsYXOwP+8YMSeAnVsYuBiI5bqJI/fcKF4PRZ/ehnJ9J/mSy Ku1vjtkQqZzCl6KzSSfSAIuqZvEb7wkR1kFlfvyyifiG5Zblo5tLxG6G1wBrhBt2Fe6BA9OngDfJ 9J/VFcTv88GksnQ7pgViVsY9hYaI742puBlsl19SFk75yPBIqBE/uCQRxS+jcbKUcErFlQgtxgmz f8tIGF7VD56JAR3+Eq5ozV+3mK0Ot3AvR0lQev51NQOMsRCjUPgF4xL+CMtJiBvjDtGBKdJBG3gT jaC6QKJI9hyn6RVk+OScasb1MUX2WA5i1T2rCO3GvSlZyXXRU1K+zjzruGjt4Uzn6bFTBN8CaAgA PKouFjOypLPObtahSKilNFnpJk25X5NzxuC33tQwLmqNJKcsIvBLDmw23sKFaOMYX0vxRUkrm0Ge mgf6W4xtcoPO5ZO99wXZ7PZJhZ46XODVJDstKE6ez8IeIMblHTPnyDd/8AwvqBT2pHFtrpc63YW4 f5BZYE4d8RRFFG1+BwWnGV2XrRtE62dHatUw0sACoxn8f+Dkqi8ICe5BydzbiFfYNvp0ozb76yjM rh1Pr0xgDvZWcA2MLZ7S0FjkC/nW98zsB7ufqfQV1zgN0XuFlsCETfsSQ3vBbpvKOdV70Fj49sq6 1EQVRL4IOSZ7cRnLkdDpayfZfveRiFSuJrWvJ8EaTm8XWBczpVQ/s3mjbaGcEWCtYv4nFPGNIDJj Xx/KOHmJD1mjAVBGaZn9ATORQyKUBROBPBltjuisZVKhP9qG5JvHEJf6tX2BhjEvkPA2X9WdQPQy BIfDZ6yRCLQ5VWIQskL8YEtIHnpsy7mj99ZWUH4scNjMwfk6URuwko/PXvIyJVuw3yMSNOWqNban eQWvvloycCq1CmPdSVo7EzPt7cztW5yDSD9qIKVlG8E04Y/ZWfJiAePExKVzatdVBphNs+Htuocs bUwICN162PJlWXTjTc3zYlEt+zLK/yj7CUaTEMx66Lqy3/2jws2jVMMf2siRsKKnxn+36vyAMu2u 4MaMY31+aqKx+UNtUdWpB94ZLoul7vO/Ljf66+AWEM3/0o7ahWIjgJpJW+WxZqTp0Sa8Mp4t8Mr7 CsDepLP8iI8LQr9v0uveg5u5hhXBh0Za4jNHbrk9VLiCopJe5CXO2YOW8owuILKffx4Jad7cTOir Yaj3nQ4opfNn6ozf+JbIxRFUMS3MbRi2DkEJXbaogIQIP23/Pw+C4QoTeWFm1j6mVO5Gl0Sj+hwG iwyBbeIpCeRs/9y/jZmtoFEr9d+Rq/eln1n1c16HR0ThTm20nEWOPSsptccjF4xHhf0+arQDCc2+ aYSlBhjq+CtYZ2YfPx4lGymwGu7japnunY+5A4qRpq4U2+r7z22WBu9jD0feOfBHzIYVd8NtPHHG c9DhDmCgka8Opwpky+qv7cz3BP70lfCZYpWnWsiC9AIEqxzyQvsHBlK2ueHGu00gCNNb3qxlP+Uu DOv7U2CpI9bUyw76xApVx8yBU78JPIacTbuk9Mawxq0yKCoe2wurF1Horh+BVm9N8o7XNTYN5VPw nL4p6U03GkSRF8+2v+ckEAlpf42nilnYE/QuKmXTk36nLa/+46lskGHDHbVMm94t2O6qVoZ3dubW K4bc/A5Zz7RVXtTzwjj6pfl1Pd7vafz6AeHxND18k5hGCDuipLV/lPTIC+OdM1ycpm/NsR1lRto+ VMu979C2/4mmSncMQZL05iF7VMbdywk+tfOU3G6yi9Tj9VmHxvh4xBdfjhLo/Y7TSUGCzFg4rAj8 v6X5oDREHJHSfXYKHcr2dzkATJNLwKsyKtCmB3sLVEJxcUkNPvEsoH5K68/A2TrfmPEtnUPLGxUg CUS21UXmNAVgkkmkea4Gj7JdHhswMfnBHv1J953XJQjXIAs5yJrnAoRpoQpQ3Nz6sChxbPSrnKqC ZsJbAfM0QfVfsY0UlRSXd1f990zD0QRDgsTZ3UGkH/6jqnbEB0l4OAwgfYa3fr/OfQ04BbArks1L 4/vw2IRdPRDVogx3BynSy66eVqt+LAAD2ZTk7meuIjh4r43IGt/xfvfzc/bHQvD8S/oYVtTBrfjN ruzEiKqnR3oIWAq7IEU7wy/R2GPVfVoGiUraV1tevaohrWcln0WdUpFi8etTWKfF8sQW++ac1XW0 sGuZV8OooP2fLeXY/LoAKpJF+Lwy3gLQJsMcy2zAVxHXI43PZFwa7Z3eHlT9/10jfJ1T5NyXcEvL 3XhoMrQj29gyJtPVmVmU1yxTBUpLQlulPOXDXeQABUyenvLP72+OR73EtGWKGw+4eWfxAO3h+AFa kI8dZqqvckVNCWYpCDcVcKPLZuI/zDwhlVluzKmv8P4s2AwEkkMkXCPV3T+jY5TBdNYSALN9VEXU do5fNItno0Kvq9tA8VEksJ3ag3959ySJO37ign7yKSvLuT7nbhSV/LgtNYMIQV7yO0Q62RYzIACm NG+s5oAID5NZdbonl+I/h8w3fg6Q7feDIvHbYZOblNLpRvSun8SfMMy45s1xCjkGDKn6S6DnNRY6 bqLzWCYezKMXvTJ5walFUVQM3A88EfimwxRo+F3V1rrM58yVii7ufwIqq1DqD89G/3ekpPC7qWPY 8YPoXfj19H65d7sB10TAIJdfwJP4B//FWw7WpF5o4CwTJvfAkUum+SPFq0vo7/lMlD9AgFTrlW43 3W+kZ9HBeQXNEcfvRMfwgigw1AZn5Es0Y1+fGoMLHCu2XZ/zkcF8yvRrZXpjyktw7kZKhRL464dD RNIFbhPbsNrL7wV4lUjSRu0WsCOW1fo6+nsuEN0EQCdK2/w0WwRWly1ED1NqAJEtJ4i1IdDcoXRc rk4jB46j7Q+M28pqu+/typhr+5Obd/ougRWA0Rzm24z8djzao1bt/cF99C1hu2TizWlP2sOaOOpy 8qsV6ghBSXlLsdYrm+nx5XlgFCgsPl9gzZEFlNnFO1t+B81rh562hJsm/WqFt7CkHiaSq9ANQIZY BE/SN+5tMXT9CzxXSl/YD5jz88CiIn+cZC3WWohccTRfVwbXV0PgIDRFcvA8HXb5Gl/mqqQxYoNm 5zG2tywT5fcI/T9C5TQt2owa+WuudscL0ql53fMZghs1O+KndugnV0s1Xfza5a2vxtT7Y3UzvvTc v5OeY4sQuaCQmMuT4oQFEl7XoF7rV43NAgEmgCXI+/4nCB/GBnRLyJv8hta4D4a5s9xH01lDO6f9 QhOdLGmP5XqPnXOknEIfnEoUdor0Aag3yWIPV9kxla+Z3x/qOgtOJXGEnQPLbUj4D9e+uuORQQRP CvZ50S7xtoMtD350S+y4gWDTrT5YOQN8QQs9n1TkUR2Vw6JEH2+jN4oMka/ZNNNYeOuzppTmo+p3 cYk0zVRE3Rn23V5QYcBrmGwOKGuelEQ2EtqPbHXCNbmJbLce3qcEf8UrqwaYRBtcUYHTXY/AnSsp txBn6lZhJdUR4Nj1nf91/+3dczDyaMrpmR/1NVCYvmUch6bQcv2jmsKT33b2QqVoIpLNSGyLXxpQ YBODZFTNk9ilj1lXaark6H5B6zkLeD5R4CJLcyawlkzEz+PcE9DMdBVCXZqI9sy1SYESP34pqiqQ OIHSwmS6mVcw30AOamdP+Q5pi1swuhqvtegXxmZIh1wanKr+S4ybYFvvtM5u6dqEXwWBEGjHBFB3 wSh+kAK+iyKXGmEcR65QaHNE9wAkmxrOll362Gc5wXFOYe7TxRhY+l5gaf/mJbjyQfxrv6jh2Kb1 gN2EXPrEMbeHgyiO0KfKpb2fsNH9NMMh3ExsxwcLJLGVShjeZIUMCghBAl27FhSRzkKTLT4MC/72 qo84HTWC0HuGZYU3t8RnSXUdrVcTM8ThQZ5KadDirfKMwNxnija2998bO97+hELfjlCGtWkAvNC8 r+OshGfyRtIOKx8JGu23E/JIl2tKsSrm5QYVbBRLaBAbE5dh1sM96c5dCD6SEHtj9L35p7U0Oq6C H1782AqyF/UC+za3aOVfxdDKgCtfbvGkBqbofm++rnowJ2dd3rB7mmELznQqGFdFGIVexYvpPqSL 5gUvyDiDLFxP0MqgQiLthWaifb0ma7QaVvy6iYMH9r3FEAs0HmUWBnqQwDlEBNLQkK2ytI2tLGa2 pDGar9ldSMK4NYRe3NQc7uZyjxN9Wv65JQFA/3suwfiHbYqTftiZOANvgxPYn95lS0KkrvfvhESv A+AAgV2jgkTQfvxoH7614z28Lkg0pVAS3EeYojxJRnBTQqNHalluiDf0tFLh2mfujJhCPo7EitKF di5blgiu+WyFXAhx2EgMKsCuowHcOnv3w97oNTyP0788jLQBCC4+PTgvsbUL9yg0ZRVBOHvjS4BX 60lxHH5OxS9cMVrRmql5j/V4RmAbXULWfwmKOfBPZ8JwiLIf6VYhz4KSF8mF+1ryXePlWLwDHb3X VuiDsZRj30ac6IU863ehVVtn9yHZZLnxWKiSmKLeAHzebI6nzldHorZDPgZL/5sGHQ8N/FMuw2iI /timyRPDu1X8a9a52nrJ8snYH2v8fntmUXlVYQleTj8SRNBbZOBsxxwNXCD1Qp+5jI9dt9QemaUP fgotVmG2aRDF0xFSq4ouq30OszmyRud5TLpg45KZyMba8nZIT/rKDDruEDvMEks65oa/8jRhbh4a tLqEDRJqGu881FdAv12FrCHbqmF8n07ablJOVNNlXctC19qzirKTaz/nRRWioL68zr1FLrgmiywW /mtk2TMwQ1oWCwxHemZbGfnqIUmD0NBSHMnmLU/6y8Uz2cK2lfw0U9/vHg0L2zWezfKcyspLkI0J ThyiZTG6uuUItkeWgrkzLEDvK7yX2JvKS8P5T1LDuPkuIC46r99dNhIfnEH+QHu6YBuJDvJTnp8v uNS0KTra9dT/81rsdxYNfSxqo08OBE4M+grGz5bFKXE/napidCCi4W6siW0enCNeu7WSwFIDeVIw tOMUbiDWQis8LRXa5BFJdIsjV6ixiaOL84Nkuew7yOlmRVy7d4i7Hjvr1tTtWP2sRGYOX/mfoGHu 3EsrZG1MIXMV2Pyp8+mbUlZS9pPyo3zql/CROeVNcZSE5tAuA2yzkq/xGkE64MVlJgn9z1uSIV3T dC+KTnQucNhli+mfDLq3hCAZUgnCpVmjmbWuMq+FK+GvdTKjmIWsFgLboR7AWEY5gLq27iyiysyN LM+cg5XNqlf/1E1WhvACAlO2c0CbtIgNaSGiUe3JzBiNJr9VI+LvOgXuXq5pIwgLS3NDHjm5AQpO 2FELqnqZ/g6q7OlVmbGL1SgYC28Mi9yaPFmezCb7Ohn8HNC1BddQDW7oQRPgZ+kWrFRk/Bvf6MLu 2lrTra70+MWBNKvFHz5YB21/XLR05WvNAwjCUu+j1QAwiWiv6wVx/rQHJ3yxBeM5VpDhCdDm/h4d B/i1Ye3zSvS3RNJRAI/3hXVB0i/mfT+itvdXP5wI1XpBC8Lwcl54lHO+V2VrPZmIZ4HjVjPqElQR MnwX7f+2juSby+Thf1JxvrZdVcfKEqDObPks7t+mWtoJgTDaJvsDkEM/i1qVC7A4VETTQhmzwn82 Qyzp+y69KSUyIsflfqonqNIQToWuUUu4CylPh5l1AbhKqguZgZyuGnv7+NTdjakXynKDkqiBi6FT WhzMsXiK+coz9s+xRBQcxNczR8DHyInC2Ewc+cNt2Andk5kxsZNT4Zmn18YsbMKSae0k+Q4eg2LL 9L8Iqx2lLc1dRgGbNDGzKEugYzxvdcI9BSoLcbGYCypqu3IJJQSj/4DDqNxJ3RkCpKLV6s6bmmoL 7qIIeb7rDZHKPGcOoeD3wwJ19ai19+d32WMeoQICic5iPy3j/riVtU5NXLj5r3ORlZu4CageBJhN nQelArsCUF1DcxN1dScJs6PZQVMOp4oqV78BFFGB+C+tM5wN+CgkO2mADQetkN/cS6iyzPrF/X5R aHi6TXQrMfgWPYgKoP06Ifve8HMlJZPWiDUBqqfL3Xm81wKRhTiXuzEAIKHFBZti+RDXzo3ZoOMK e8nZ+efr/k8vsvFK5l4XKRrhGpzwEdHXcLq+YLrAYmNbHK+3UI2ouEffJOZz/fzn+LZWT8HJ52D1 NdjXNvdA7A0Po+qsvBGKHveL1VfkJQQvQPI2vu7kp+Z+vXOSGmLv171haICYh+7agaQLw3237bRl pju0ANDdVfxp0SRUwqus5myEy7h2mIBfUn2MKLNeR2NHXQ3sH4BNDf/BO9mvPvl/+KEXPTvrMmEU KBALM5L+aE0R11tLch20Upirsdhv7Yr+QBPiHPgNSgNgVsG/j27ibb6NWrPdladHa8Nas3I6DQNp RYAhHqWPPUKAKDSI0FFIBTdfDv12LiDsnvyESAPwssfnXFSGYAP1TjNPmtLhbsERJ6oCScQ7CKVi Wa+9LTEoU8+cecbxBfgRrPGcsh2ZhCCuUlB0+OaCnKTq5DQlS6SkVD7pFDPI90eN0wVwPd8BCroa 7hCukIi4AtJ/RYRQbW+97hk7RXlVxc/xfcnVCGIQToT9zdkoRFSPUZUAZgNrCouvJk0yhULtC1KX notF0E73yiibCU+cg0c8ObE3Bh9wU18u36SvKM1vvMYh41i+OovIkcGtrmzLFtj4R/X2Qfxcb2aH Heb0frDoAcbarVG93WrvWKVi8IgJ9On0CnWY1sY0LGlekYZul11o7b82bnqmfB9nCfFc/CiyIrmf 1Wjvz7bkE3crok6gUp7taxY3luiZ8p7OW5rmLKW45RTUOT0B76jC1Enyf/6PgKeuwSEgzi12k3ZC SYahoiSyk73CKhzZEaVSSYkdAq2hczIVz87KelhQ58oxiFuFglC6OAWnzLcqmi3Mv9r4S9xFjvnq W/TRE3hoHVM9FY3+g8i/dw4dczaajG9pSsoV/xSwO4rRkctuqEndyHn+8AybTsQ4GomQVFBggIOP z3DBwY5i/Gf3bDZsD8P7zh4TfgPUBeQe3FNGibpSzsh/9U6kCal6HApCVCiiRx+V1blAQ53+s9QO l70ZzNF+z/+sz6m1ukSiQtPUEiuyQbF88m7+qgYD+TAqhnf/qXNmamp72ZrQDMafMfORch0VZp4q Sb12Cx58Z9rOynuIg5Pf+dtDFCQ29Bnh5xdArBU1rdnjLFwoGhVASY9IJohf0xgsSOVNoqp840po Tvb6Kso1Yz1v11axTz0AXk0eTyfLzs5C6C6coEwWIPp4Gw5KHGHehj8tmXdKgrZqcUMysven9hcW EqLNWXNZDmSiv4s4LPGk5XcDNYCmT5OQMs2aJr6mNOrZqXYEff/tS6y8+ItaYRuhYv3Wbn14xl1l uRe2WbothSa5lV7oAIpWp2h4QRPT5BFURagkuK9Moc3ig8HrrZihSqJRrX+PvoSdGpbT/cqLgcDH b037Sw8uTcXe6qMuxwy+P4P+If/U8+uEmqevtUEp/xePvH+tgFJ2vcHdFjiDh+SBl204wa2c21Sy o2BPHXzdAleWgTN/3otCSgMw6WCjB3Ma305P5QUMK4CwxY5qTJ3txvE19DlPg6rHr+8fnaum2sId oYsmQNOG7mBX1fiUEkQNlxG74gLyTlyGAkUBU2409s8XwhwYT2UQyMNeDheS/f4j0QpKU6NU1gy0 qiZIXIUpxmvuDP0zeoVA8FAsPUjx0Gow/hIM3F61Vn4ywERbAx+UTF0kEQkSihjHbUxFTM5IbrdW NXlD+KSbr6K2DYMf7shhtaIJ92ipagXEv8vN+zhnytt41lyRlre8Pg7+XcoGXzScXj2gZj8S0z4S TJa0NHFdV7tz4bCS+Lsnqka0cjXr4S1QVc4ghgG0gK5jc47UiA/LygFcizr4pCw1BFJOluesROXB vfEaQ7n+2uoFcrBM1quX0blwbhwvyAMVWjo232IWz6xGeItZ6EkFS6uUBGrzvkD1Nx0aHJ3HmZ5d Q/rMFVBv4gsfFhHO24w/SJJYtCZ9SdbnM/hug8dRbVrdLNptIJTCxr7ikRUS1h5+cj3J3F3A3Fo+ hb8EuZ753CxilKdin4qyMR+EpSaRKk7nw8jFbjvYSUM6q2gQLd9NXn03Qr7gDXj8TpdaKx3+2faE M/1MCJ3tGn43r6TFQpTasaK1b75Miiki5LhxBLYhOg2m3XnQ4rVdJMoUqtdhw4XqDJp4lbGBFDhU z2C13Y6PZGsIai5zK2tx6++sS2O8G/wxDGLIbdK6YVFMcog/Sb0fvuA8NBes5jSjYthzViJemJge x6RhgBNNiH0ja5hIsM/lKhdL+0pqkK7wKa6LqocAd9ne/+U2zGBY1Xf4c+x07aErccZTSatBjJjJ BMYNLjdkZr2Xx0RcDsmFUMlDDmATcWderiF/BNYBJA8cfXG/fev8FG3JBlZ5uLidVQIbjCl48maZ P5yWTwBYH7XCrxf4O2thDNOo2Pc7Skv7FMfj5mBRpc1qO7C1v5N9EQ7G07P+t9moZX0MiqdcY/Oy W+9L5Fc96/GHn0JBMCzZelHIp+jpMnv5KMMr87KtSuJ5y71PUpYOPEGHU8MGOj8D1DfnSouFC77/ mJLMpZghhVfu/2BreP6YXjfZH3mVnz3L4kW6Ouwsdm09pvPIOx/EhYP/pkAFiYwzoK3PZ3gxaEDX 3C33e1510njEy3HFBo9u9nlVHCAD2t+cEa+e88B88UqY2uaSYCb4x9XfYqHN47GDF+2/5PGG70nK LiD4MXUyM9rq6yBnfYl5AzMBT3OlF9JXls5VpoWgVH2zx5ZA23MGyZkmHhOUP6qjnmX//K5NvIl6 UQa6l7lNQPi2YTMCwIskPlr9wMEPK5fOHf9I4S8kqMHqpKn8jBkR1QCXc6tq6IpV3tOkmD57wMTb pyqMYceS1F45JHzBykvyorXPduupV1/wDQ+DKLhIwbXXAQ5N7Rc8zfchMKEybR6cu96bos/AFd6V I7mWI56Wvp8ihJe6JqGED5d3YztZjmHJGV6aosjjfhyafjVmT++z+nmdFRDlnV/KV6pO+napHSPX 4RLYK0e8GTZRaNF2O2HQvw05qo2SY2OYy9BM5Slqx99/vulXSggP2p7YWA4+EIpxp7WDjkQpP5ZM ZrSX5cBwyMISfvMvFU82AH3z+7hIVYF7m1UVQgNlP/WAiToBNaULoE/MtR+J7PMQ3Yd6CvBrvI/m 3O8zO4tYAsgCTcCpNx54NrAtqVi0XDPlwor7/fPFDXTIPkW9H++pBm7B4HZMz7sWXuGmWBbwyMFG psvIqppJFsH53R+k1NZBt2LJjz8POuXqcc6I13mKE9eoBaiYMhWwRz/cMmJPCSwCevL561dUN1Gl d8N/Xd4hMHaKPiYrcY9wmOkX8sAZpE0A2Uqe9qfZt8qN3Vlt0j40tX04tzA+24si/egZlv9Ox7Wm Pk3LqOe3c7wetuPbT9f1N8lj0jgQkdYl90zHa6XbtBKY+YpiUayTS41KJNzhBd2eWxadGfm2yxry zUGB8opwhf18LgJTfdmxRvJOMag/JVV8xGSt28pVpE2ZV+mmxCWfn5VRRrmSHgU5siQODsSsveEI i8IVq9lGie+kQRRxSZMiEeByKwRsKLRBA9siRnULPfKqtPp1XDloH3cSrM35uBnd9hBcJZP35uNE 3xjQXTUQC2wU7gnPw1dZ1vhjkfkRGhf1lmJG/6EHJut83I6miH8xQFJDNmDSJw8Rrs6Xe7D0gEll dYr3tum2O+tkZbmlyYTZ51yQ7It4dm867OJDYG48wldt5+QjCOLYCBkIrdUU958V8SCcErbPk7J8 RDhkjiUVQL8yjB1WejMW71EGTWJHXFMkCEsLg6vXogJPSHr9GFaYm6qC4/X1CmHswAM1QUTh2G6Q nhvKqYsoG+3pcewkUusfhrbUzsQtcFdoQu8qmTnuGTyMaT/wtzH/+Xj/HqxheGkYbMrUiMWPpMqJ R8IPCYdEURySc2rPlNvCDouJuZ9Gr9TCD4IaGlTFukcYtNtW1Y9NiioN6/NP3SOTj7dIckgS8Ul/ OCvoyS1KxJiPyF+HDQfqIgxa4wiTpkvINABIYGEwsrnfdkpDP+O8i9k62I8N2zp77KKcfRbzhUlB NldqEI58XbPf83sVFTDJnqRcEbWyJ0dtlQIU4G76n4iPoHvKilwBA/a7ze5DKArLg6drEUX0eaXm aPfovbdEcM4nw3i2rhTXN8EHmexjodp6L0rtiWWTz7+qP/bf1g6OInc4/c2YUnt0jc3t0UXFMdjD P4X6cdCBP8GgUjX5qzf+5XXQNiRin2DsL2WcJy+3e0othBfJdaV9z4XpTbj5YITephUrViN/Iz5/ v3gZLHUbg4ALsTmlXWmjN8PJUDq/ZG2w7H3IPfiesPT+XFXuPmA41oSfQh/Y3Bb/+LfQrvubQbCN hGVboN1+uYs82OaLf/g0Qz5LEYFzpqk3m3dT3boYxdV2ScovcImNZOn+nu7L0NmXLQZarZRGnLQo cF8Zz40PkVVgoJKvFOzKjSPsg4QaWRXFJ1JiFLwKCqowzCDzFzQHTXVR1JhDrUT54xgVi5OGMoI6 VLxLAmI9rFkJEphnXRguGwoM3okqoXxqr5RVdM88de1ko/C9WjjbcFh7FbLstrKhtJUAw+ufK8sT aSgynbvPF6pE4c8zk+uM1Do52hEP/LkAmBaSR9c/n9OtlmYIj/XpUfiyI/1dsDfv68R7LlVxPRRc IIuBy7t9texR+RhTlC7WC5gik+/qVh7312cJ0sib3UzLPO6xfjZv6GbKfgPU6FPzRp1quWQFi3Ax xQZEmldaxwgxhyBbhoA0ThTD2sq6B7eBLEzVwt1iA6jycnSd5XWqGTZvDr+as9rckeyoNuK5LvKA RVTK/kZhxkjKdZfvxIuHHNJ2UR9Y5Z1fB1b7OV12CWzzctFQENDgEblXL8O41x0uCtheGT8cGIyb EkDMELJrO5ty5n4EMA4wn7/mAiB4fvy/yb6929QQDJpFiwWvHV6oT4XlVeEwd4mJBY4YWtvMVaOB d22N6zlRjyXBtEDW60cIszEt43RI7/2szZHon8F9s82SW1zhuGs6kHtCGTsvHC9iUJ5luN1OjYGF Vix2HYtY1daKEk+VOYbnzUf3YBuUYqBRsGFhmY//VxND447F8i6BkidP/e1FbtZxiiJ8u6vO9aV1 44czj7R4McNzJBs4zajMZMCYlbK5VLvC2VCxbba2WcC8cx+ep+esZtU2wfiBhIUO7snqKKpe0qd3 H2BH5qnO0qtTzGTkGjGMRnk0DZk9Cft7pkhFaWpFydWv62rpGS6WMSoHIEdW//iJerdim9SAv7td UwbHPSnnkpSsVg3RKkdEa+LZGvcZut02EYHQJl9Mptr3snZrYLua8YbeLV29Zxx3U5fe52VmaF75 HnsPV52YvbBBzMT4kjoZJQYD4Vq4uKswVb3M/NSWgdvcFGL4xHz6ML2MQRxhKw+WjPs3BHNG2vab 7EceTMXe8sFroWocT+Ae6GVSZ/VyF22DD9JPVQ2nqu/jQ4oOIJXu2mVb0EN7JRESqSz5Q8kaORua /OGesfQ8OycDV3pRSacs6u2vUo8KQZXEk+80c26GSdncA26M3xRDqaytT4z3SCQqlxuFkIMUP9yw 8q2UQVPhO3KSuwRCtsgCLz8y7Bfm6/pS5c8BV7lvgXYFu9y9J+WYmaIUGdRxh8lSJDlnY+e/KHIl Jas4Z0zpWjS4KKK1bIhqWpxa0aEedbjDxwHqeInTe84m2j8ZfksuZUgbC1KDVttornELZgidAabg //A6+efi7SDIu0ZNhc97Ra4kQpUyyx6+xDyZwgZ+iCg3rcU9oIy6sNzcjQAJrA3oeou+D/D0On1b pvy+K++yNo3u87NV9M6B97hzm5eKQPlhT64eN5ogd+LlLIbYl1FZlYsD9knR6crwBys+KFabWVr5 hxh6Qh4syZsN/beGdd2AR/IfMpJZSMWRhcrDmxlE1flCezzCypckZp3m/57Nl4db5l7E1AyIHyDL UOJXjXN+NbN2ww60MUC1Hu0Cwu/Xd3JhtYr+bA5tgRThHY07N9GfWhAIjKS1a/KXboLqkU+Y9yL1 yKFK+LgpB4d2s/iJeEaS5AnN+lWD7gvPmLPhd/eh45MAgQvcs/dF4yo3XkwNqFbh3YNLJbmAh4dQ NoXbtKJzmR7TZd6iXvFH3pSDyVaQ7hMkl69jv2IxWlfLl+qT0gOhqXgh7cijW3KWsVcne0dxrz8E qQ3J87ed5NOd+5rpgLogwwMiyrZlQcoPC4IKvy0Y8zgkSBGubxBiRg0sCXXug59DxHDdq2jB6Hu2 owQDcpetDnCB0DykpHPRyCAtsGSZDcqE+K2r7qVOjPLm0888UH/9CuVKIbA4yhR5BhParGL6o8c6 VlfZvYkZl6VzS3N918+P+xUZz9pkFFj0q3zOA4aDiA+Lw6pJmgcAWt+jVzUoW6q/cZ7s+IGjoRTB MYEL6pFHslbWTihhAS0xczUQOmenu13+3FAaNlYUEJJFQXyhh4EctRQ47DXRoSbz9Wu69C/RBHdG aYP2nyqGkLALOfHHPvEqMAEqp9eTujtWjHl7DLskp0yUmcUcWf4SKlae49TtM05d72c4CE3TS7ya qAkAH7s1VebiYww4CqwUTpEXKKopFkaQDGrPITMCM8CkdWrwlsL5tLJO/m3zWseYSu0npDc9cfYU 3aTwJ8fSTgwtmbZss2kAF+MUMFQV5VyOULuPI1AftbbJEfYL3hK5+/DEO9jRci759jn2S+IuMXjo zFLNS5K0Yj5hypIPY88WTcLnV+NOohZVVEDgKBnObZJQ+cJxEL2ja9aQyQpHhAKfo7F2Y0KaoKlv adieKBg45m7Cyw98NyGStm8/1+kdoUAG78iCRARvqbepgzpHcSvxR3T+6kmZffv4U2lkZjBqJzWH Fe1G8hI8xfTae9lhoEzyBKiogj+rFdhTgZnfH0NAxS4DkJ9VfIuRVCsRxeD6qdTveYXDYN5zd2Cy NkHUNsHJAnCN9JlID0sSPbhT3Jq1fMOyybPO7AoYKloI9SPX8jSveNAZk53Lpc3+qsAirkp60haH BHqf/iFb34AqZ416mlSAmBjD54EwXj5i+/otwwKeTZD8dJL/q01Y4ksFw23DzmQ/LuOY0lO2RzDI OJXAorPFOP3VggrUYx9EPl6Bi/m19AlmjRLpXrBLTcilQIVd0ijjlsqrjgp0Lg7TS+Ek5NK2KMVT 8RHPUkY7u1T3fjYIs7mbzGG+cody6lERHdGd6olacjyu6kRYcXqSK4E+xroJLnuuXhpZV9M8zepK FFcNLgPJJ8fXNyZystxPXq1W8UeO4KfZdRsqUD9gLgp24JB3S4ybmoQMpsWxo/nl4Fl4IvcEMBpC d4DGxrdm4JJqmidMhJsZ3Jjf5KMF/gy58YhCRCnQvD2LGeZagtZPB4g7RI1HIhzmGNbKY46emEqQ hyarw+ZiHMrISH7imaOfsOYUdzvKq/pRxM2HqRTRMq39C3NRdljTBbZBpcwyDSrlSr3Jh6gTbd1r tgcrxtXiyMdO4YMzWmO6XyyAShcDCW0CjhoNMBQNviJQp9mwi7w3OByp68NoQiwpuAL5uZNVN3Tl 0KY/4W1Ft5+LJAht3ZfYWujqncaYJPs4YLTUg1pAWZR6nUCDV5DHMfflKpPDGfCrP7Ec7eH9AB3b tIM6fuCwgZgquKrzMZW6BXlQUOWG5oMEVWurX1oy8D4NyrPu8K39KIIfH+4JWKuNGYdt+VKvX2FJ T4P20+g7GT3JitHmwUG6OUJtul1tLS3N7VsAweoRF4C2tHvoU4826grBpQmd8zPG5oDTGJyMoS1F +HR1vu7W+xguA63b2UL0XDdPswjteNiQFWzd+oabBwct6/PUFosQ/Gj6kpfyyDWk9OVVBdTv1kD9 +xA6e/BzO/cfL0ENbm/ZeeC9PnMVMXIq1a7gUwFEQqR345Czklz816xHSZBOUhIfguMs3oGyuRQ3 tzQrO2Af2yodYqqN3gF9y6XHfrFBzQLKSSOTvXaGBK2U1NrSHe6jkZURMzPkhgkLHOUJxlWNKbeL e/zNAq8Do7TF5ZxY3fI1Fa+IGigStLEz3C4Fai9IiclUqqFJ2Y5X9A7ox19GbIZJyGw9dmdNjkrx /PycS07v4LrboiD+I1sxjj8g4JUzGxSllukCc7IAde7nq0eeLkHEkl7yPzCMK3ySREBtwRmAJ7Ee 0E2+dNz351v93yBpN6Gh9UDmR9PqOVZZrPL8vSNZfwqXrxnUwfZsYzrHmM6/SrQtMj9Cx3ICELj+ mE7EBZahY9jfV8xoHnkjklLNvvXBzy2Qs/OW5v/2xcTYszuRJ5d4V5KO8wQht5LkAspSHMhYejnF lvKU6yUlvh4GqBCQIYI4tMc2tmhyr2PYerhK9xg9rwX9AEv5rJpy0MqrcPSjTRx94OlOJkJJzXxO 9sny35v7z5pGq3Cja5OM7yoMaHQ1xuX4riMvP9PxinIM6GZxPkyXQ7l+Haf+ExW2TxABeqmm3iy3 MxLwMTAIOdarsNTcaLOjeMWBbqDA7pT6fFwsoQTIT7wHP1AsdHCEDyXKSxZoBpk6dyrg7aSLY9K1 05OCsbnUbK2epnvA6CkbSkFZ9NNpG7rwmjbbCYzyZcvDNoXj6ZoL1ka8CJMYUmQbEHm7YuR9MTiY jWsqYw56k4HHLELJzdt49N7kxRRQYulOyTcJolMt5Qr169O/0cGnW4wnnKUvGm37TskIZ6CoytwC /x0jxX7XTB8qnZMYWQkHumjMRkdBzyJjxVA3iKqFCXH2w4GIHwsGejPP1DkXQg0tJ46M00f7L4Xc eg1+1FFnDEp985W9zKe4H1M/Xbg5n67EWo8ToO4RomkITC49PwPDJR7IAr/FtseVOMOcijPN04kM kpTr3NUXZxtVtleRDjIuK6UuBDpo7vrPaAtfGBpZqrCd9bPM2GKNhx0/cJuciFnNl2sojZW7bqVZ V0mAakXsSUhYd3AldS0O/PC+nOFofUMlxZY3l51e8kg3nPdMMkacYpgYXrDv9N0vOZgdof69ZthE MBQF4Z1iNWLagLmbHHuYGU0SbiFywLKVP7ZmH+oWx4M0YTeyvfwNj9INwSF52NiiQGPa/IjJHAIP B35Rla1JghRK8InCXwlIEkOoD+k1FFkmjD9QVFxke5QvZqWOMNbomu8nZihNO8EJ7XJGMPkSggfd 5XhZRraMhQNDCjRexbGpn1n/J68ke/NXmrTEA2sJJxO5aXDNeT5ZmK6lS7L82BSgomtwu/BLSpJp SB21y+xgjhFIkBVb6qh1e5JcHYxHqt90TgbGBI8YdIZL7PIx40kVC57Rn8ZJgFkBoiNQX3FrpEob r+ymo+FUeHYA4rUyi3q+st5iOnsG8opunBU3NFH5YQJELHG9byx/x2SuHcyMnvqWmgUKFRfwb8qh kvyv032QPU/+ENnRoc8OcCngXnpjyAP1C1zqYUk6raM6W9B8uqbX6JA+WwKRmimAo/ldsk1gYLln 6Z/nkN6AA7CcXwbNWMM6NqVx7EYugC63Upv0r3KOd6+roryNB9OvY0VrnF/uHRDwC69Qs5NHI6AM wxd8bm+DkvqyUsW39bM39y0eFTfx0cMrrb084CXvRQti3bbkLIpdcmNQj3IeaODTDvL6R9LpvpLv rrV/m9VJjSK5xvWDXA60rhgGJZ9Hv9My/3H0tFuzGXpjZKx44mM1e/gIxlMzK2kNcqgxLUN7sbAN bZVP3tqINxC1cGcZ1rdLO3SWO7izUDi6Ez87dFqtNeNN2lewA7nSOjlAEjiph3U1exC7rjvPPxyS zceLA7AUrCvYRUZVQk42toO+moepUDxiZFOobFd3Q7ZieX5ioPrV+n5jf30EPoKB1FoIC1XN5a9N 2Prkf14n/n9OD5v0WCu5dKVHuMBoWMpHEF8/Ff+gcVo+4syc0oZqdi24W75VAjq95cCNUl7Jl4xY xL/hzDru8lIFsjkm0cIVPxAb17TDwRZkAe/oKGHysunkSnjcQTOUpNqAJpCKSgDs3QaOtp6g/b3x 4rk7uKe4Jnxe822hY+/TEZWAWd/L7kI8i65wtNHZk0pmbElK4iiXhMc2Zct94N/P+lqM977+Plrw tznHkIOEEspEM7UF2M7ISWV0w4tGhfGmKvWd+r0toiHs6mLjJ/k9lRnMZjUFrblw+Bmny/WEmOlU s8KhOmV1GgtILZR8unUJgu6SR23uRkzTRogz3nK1dq2pMCVwRM/tH/77KMubgoN9fy4AHRWIH1f1 UUnrfpiMp/+Gz6hk4GZxs6ymnhF9/jzpONkBU5Pq3Dgdmn6U4hfd0krGG+bNq727VrsOL906ii6P IW1n110ocdsm6rnsOTsTUh/snpTnpGJTIR963ZyCBb1MkfQuDtC+fFIwfN//3F4NnMkfeTADx85U lF7snTwkfoHJ2iejViDd+/T87mEoA20Ci7q+HKe+VTiUG4kqEk8PW0fD09UJ7pPC/yWDBFSEIXoC SYInZRgaImF3uKf//641EIHOLzXQ6P3+KgJKxJdFPGTygTmELztTnjkWGz0JlooJfCMpXhPotaot AIrYggNADJovQO2YEwhAYDqodp6lTmZu4gHnL8vUY9nq3YPoCjz9zlx+a4mEwKqnNnlkEVRhWpm5 jw2lxDK70jv7oP7pZanRXmicxELLkFW9HYY9HOwxesxnWskGq9ZAhOnalfe6O6EbbtDlbvB7dX0q Ykki0cnhwB8JH46YQDoNLDXSbh725jpYvv7VoZgOPwQSEIHLmkokFdGYrDH5oUf/SbDT4q16lEGH l551dxTdIazccz4WbgZjT3xsyCE16RUx7QUm1TqhSQInk4Mtl88gB67fkVns2DN9tfRxavxlu9xl lSvDLkDYQ3A/RD7FV/yH+wvj5mb3zkbvQ5rHyGIwNBB7bdYDVPuhVyLyDKk/8srI8vGnuLyodifB 7FkPYsGT8t1Yp4T2J5vhw4eHoj06rPD7svAugoNrUirvt4clLxcxbEp0NOFCr/bo/mzK4HGYtOe6 TEi2KJMd3RHuAJSV0kLOTEn5Hb64I/2HD2rD4m+6RVzvLPgucRx8rsRLaaCbXmtTfFUUXs/D3WHG I7XbnWnhorQsujsGBvNraoYjy9FEKeLz9Ld+s/ihLHrniEohCEUG9OcDi6xo99Ek1hh+4L6jB2sT OXmyMXf9+wnWZP2hdWTU4gxUZ+k/q9cF8l7ah/8lv+6zEYtKYN78wp7Q9DeYrnLzn3KzN0DSw771 0Rudm/5GI6iIG/7sDHS3GgLFcqVplbD6AAQOOPZImFTQvu55f+9eqfgDMhuXD6jc4OXW3jnokWRl WqJw9ihD2A1be2qT/8J/QsmCkkSEnCzPvwIt361OOXG/iIAldOmoJCeumvKOc943lhtheggUe+LZ es+gSAEdzbAZflYVHOvrFVlHXM4oXCNrc8Rg1ocd2zMqdD7IF8pTqBko/tXN4JYEogTvtI8zIOxA wCp9hQH0KgUr133m8ljNMjvAnBAiKVpfIiemNbKtVtcGtwJTAOj3ZnJvuwmWjd7xHkTeK3N53C6E 0LyKo1Qhmt3nBQw7D+6ynCapdqfBwfxIRpv3WmFUUaaAhLItbn2SZuggqmCmRs0nEadVvlur22ZE p7H9Csl5JZIdMtaKOtVljTdSg7pKgMQ2Pm0A0r0vGXZnFm9WkD7TItF930X1AOM7ny0nisPpanZc 9kr6DGKoIZIoMhS0kgCX0Q/3KyUbW6UWdImGZEFNmz2gmR49/oR0eU2a6c9QndARYYvsIWnEriq2 SOlshJvDCgse8f1v2LpjV8JZ3oQ50RWdQSIaTLtdLrcWlqkgWuAznLTRFIZ6arbLAi5/H8yyG8F5 VmSLHePunRVRmiZ9ZuBX+lcbppp62XY/imHDQlSYvA+U53otZ/xevTGrA/dX2E7yP1PNsfVBBvqw R+NBqOzL6o6LhMQWEiszkBLN3EzyYxHJ0sly/9Bq4SOqabPJEHtKxSw9cI0htIE5XZxuqOFjAbnP Y7yB++Au2kn2YGMPedqn652TI5DmEaNjgukoCrXbgjTMbTpV6zY/a1WZ/47TAX8raw4wtyNVvLVq 7s7xPLmpN3mJazt3gvX9bN/T07tj6KmF7YflZk2g0JNcaFIWIwHQfqepc5YtLOaIIFyeA297S3yp HW3Yydjgkirql6KGZ5bkFnYOiK0Eb3/9kZW6Btm3ViaSFqQgxN6WCN5qLhjvTIAi0Skz9zr+0dIJ oVj/q50n0h8Cp13fbS9t56vDXjDPqRDc/n041EeUIUn7EJRhXbYGhKkWWZYbohIZmdMl0iskZFm2 FjrlOooKi0bu7cj14Sv9QUvqg15bQi/rHrAuPQuks+JeRoqisbWsuvqBK24T8rDfuIcVNZe4/xiV Ne7vSSpQioyl+aIKnGh0sBsq2oqtwMNL66TKKWwoTVA0i8bRGAtT/Oo29oQ6FbmQuYGE5iChHmKc e3bNBCkhB5nmko4wqU5hF1uRtVIuB5WUDK3pN9LJ0Pus5DGWgCxXCOYBy1kSMAYnXiJK8AzqMg8h /sU8doYNoBbx9fgygJFp1iAeXT1XUuAL+Z/X0WbLeaGEh1uO8jdAEmzdrp+cC64RyBW6X63NrbvQ MxcXkcDCet1hqiw+viUwZSXVxiFpRw/kCZiUJPjP2qbTEyXbsqdNeX2wKXq0PvNMauuGnFusAne7 DjGrAufWgxYg4kwSm3jxj6SSYpEmd+x5b+n8BaZbMOeodbqUFuAjd8PzxuGb9GtqZLCCvA8Y/vEe Xkm0UpvWyzV52mc0AksPHjHF/ngPIGuITQW0+vpfFyM68xkzLAJJREZ4+WRULMPLzWrH43PjZ3j3 VsTSA3197+5WbGmAymQ0jQk6TAWLXeHYhY7YFjf7g8IrkPQj1Df8S1Hbupd5/Mel4C9GUMD9C1s9 t1aK4UcxbWJS2TnU3qB8ChAK+v2gKDtN2Z7fwXc2BcHNcujkwIgBLIjgSkNacX5z3HxSNZ7y4vJw LBCO8hFooN3kaywrD9Drmbew4gndi7hhtHyJJYWWbcy6wiba1+8uI5XRS1s5S6Ftx8Xav0taxHRo JjM/Z8j0IT3iJ8nK0NA3Cjlu2XB5Ui06srN4f5qj+dP/JZf0EutgbGcnvDMqDxBYCxl0jk1TC2mX 6uVHqb7PkkJrtI/6Y9r7PVDPu22aYvFs1NyFbYgBeVht7Kn4F6HaLsk1r+JaLFLork7TV8f7+eHS F4SBVz9Yr3vyNhPAKtIasXS1tRAGQx1DzC3FkXlJePMKJRLrcS0lVPYfz7Ed6haBqUypWVDkxFlD frAq72rnixxM6TDtfEweiF/PWgyVaLh3zwZDQqQJfCdWbi2HHHcosVPiX0D7Ua1eu0YYg8QAxULN EX/HRmP/6G9EgtzIVg/38Hnn1TiDFOYGBraW7wcncqyxW7swU44E4FF8+iwclU81kIx5/zyJGHqJ uVurUIYIX4Dj3lM2nsA2nKiAjGVm0aBX9YL2ixUcFFC9U1IPlLx82gbLOm4gBhu3PkRAW1g315gg B1HSB+XyIEIyJGtrAZon/3cQgBucwTXnPQ8qrMkemO76qQ/sh8N8j1fYD/3LF6Q+++fOjs6ej7Po 6bGQEdayR0gT44lYYLApcZ2vJyUODjU7MWcrPGZX88M/QdWvxH6BiS/iBOsqb/zik78zVmt0kcEE D/bF6L24sikNRqPBCtCVDyxIemcKGAjJ9Pu3/e26Z56Mq50X2Z6WE88BjhsCs72W1HR9sK4i+Q0W oMT5vFBwij0DXbMNF0t/hXsWvbi15KI9a39FZIA5cQPz88FLNjtPlkU34TvJTvgI9nsE85Pnr+BV jlO9sqY7sv03E2M/RhqT7QZPgFySWfJ20ib3vakVbx9WlLbq1EbupY9Ef2aFwMBHyaQlC69pOnB3 XlJsOuJSXzEgoawhwyIwh1Egl1P6gPY7WxMOzUmLPcaIwb5xRKi4jLhqDmtoIt5feKIAzEbGiNW4 mvUxzBdUPVB6/cVHRUDWV8aJVcw3ldEG3EQgdTFOZgl4RGn7PPUnIJwE7LI6Tk0cTguQH/0B7gSR zdfTMFSSi8Hhp5/B/XcfCuWQQli2lZvPqYr8OAKIts4E6l7Ni9WQgzTVqBvJzZ5S9qCl0zpQhAiQ W4nm0uLFCbkOj0Z3zamGkOzLORJCJpiGBeVoetQxSJ+FxX0I+u7nimlIAl8kV/CzAYvUrM+WFAb2 SOEAOB80+qlrw5SctMeivG5MRciF9JB5iddMIrsmGFLXdHg/cfl+fmhd5u78ok0OrdpJfGHmavb8 6xnjL/T8a/6nefw4Bt/XBMOxHSDLdTXDVYD77fSgsuiphMyEO5SvZMuLl5+q969aH+6/R4gKZ+E8 lb12v/sr7b5nsJ617EqwEAGnSw7JIGCfWuhhA8uKSv4mkSIYh3TRhTwUSTkeRkdMU3FYuxEyIkM7 HXPR+L0tCbFDf1abcJJBXk5jNcCRZ5ia9Bk11k5y7D01NTqmbBlub6dV5kfmZYBDpg1q7CbRC62W d8qdzkCXPhS4h5O/xE5sh7TIKjb2GISh5MkVkSpx3sV5G2DNSy7qxiBladpvHY6R3I+bvZvP/2fN 9/6Wk7UDP3aWgezoC6sH9yZCRpKHZv/100mdVkcyf/+iHmVOhviw1zpjGG0dYF35JFmZ5/yrmSW3 dFYZb6lTBQdgojhgk0gEHXXC3+f+USqhgUTH6mlJDe7zaXDHMbb6VKBkfwmqufwGrYg1GiekEFoc UGLZJgKE0fg8HFvHvhk0F1eb7K2l1Ix3yUxcQiQLi8nBR9DGwjNpdzPErF92vU1bybDeB//La5aF eNK6fjD1mgVibv/mHubQ8FdclMoRgPFTBC815nlu2c5junoqv0a7h1bD3YbxBMO32DUNOCztZU2X OUMN0emcJ7L9BRPrR5abHPr5PwYbSsU6lCSU8UiwbQ+ox1RwVCUwwXtve4qDfHjjfEtTiTkaM022 4MOiKfus3v3Nw0JhIZIFKTQzNgUFq8VrsPzzQs8QY3UC1KmRsZVpXENlkb3F3hDb4ikx3C+GLIF6 J2WrFxFjtlYmLazqC/JtDu6y9eAJmDsaGBrUxhIREoi5n9ePWp5xNzmBgr6P3wLCiUAk7gdckKix RhCPAb/+ves22LBY95wWoI3ED76rBmMXY8VBOulkYUnJNVm3R9XJ+BWh3m6kmvR+PUje4oS0WIqp wr7sEXoBtYIfZ2SLh7Jf09NOzdVPampi4JxM2f/xTuHkbQuLMqWodF0Ar+v7IWCFgaE/6gpt+9JI ch7+jJfxRDkz/ZgqLt0CTnOU+6M66ykizo/9ZmFHsu/6NvSJpQIDZcAEd11uGjh1KlECru8r4LM4 57e750oViui2UjVUS5JGVdt9yZLBaCQ1O6XcRXrhSkMOcgP6TxpQbtHAXvteyVyN8K2A3KU0KbyH USMDKUsYtY6JzEaw1jYKX3uLmBcEK0eGHKNJqtiJmyrwcEzoexuR5QrXkaNbCTi3lwqdrv6rO3ek DrDa8HzoDMzgJ82CNNoSSHiIA9/1VfF9FmythQgY8fz9++VM54l6mvn4/GLxDlrMphX9pDmH0IjS CyA2WLHnOyFDsViL4Alb43ka4PaFwA6SWvdBTPSZ55UHvSzpMQLvS07C6nfaA3gW6U8NUUjQTcoE aa5OOX3U1w2+ZsXCMrdKnoiL8HXOvAWo0hiay8JoRRnTfxb/nJb/GEJZ5ubguXaO0SFtHJ+joXjh zlvTz3YMcYQH1MX6Cn3ORuTAXhsKBAqUpCMyuOKjI/8kA8higtjCo6Je6ybeJLf91o18MA5ThsqU Usc6Mqp3U9VB+PUBbAooasXG6R4/BWCxw2KiOUtiZTE6PepL5sqBpjluNNT7ElFQ1789A/rkB25v QL7Gm82doceAna/Ft3+BMxHR+nOq2uKUWRtfJ6eFZAKo578Vxft0LcLy3HX0rD0pLXWgdcG4zzpc Tu4TZnbTa9B+TGlSkfetWfjaolD6BPOOXVKeDVWDlp72x9iOAhZSY005clHfo6MDs000c+EIYH0R Sex5PHnXt+oro6KE+bdlWqsjYWJ17dARe45H+gsJwGbrq7xKdVWW8k9UXRI157pB04FvFy0x/Hsr TVq08n/bypldsVfQaOLne9l7Hx5MHKoKw301+fW4Rw2K2qmplSCGExX/vGE84Z0unehvhAASnDsn FXE4MZN9WxR54VjnCym+lKHlUM4NDX8cpT4t4rNAQQosabZkxq8mKvKo9+IjTFwTkmA4m7nCbdDT IQ5CbVEEb8N/5y67y2fl59WO0uJwyPAC02QB+0wcIthhoDcjl4vPNxGoLU7ik7u4Lt1/VPDjEgoY XR3T7DyjlTYimeYtOHW1JqEgvDRD5S6yZaGIGZUNxaGdwQAl4JiLm2pdkQnOCEzK5QkYgferD5Sr CT6OkZc/zqCP6niTdyEoQQTfBtwy9qc9dJ3UbYKd1GhOWMR3dZ7gUbh8x/6SVyrxWUWXtPM5JYGx sgoSCHurUSYlk3RlJuinVgd0tNs921tLeV/dEXIGLgWsmGqWvwwlejGqWTkR0T/vd9Fvm+/uQJ5t 6XMSh7lDiBWXNE8oBJJBaT5rbiX0CMtDIFl1vkXKvdt2VsPqF8OQhGxORHJqsbRK3MyLCWpPhhAX 6JuC5w76v20n1InTO8vFOjGUxgyW/TZ867wzVdloDPVkvm3d02Z9cmtGCF7RCUmfatcWkDZMaxp1 ArBFjPSbn6Y3xIhMetDPhX17kPx0oOO+RefhGScswx/f7ymJ+juJH+nIMTss5yzHVYQ5cg5to4AG YPbmId2Hld0zRBddSQngDNM2thDAGQF/b80/FaWOyABjbfb9/R6bOZgH3TqdKljmJoCksGOxvnin fAWiUcARRQFkLiQPjUaiugXd1oR1SIXhhFPbpIDpPjPEn8RwhcCTcH7Q3HiI3qZ/QTvEWRnF8k9P cINPbPrLorQxLrBc9mrtWfqV+WIKl7p5upjJDSD4e3/o2emxoAaQ8qGfh4yy/hM35tXpJc+tETHX 5BrjbA9aupfbQHatQsVZhJkcXX+yPEShUw1TY9xM6OtdkzrrDo1XR1hVBhurJ1+R5qVcLAtIf9oY C3FEVPt/UrhvT9CNTjBpbWMJGj24pYzMghrbnDRcZGT1cPqlljUmLxWkXkpFMex9fJn5XnM/yCeg QxVEoj8y7tuVTP1/Z7+WohQJJ4NmbNRyAc+ORlSWuix0mcm7A2VZi2dFSY0ng2P1a9D6+0tnTQPG JNvslgoG4zz/Z5nYY4971aHNZnIoS6EjbQm/+em23PS+bnBtQRE7l2DUBHadaok9Kn9C8uijXnzR u9C6MznWEMLX9mmO1k+YkFiPdpxOa8kscjMnrvOyqY63bhCkWXAcLSb6gngGwZlub3XNlfymwt1r 32smTfokdlPYGLaqPJbY+J9xCADQ826djyzM1N/1loG7d/bMhvbCclMLuLYsVPTHmbtqCrKCTKrI yTEHrA7u4iLXZy5rX2U41+bQEhPXDteeWJE1mn0tQjymTeRu+HNglnZszbK6e1xxu4kRqU7wJCAA QiZFcKoWwq+dKTL2UASgrb8NjxShuNxUIqqnHsrqyFGr8pfZAHb2yMJ0dk70yzeAhO+C1tPu44z8 hPUCrsFNkNZxHlssqSF7aDA9Sy99XwcG8/bNphng+lT7hKJ2qifbeucAbbuzRl1FW132j5jwPo3v BgbLmQP+0uL2BXCMMoCijJ7PzYRSN2A4wwrjaS7H6GjGW8wOvcboYaj21sYQj5B0sn8nGEQgpkQm H49F1gvc7A1CxlKs5SHwv9nrQnQSYc0t6GqlZGVKfYMrAHNLsZigX3U+IZQt3EiNuPTR3ShRZJLA w6G1Qc6mE14xBr+H0r9I0OEOeFjmd3Sf68J9NMwQAJQqOKAlijNZfAhbWxuYbILv7dESi3xqr3z3 uySUJbjbnTyMwhhKpVS0N/WFFgUgWw2mYidxdDYoGeLkzYlSTu2EGN137K/uEJ6lp9hn0I03Qkj8 B/j6aKdHMHktAGwY046M/Nbl5czjgSUloiZfOr/kwhuMCwkcwNynK3OC03OL53MynKxStM09XuO8 jmGcDUCha4h6qZPdJOU1av9pUMqPi/ci785xBDjrQOHkuS+iwukw8T6gR40xXTSf/nMos/jJNJHT wqHX2ZitTObHZkIflK/E3/hobHaurcLIYuCfRQCySQOPq9DI3vPKuGterjJgyqLqZCgci/XjxPuM TtlT1QrmLwX5DVvI1VfMTW31LeYEl5HO9NvR2mBdfmKGhOU7SgqRgv71NsmOkVD42BxgEMImxvDh l/BOhczBJiMbU2xdPmMVPxr/N2xKt5id9FnlcRUKfQeJTvGmLYZ6mlMYxO7be1uHsEorNmypcHas ljeRs2r6D4chCNEQwEDg/5bazVe2be5LE1RTs/sybqmXk6unkWD977QG/Sah/iA7StU4KHrs2xqz T3qQsYaVqwesOUbOUNySB8jCbvwa0NeHmaFOp26hrwUypxSCeJnPUjQOR1d4OGqDqpkeZllDns29 BfWdu8u9kXGNuM3vGggfjMCikhF6mVh6LcezgNPi5Xba1Z4PHm8yDh8JkvF5dN0U17TNrynSuoip H0RuXRVpkSI8xFhRo5oaQXLQoO8USk+GlZzbz5sPko0ALsPhVcN/z1kdz9HmPxGnUusVgwIrBiKk jGdioIOnQxsKr30a5UmWphpyYMGneZ0/XfE5xukSl0502KKOnzcLSio/MwsxDXGzgmYuuWhZxOd0 wyUjXVRc6tWH89NsMNWBDYkScNhYm6MT5DT9RZI0OoxkRw5ZDndEzysLCyW360fWgxUCgBGcHzac kh1/30w/SD9EddxUzE/RgeITumMlJVSi9BPq5bdAOYL/5hawQZ7eeSgfs/7Uy/gmhGwtSiViRMTu hrxuYOETmcPNhV7MIDN9Cvg0qOKsWhclDgEXIUAWTjCv6ZUH0Dz87Uq4GCS4URq2+HHjnpNdLoL6 JRrGMRhGYyHYPkVdw7ceUfshlgwP/kwniq9ZV1IDkCxZVs0p+P37AubOXnl7RaHvdERg+gWu3dsu im3rtIuclJjy4u3AXbi9akWseTX4dy3fIc2ZHelu7KR/eY753dRuzIH6bTr5wNw2crH6Wlg9cbjB 1sWDgRnxdN0IXYT07mT8l0v1yXLWlEeFHwTBdl6vDqt9npvHoXgmAs7H/vEBuwAwvJ34xzs5L9bt v4uKN02lA6hQ7Mm7BYI+x1dj/lEn7d0ZIg6U1j7rq2Ztgv+QYKnB85cabZZmOMjx0cnPTrp3yL7p tDy5ZkIXtx6SRbo/CGRL7Rk9DfT0qByNRRlLX8m0NGWY58Cq0r2Fx4QOR/FU0kBbZlNtteGdUIMk 6zV5wzQTWsOZQp2GHdFUQtCkQtX/EeDiM8hMc2e4Meo59YH1cKPk6Xy5pHDbi5eRZguL/kFFWa8z 4ptCyXImpcRQcCW+sQVvzY5LyZTrVXbbjcpyQEKsWR5VSjggQmAhhE3Hc0tyOkWiUgxMOfcnsh3R 5M9TshEhzU0bMkImxMLUVE+3PQ7V0XAthiUAnL0+iOGQDbOPtT4FcMKr/3nLYjnIQ/6RbTg2RF0v zRGyvB7I4LidcVpV6WsVFRp65ss0M7Uz2ApyS1v32TLzHRJpWv/K4ZPqNplODJTWMy0TxGZXBMYu gr5S8k14kMo04nCLJMMBikvkK/t5yBdRNfUn7GOZFVz/uoSpTQENuOe8qLT11TWMTFVd6NC0JfGQ ojM7ViWVljJ14uRpr8ti7gqrZR90isFspardre6/+UblRjaMHCkYtqB4zfoS+mUtrGTFdBsW+Ue0 Wn2xT67VtQuCaduxPubsJ5ydI6bwFUOKSjyj0ZrtFVHH2oAJ+iIlcAUUjkc0eqUYRU52wyvOBh+G EaUufLpDqW97FjILe2N65Fuj33bTWlOp9JECxl4xyUgrX3hxf8/r2+mKKj1zjN/vc7DsNms91H3u qyNjnPpEcBWE4PETI0e4tUv0835XQBqhsY692yn/16nTOOEPEIgv6pLDyosZRmlq8hho4Iv3QhRy zm/Y8VSvqC2nJNqzAU6B0bd9OPYkYvLc8qy6AKXbMST5wureB8O9KJeZ29VXn4ddz/zqvYT2V6Kf HmEo+2H2bJo5FGhX+vYO9lnlbVI89DsvVY9Zxq+v2ffvbO3qwA+glCOE3R3mbF/Js5cUuHbCwmWN ORqb+dvzgv9pVUpEEKIQEG8UMuk+hYB+fmF+xgafu3YQg4nh7gem9sZkrJbV+Vo4gTwU496g/ukW lsMWtgLAAKsShz7hyNaqtuHr0Lfn/9lozYGQt2eSq1QSzojnD6KIV5CKbcXAdcli4PUdY3brfahb 4Z8N+P6tOcPS0nAL4NFptahUDx84Xf7iGIwUML/Fb6QduoG/Ip6OviCrxyG2XEXQo1vYvOJZ46C7 0jAyqZU35S8VKztFG24eZYEn9g4GEOhpwuw4bHqjMU/d4jQvgMXhH0ArYo13R8N7e05Py2jO3kf1 GGYbk1OeTBLV5bxir1PG0AOY3Ole/5ivbQvci5IZWKTWTSPV32G0FHgo0e54rV6Nwmgb2SXT2c5R 8g58eDdZFJzk7rEYbprWJLurVm8g5R71dZkuNG38GufoU2ea/3mgqOpCjc4U7WXzoRH0yu8lQVgO tlcXbvEMdHqaMq4eKlHCJRuBjD5jodZl4tZhRDQU0zYOxr2lY+elMGunT+nzWAjkuH9Vn4qdU2d9 By0lv654jmsxOzScGvJ7AS01gf2fFcEbDQ4NwPNE3rRGHY4RkE22ybflA8Riymjb1ihYeajkkVX7 //yQoLg55vC/nlSzgWlAj4m4UnVgYu1XXgKrLrVx/nCa/pmjGQeSFB/kTUl+Kd9yLOiwvxvyygvk 4ABlwdduNnWwTembKPBUxqvSGFrG+H3oQ7fEnO71oHnQe0jCEodjbeZRldcrhoNnQMeWMTs+/TZi e9zno9DWVK/bw24+M8Gn3jOibJX2OndkkYKpWH1YBwsV9hsZM+JsQZhl4yp2/yVhlVvNvJ4LVSH1 +TEOExcAtePAUlOJG6ueogqzQiq0qi0jePT2/HZwoZ7xdp123zPUFeCsd/S3wI2mPzSQiPYM/4NA RRls9d8pzt5+iMzIHqXToXvlJv4ahT1WUhcOyj164Vbf96rNe/MyThaffVWjqd2ccsp3xHrC3Sc8 OWf5DYoJCrzn1mMOZRaVQrH3bbqoNt9910JQiTaiY5r1NeQTy++qvo4VP7wTahrkpRKTxx0EZtxw wncRS/qb+INWFzFPjQFtabS6Eggz3qoI9znza9/5vNdh1qPvlaIgiG/I9qPXI0jZjPmh+H3rCbfs NfVbGZA5z0/knwlz+oiQh/cPcf/GRMFeOTUKZqq0gjXwWaPgOf3mmzl2Y32nVlK/OmFGCzSG9il4 paFuEkB60EvIVco2GI4XwalQgzOnHr1MqAb9/yAvnohQse2pVvSaVh7H4BTcL1Sp97ko/OenZrYG WilLB7m1ZFNh3h5VH04t6GERdejoiDgGG7HsTJ/VJ2gSiaKzqV62OF/tljzA0583dwHbDbbaymrV yw51NvD4hS7BGh7w0xQJqTfAaZLzrvv6J4bAk8+DFITcUXqc9rHBb5k8/YB7bW+SCDRepKjxgOMH HNwzLSw+7uGn10ZjWV6noSlyYvLrq/IimRYcqXi1p1gcwIKH5fYoUdY8ySaXoYspZI/gpp7UD2RM FvVi73vY8ZyjychPMR+5ur6lvTnWNNOoNXmo5EkYYyarjI+5s6HlVV2vey3qOL8MtE3eSesh1nHA 8D3ifTm0NWt2FP/se4vamxeA6HLZzl3EH48JNZ1W+sLxH3tDJ8Q7Cfgsy6f8ID/kDAX77aJQcybE gYAIxllIwRc+vafLkfi28AB86r63d13Plf0YN2p/jrrEp4H4iA773mLu/tcETiqmC6U4316irHoz 7wsT1trO6IoIvDyNUsFo0PR1mPYYaPMm80Xl7/TZd+3KtYR7VzkseZbDRb/RVK+iAJ4CWWBmp6uj EVVlCOLA75lIVyO+1HfNzk+oEvd89juEr/+ullIWTtCIyLYIJkgIRqOr4xsS7uUGjdAM8YiIZU4I qY5WOpRJnWklcEMNnVHfQsDNnoXP3GCG84irrilE6gAIaHnt5pFAW6Wioirqcav6YJNZLfBwzs90 gfjPqZY67Xczqslwq4n6v+og7PIMAcBPbevtu8TyVrgq9SAwKTxsWW6CHrm5aSgh2yzUiKi1MQCF g36VjmkBuBWdmXnB8mgMXYW7A1zNoDKxrcNEWQtu7vQGUgQm/TYdAg94qC5fiUlHd7LujYICqHxM bWoNeOvwJGDEuYrddR77b9UpKoJdGj0rh7xqCSPADW/gB3l/JjSom/WfTazajkC/tMr5RJ4Myn+M k604xskVFHgdFi9fQhkStpv21RS+hZOGtr3I85xFJSLSO6wh9XvhzQ2CKYtQqYRHltCecIwRys1Z rg8O9P6b6//2LiLSuAXlnRUmQ62+GhXzK6IGj49Zmut3iddZJx9sgI4DpHo/s64iJn4Ro/a4/Bus LyC5jJGMPgUDe/qdSdMJ0TC/yt6d3JAxuq7hrpBb/pE16eQ3ZViu+Ssdn+bCLuIza2BaIbiAHsBl qMx/Y8YflsRwfMLJEoSGDmDM5yVRUhsMSYsepNYuYMzT8dLBKJADPygm70P8HHd2lO4G3GWZmSiP U4LKQQzX7oi+R67esSvyzIP4sVXFmyB9J09fFbtGjefOslxSPQvHK++ge1PZK95QqzgpgQV5Duh4 Lqx+qgetbnaobgjlNjfho74NGeeZcvQ7zUitOTWJgiYTRNwf13tWLH1XvR8cZQ/X8Vs1Jl0nqh/N 4cExhFhW21X8kMDMjlgwHOgmTo41U3J7UJ3eC9117+0coApPO3osClNhD4jEIC2PxWH81X9Ra24K vxxKlPJ4+Cz5ccMu2MBkIEd3/0zKbnDilumXNeVUBKmkbP9uI7v06Lkg2AZ4PRFs+FJqkDP7fyFq orTqJT2xbUbZe895ZJTITf3l2iCSvKcdw1Wz7lsfVqfkxrkuIThKlDwUUfnamtbG68wOhY1cuftb WNXu4pjmL4E3oNcTQRivOVprguUGDghfg/s7OKNTR4ddQ4vDaOTJ0D07zZ1uwhM7Z9YWQ8IEK/eR wdixfISPaup45MreHPrPFlWARItQdwV9HRt3H3NhM9VTBCp9QVHVF18FTwmo98sIM3pKzF6dV7hw C7MMOxggMoGr65ti/OOjauURXNVAA0swzYQ5UJYtJGX2c/Mo+G0IQW3KLvO0webPjDuNLwS3RcYs ux1ROaD4bAai/+hM6QARKmqvlc3pBa/pStfoYhyg8kP/FY/vKc4AzpJ7RPYt1BHW6wE+ARa/G1f/ BikLAoCsdIl+muj+xhz/vzYZe26vWy4xgbbMeS/VLihLEn9GaZdQUAWRFMRCGr/sNgIQlLvQriJc 7uaAmmK8y7z1dV75HrO0zDbvH6vJ61kZBNkveAlUl76BcBA2N0Dypq8YNAFsGmYv3dt/WLrhZgxi yyWr0ykTg4bzlJCBfOKTuwsxXCqzAJq1R829a5WKzzgRpToBJ2rxcol0XQx/jSkzviy0Rry/lTAV ENnUCdXPg7heptfTHYTDX3mKXjJHl4BkicrX4dNUB6NzePyyTh56rhb/qgWFxEIqyBlh57/aGeZ/ 2yVwMMd/DIZQwYDGRwpb+/SIlbdQsUbYA7+4IKQIf3c2zTWxcSb+MGTsrHzvW8rrAMJ3YZdGbBsW hDtjYvM3eScUEi+oKsD+Lr6iodcutXAwtII8hHVmzZoXbsb4tx8m3EYb3YeZnMXCzC1WmvoYxTGt 0RfXYt0gRQiUYf0CpCuNpfSJ6bO5i029E8t7G3Ia/aoIqBi4wzDLBPNH8GaD0IIIosodGpahT0Fq qODtagQ9nN3620GSg6GUkosiKKsaT0PyIb6jwktzR9Sl9vhlj7JdFXwWx0ZxrTdgID+X4mzFU8a2 LSz2spziCEDCEbGT6xQk0JJ7zz6RCydvvNOVI/6vf5IMIOQOW30vMSpKCy3OMITaj0TiJWT33SGj 79hWZK5FkG3lKuOoIJz2On9QqEAseU377a31bw3qbc7PrV9aP4uDvqI1/BBxRUDCG0buT11Pq4+f DSs0xgPQZJ9YY57DDGUsF6w7d6QQmI9Okcvx1odo7AdGFXL5wm0EoG4DtkC51Zw2tyM8CeTvUxP8 Jx+6ol8k1kraIeDTpoBVgG9tBnUk0znP9tDcmY2bkfRiFoChBaX4YS6PaLgaAiCtKbM1hSsEZhYg hTm/L/yOljuwzfUxETf1U7zkZxgtVbHihKHVhRGNSC099Wjk9OQieIYTcf/fhrQjRMohFlUOzz5Q dCyFYNZU2ae2Y0tFsyvOPr/A0STIFkaEly88Dvby0JZHhHaWg2pD0viBvD+FIvO7zESk876YeojC 9aFmj0149t8cF1gTht6C0DT1TVZPEGa1kUx2uVuzyKe9TXW0c/pL0LNkDp56n/pwLEJuHHQW3Yqc H3IA8Gda1PxMwVBPYGB018ae010Gw4FSgr+Li4j7FYg3nco9VWEsLJsccIt+4/+lU2eAGEFRcs1k 90Bvme0tb/PRisWP2w+aJaD2ad48EyyYc4WG2XnlSvmRfo+ddszukCWxog3B2SRxaosfC8m3FVqL ULcAqnYmNXb3OVczpe0OUAn/BYkjxE6RWL9slAY0l8VvWiVvDbOQCAiVeoQHcmvL+pmprfpEzC51 Q/RrKs/msfGUjYYGID75LNrT0i6qYW0cUcYEaBtu8UpsskHaMB2asvzlgcJw2OwY4+QEIaXqc+kg V+C3Q4dJ/Nw3puJWKm9Qt7zix/6y2hJfMLEsY18lDarUWmOR362fZ3rYdTdOrUz/Nibjs/K4ptFY qWaruZdg9HkuWFdImOlDv3AnEoUn/Zv/3hLLwoWIPDyzFs3nzpRJRzoHOvYG7sns+E42TuvBoMvM C86pYbY3ky87dsm49LwlxJoW1+4Dc4i1lYOb8xxQgYBblfKnR9eRUT2FUaL4qcaNP9GI0olUTQ7n Rqiq++DbXr5Eam3Ci+pmW+2gOWyWEPD9Xyc2qF1t8I2Dd0aog4CwmQmIRNmoyjnaK9bssbIaAbJn dzq1W2/8bJQMSWcZ8ceBa8vTAzrKAHnJTTAAFAgML9Yv6if9zGmRLTxUJmnUAo4kZPGhhF3xxAYR 4Ays382kCw/IzPoKzJ4xzQvzytaErVm9r/ajhyBcU6+XpzE18SCxJu81tQco1T0JN4Ea+0UGgrLi TQ/37j+k7nkZVXNpKMMgtFiEwdnxCZAEMxjaScz53yJI5H/hoh8Brzty1T0a8u2eGLqKn1oGjdJj 11n1z6jKJMda8Axia7QWYYSOqSnY898pviOy+peyh2geAXgyrT/GkuAbel4Dnzo1OF/RnRqRktES cFHSpHu6vx1yLTouMO5YPMvj5vB2xdQvoLHSI0WZ/x9ogGLvdhv+HXpRuhb1fUxmxg4rG/EdgdMc KGYNDBKm0eQuqvKMexdmGJZ5osDMCpxNUfXWPsbtTrhVjq4jIWerggmOhvHfAbpmBjPlyivkCJpt ZPWhkZqJnia3zaNTQh6Jdu2Z1EGXlL5EfVMcN3hCQt5QR4VPG8mfbmTkKzJolouNHvDhw+D8GdrV jvn0vHdZQ5FUAUpnhihmFfO41x9j0lBQbPEonBrVdmYl4MWYTDcJXMr3SlW2j5ni2QCLBNgzjv70 G3/iKsit1P4hbKSwz9juX75M+rpwidG7HmUWXqvFvMfWpnhBkpZLStQ3NP4bE04C1iLozfYs5wik cStz+rEDlVvsfegPPp4mDREzO+itwPqOSu4RGoIoapg6hpCJAUoWTgHrttlSHJ/wp78ceBUhqTBs uAKRxsZUsIB1K7rFA+kL1ECKS+aG+nxpH2aMX/S8jUAwmbx1ZZeicsTa4LWwcrWdxg5X91qY3xjc 4z1nFMJUposVKu7Almnv9COabQ984+CnjcGBYNJgUlGBQUqxin/rfKPB82eFTw7L+lEOdenNHyTd vnHGb6iv0bWNAHKpQi4F3WYYfqItvTyMBfiuuaZ0H27iL3/4o1IuxxHjxAOyy+Pu8NnU/jSZkB2N sc6kDYiSEFGHjG0ygtPFtkPuc7PfZU7oYfG4OTbkG/MBfYYdSAM3ZcpKxhig9Si1chDOUudwY42B 1IYtsAMhDsWHRnknUiyKv4bKMuUuzpuZ3aBXDNt9JILLAkf60bfwZoWgm5a60Q0UgajRU3VVSuZ7 H1jUS/WQMMEpwlJNXL3qtGgyuEneV0jOS0DcLAakjpwpScmHaJv3h6SaZ0T+emBrdh2huhNZdnxq kYzo4NkV/pZhwGNkG5L8+a5uKR3RhyIfGFoChnY9TrRGxMZBO4WFZ8qxSqn1MawpR6bDaPWWDlxr axM9gwZfgOmBrPadUcsoAfnJKlE1KSkLhAI0hM8XNwqbdNIP7qk9GDLgZmmSBJyPyU6cqV6dwus0 eMTBQoFt5htJb5IffLysifbt8n6dBke/F5Fz1iUHhiExTU5tih/HxDX73Ol+KmigCA9CQHgRVL78 +2yaMXzU2MqusySaCmXz5F//z0Wik/wf0pibgV6zatJTHHliv1cdbIZZSolwg8xuFJXkcgs+zyUq uKT+yf4P/tBpUsk5uW6O0HDS0WGimAfU+dyEk4EVQyOZkaa5vLiIsbyFb4UC5vT7sgyDbw9p/cAk eBQYl9UBLttO+nI8xP38jEdGFNxGWjTYhMuSRDi8hS057gHfKxodjX6Wh4j4Ahj2/nTdyKqaN7TN w/tbYsDDjicGJVUHjIunVcvg9g7tMxjfMy2uCJ1BavuQKGiCVMuzLDyb/S9xRD/osYy3pZs4z7wE o00OuTp7/x+xi38RfnJ5o2V+HFnBs5bIurnWTfqkYpWxwmCu5rVJY+NkA1Ep5iOShO8hyMfpVQmS vqLHK/DA+Tnfkz2WL/NmdA9L9QP+IeytYfbLGX1qF0NDyUW6h8Hv87LDS/lIvsAm3uZmZ7vznxl6 uaPIANKaIA43G/kqUJUNlb4mKXvuJAkQq4+4ILaJ5ZKB+hZPPTTsbH3+MclvqNo4MDEinZrNFRE2 bbO1IqWeO/kcXwpxVqrCDM1ga9LcT/FbV3mycL+9SWBcSklmqHgNbbWWVioOKEEOr2ZgR/n5skLF b+lT38haTkxvcog/YuErjwfuzZrWMYE+XO/9K6mFKBBXJAOqC+SfISIyAWggpQWW0+v5SDcM4bnP ptZmqUbll242tA/SYgZ5nbGDXFmw+bD+HWrfrUaztNPtp054ifTqZ1ysb8ktBSiSqBpz/ioQKRgk 0PnHTAx5EqmOhBFwEKi+tFYGot2OqN1C5zJb/uveBqBhWHQsnvJ0hG844SnXAB5QsDtVqHMtLwza Sgsmx8XImGAH7qzCgnuH8WGjlWob/QKtHnLpO67mt88IE9ZN0HTbF6MYrcSlC6EIGNrJHGQ9MjUZ YP3BCPPxPfU65ygK3Gii59pYaY9wfl+8XlpE4ZwTh3ai6c0DzQBBrdiPL/DcDUd86gMSeYcwrJmY WFTqWKRhqLBvKYuthtrPEQ/mK78004GPMcqdSfAuBiUq3G5F1hbgw6z4sHh/WoNh23jUTZub8kh9 cuIwuwDEqYtf5/g/irlBnB5STiMmi5Pk3dyPaopmikNSzYtsFJx6nz/YJFTxGhWtE7wndzlWRf5Y augKtC38OEOD5/EPwkbsLFU/Jo0Nqn6S6fV3iiEZyiktNmI3acuhOX21S0ci+PV2aeuuRv2gA0V8 535puQ4Fzqevj+eDCP/A5K003yT1r+ZGXypBKuwuzTZel1RWj7e5uSzP/Q+sLSbBivoFy8VYvOsz 9m4/qdDc8ZT4xtPwV71Y8CfrtjFpqTADm5LB8lSXVnVRim8LV6p4wtn7/dLI1ZSqZkyJKFGCud5q rWxag4Ujoo1v+tPAGlSUMSXhksN+1yY5foQpb6ypJP914UZCXJIKNPAZCIRsE2IpGq77bdiFcrwM psCJLfC7ipWF+87qFPBOS7z4yBDqRbu4ysyOBOmGmpuXvi8OhxwbkWBo+Na6g68uHQ2o2mXpTihF 1ah11xI1seUHCaS2cISD+ee0ZMN8sLKAGZfo46g3ddV2IYFbmwUGtKZlN1GccHE8FOkyzphkwiPk JZwOt5toFMsM9PNBqX2d+jf4vJAdejL8i+yaLg/YP41uhnpkSIo6bHvSrddxzTtIWXFCHm90mEuE Bu2Mu4q/DxuUMzB2YSu3KAlYRygut+VqdtXn9GmkODWkEEQORPWWbahV0W9Uw79gIMZLbTPcEDUQ eUDeL4eSoQx+qfH4O3uNxEXIpYD3Yw/LKmGWMeZrWWt4OHaYmJ9pgCQQpi3ctgnKqXFXRLaVYU31 foARgyEBtCCDYXC8aL9oNHNdtCE5sITBQp00eT/pcto5j4QGUcIVoWLD6xU452+oLUucAgE/3ZfW FsTb+xp1pv2uYDGSdmDY/DXI8C7aldxLBzuigwuiWzCuWB74eRKAnTrZOTBLa9Tk9ryd9Z4qT/1S ftaozIC0l9HT0HwcPCaAv6TlGN4iuzO7oRHsbFJ0bXOIxmKOA4gj98a04e5XXfjN10YkEW/kWQ31 mVBT98N00G7bvkR7gyej8zh1wTLkA0a3Fsivn0ZKq3JRhx0YsfBt8qqlwLkqlwSLFSED68drmdp2 boF8qQL8psdC1nH4flKpFp3pzfS+ufvB104QZzvQd5rVjtnfJ/a3bwzAP2J5H4wXriIcerQPyQUv g43e5oj6ifebbm95ZPEwwnfK0jjmzA71uRmLEuTfLANc5Shsx5wfwOMVrt+mR/MuvWDjBTiw9Ylt 2Qn07GdjMVd5pCUoYsWGukoUQYnqgUNX3lOCyMRF0tSLXqqIPYARCyvOKVf/hDFvUGAdXDVkRloa jxHnpT/Pw3ARybZAkUymk4+HiQBGhOxg6INooRGwheRcZidhy34hd7cF9zJliZ9LPTZNogwxvqXg r9BHHlIBpVL2SkHFr6jIMrHqbQdShRk+Ib2jx6ynW2Drjk308h6iH9SS8w5BmodKAYx+adlo7QjM xyoFKJFfE3JoiwMqD+BznAXoZ+iMMWk3W+7TQzSSqinObXMkebuYnbxPMHgTwP8qrIKIxzxIuCJR 7/KUgqCx/n8OvdCsmUiytsd1x48BuwE2rxgyQzkRPCsAj8cyY6LZnPKRyAIiWrxHzD4q+vNhEShq C8WyKmsilHH1iRzzfy7IBZ26WTUHbHEc82o56xXIiHKjfTs9u0OkSmqH+tIJCXIG9bXGbAlkQLg4 P3q2Mfc8uEseHN/1if0/7fbiuv39Y7aL71C9HButzfwCZESaUQETm9NkB58dW8Sb55yAfWvlChWX clkzs1TCDEI+AGqQvLfns0wIcYonYQ167VQOyKLUeHdGCpTn4lzNK2y41Sj5AFIIsN/wfZAZzYlp TwGyHgJZ8O9Zy65eSg2lyneDQ/+vKjnaRPwAJf7mJHBM5cBvuBxjBGQR8roCkt8QEVeIdDVa/juL ElUQrL0UzeLUbjz86OuL/9lpFKEVmybloPyYknIH0BCE7rDQtZ8Ti6opNdu1JEQ1GHVSTJRLttHJ 4oqTHaxBWqDcop0UxvoaURPt7Bg8MIwW6ZI71/tFsyHjFKDIeUNjNWN7O5v6J8PcdYaUwEKtwhnT R6dt5VU99z1u/bMPsUA5s5q3q3+4kjb9jWPnZl6bNj6d1PXUE/4tTPCsiRn8rs1XITWdVuzx+RfU zgeecXKcB9qg8rNQsLEI3IBjLVBmFnqIYzMeT48jPHkaLEXUHOqRZFzdTxIcWrKS9aWILcZO732d iqPY1UUMH1ZSZhWViGkBJ/+HO3m9+ftOygeK2EZQQXa0f7d57u6avncv/P2LuiMCBSB9ipkL10MB 370681H6cExnkimo36sHYItlkaEAixPqe4iv1bzxqv1bfT1lBVUduVeDscSoxHI05RcPGk4ulHL/ ac2epyVge6JeLf5R0LAMiQg2mgJeVTaVmxerEFwMt0e71MKrErHhcmY3mCDUeMxvJbn0oVPEKmIo yHn0aJbabfgHEnx6TTRP7mvkAQtrnKNKpFWwoQjWhcc4Nm7X9CLalAqOHJB4TYxiknKTD6nXQqC0 ExLinq2mP5hQ5xLo0uNbOYm4NyDNEyFGJ5ORTjtlODuHUuZg+jqb7dNVZubuPNgVW9enIdog46+9 batT9Y7f+t7X7sHm9O/hwNbuvRpf7lSFzz7blJJUxqoZskm5dmrk2n8xnreEMtz4ZFoLw2zKoTDU gDU2bsED7Sx5bjg5KWS4IGbFr2qpE7XJYtevsFWybQ2U/dXW+sDlTLu6N+fWd9yFdRap1aQFTHB5 F1WoRSBqno6/WkcHGdKvhKYzu93tQ3JexWr9C5HoeF1/w/bs2vO+X3u33zwncW4S7GfedmSuFkUI Mtswh4FrQiUOQmLezgxBHBIPFTZWhRUbQN18NBAdwrQVb7/mKHzshNmujJvYzU2aJ2ePWEYc65a1 xbzwALpjekh6hbmWeZ5Vwf0uZ2Eokts4l4TEdlTwo+rvpj5tMnhcLlgMpPLQgZVbft2uIAUavOkc C+eGz0GJVnWCSR3ufDWJFqRcL2GpghtjGYXau+ILotmK7uY+gsuZurid/ieDXkaDXkLKOAOo58CJ bL1eTsaqRZvZeNpYKBid9oSEo5u2JCQv0z7YmbVnXHXPuVtkjqMKNuTdbzIG4eDoU8E3FPlH9HD3 ADpYGIt8M7805jUhrRYNWxXcHLFLncwxynkGqkVFHE7hL+anddDPOk0FIzrDx7FrB90GpVOOFqSe 3uiduzjhw4qw1FxowCwOZNqRoNMqwGoNalDHtZli5j2va1kthYatXga7yHD5a2A8aoaQZUFgvzno TOmB1F0bCpT4NzGZHojRm5U6ZhmxcLPivfTw8P2Evb70kC1SMsp3b2RNYGzlUOLu16Fu5bIW0W/b OGCAYPaxlwNCWjnf7VYCMiThb36K0wHf/uR/1ExqKdtx4DmbZ/h4Mj3P/yM/K6NiaJ2JfPn/itNO qmYOsikFH9NK4hVkHyqEzB3ijzWkGmc0IYqhYFhgG9kYSox5Rpb+4JDXwFv2QYqOAn9PxFAsM2ah OBJxqCp4kdCwMp0DMJlkySw/xrSTdyk2edxptHpnH1edmNRXmJ+Gn+LGC69v4WD7cEk1T7hjn7IT hI8HKB+vi6VwsxPoMhfpRxBFqugenHJAnyXJztmnr7WhoRFHYOX8psCjy9ExdwIbA3d9u/jDPaex dpiY7/FZSIWFqONVehbzs50pu1+NAplw2jkcTtAG1kNu5qB6RNNvMErI4dFH6sAX1IDZguDlhhtw gGqwRTrTZGYXjFjtaWZ/+iimO59ep4DKjaxBF2PyNWzIhXoRxLuwx+XwUS8LO6O3ogHX+ly/CBZu eL13X6VbBMG5xe3OJQ/8MmKprHCCwPRw8oHb0RQATCCp8269SZuD7QQ5ghFh66wgWHrUxogPNK/5 kMqgB7OD4U5028v4DIdFF6ytIX1VnX3w0HSRHZHT3MnRb64g7XAocQF6H1lYfVxZbFvli3eBH0Ri mCLSAeYZqYOzj5eFbiKJUxe/3J6qbg7iI8k9/S4qtgBudOB5cNZZ9tqENnT00n6UaN5JMuLlwA92 nBxAIj/5VvAAHbBOE8Vtog5Ti5E5/rmTldeV3+dybRASJTMEfKkD28CkdUNFBD9m3/u0arHimRVs PVzXZPlu0JHaKcrjPQFhDD80sixrG88Hc8BDxrj1EQe5Qe2MlilrSNtGdb3rJjqIHtVO/mjtFyzb GTRLNfrjdTZKh3JReWZksdnnnzA8TficyvhGPlrCf5BgtREGBuAt5c4Qm19UknoGjNhObBWXiLIY ezWmYVHngIJHqx09iSf3wjVF2vGS9OdLzWrXdAeBzQXIb/xpA0zhGwrTRier03uHSzDrIrm/KGKl Fx4sEagYEpIolOIf3YzF9i/NBNrOm/hcQSPbVVbk0eNKIhUBOBjO49R6hI/MhoH8M8Rz0mofzsGb LdqUESAOqtuwG83no1Cz91QvxZtUA/qFA3iQNm8swkbB0t9Iq4DQbuPY52XyssGcgR7Prvv4wM6O J+0cKFo4hjqE2N0KEDCDGyTjWExJFztsiC2Ctg1LuaVva7MVXi3pUOLzV0PBSyXQ7Y/71E+PU1Yc Es9XYnl2Mdt2kps7dsYzNw4XXLDNZUh80DcUdt6jWCu078SxzN4pO0ueYkKXlJECbQw0i4BKJfIm wbpkCLMUsAB0O/tt9GkAQPIZxV/36hU3zG4MLEYG/Ohf46zIryvObRGDmraESRCFyYEIMIvLjiLl dd//fTWgAX3bUSKKpU5G7sD7p2HQG/+IoFA61vx6UyyA4jTd6jFRo7pc2bKsPMDKV+wPYiLuJ4ry a8EcBiJXmV177v89Yoo2/cDTnLmnDoJ/iLwfk1PWsTEXcbqep9WJXOLGjE+5jjLoPW3yBDjoC0Wr rGZ7JnhnVKtbbYPo12+5yQyDkHhmkbg20ngCrY0ZqL7a5Lt4AXJRCFWX2a0NKMKvBQuan+na2ETI NUvPw4eoMRSlrYVTy+nLmMRs1vjxR8/prbX3J1m1DizO2a83s9evBTk44+X34VU73ajY94z7+Jsl Mbzn0ZgrXxpHmUrvjg0fd8C5IpJjpBUk0JCsCbm5jmUj5/QzgNOu179SEiy2ZMk67ziQMHerDnhx C7ShuxfUWLvtjLNpFlQzX32C504MmdkeMOJzIMHdn3l+Ve3F56/qbMYGVmKFPP13n29pg1dZ1yi1 gSYb9wRtBtl12nRZNdbPWmymnxQk43gouDtWGMtzBMSyKoNVlAgs3bBsaN0POT1w852TojxLHBWc v4YBXHnFk0dLdj8XH2GZlukCfbQUCNwcrtQAGtwrOBMS8jnCljKM0P6PjhJWzFNtZG+IHcmkNcDI a2OIRnYFe2BkEzFUdPmfGHGJ4g7+oNrsQIYT31GsBoIap9vyFd+tA3UlUUKBZDKkfuaY43RMSmo0 8W6rXwjsWp1T3j3temp3Kq9sBtgrb4g9FgVkNp6s4YXkUP0LH7ski3uA4KGIp3pwn2rJ+0TWovjW sdwBwmpq7B28V+mcvpY0wD6MLpiWLxzZS+4d+Waktpo0qeAXTjrdisgrqO6MU20zYjvP+6pBuZRh 2+qSwsO6tkXIjlihMtFSitcdMCk7beTvHWW6TJ31zGgdEzQSXenOKz3ooi8Wxw1g3Hg1V8nBFM7D uUP8h1Fat4f5tlD96wgLGfqCSsXEuk+xV1Z4Eogf0vkmqagg7/pF1Y5r9+F4rtd+KUG+6Ki5sgms 2UhgtFPwd/B70LwHnmLXwiPhXNX4TlPxnmyko9yfheHaex/fkCZQVUdJR3fIMgGZDXgJWNTl69v/ aQeLiONSyCMMDMhZGsT7v2X5Li2qgUIyByu95PAvyYljTqLOsZBAvLMVECQlbPzwzhBlHTbEGz21 AiEuuBR2k1rjP7B6gZsF1iRITc8ZLjJufyhthtpgb9XF0i5VZcV4fki4GyL1EDe7wNZEs3q7Hl+A C4mOCybDG/f4uGv1WknBnNWQG2Fz11Hbofys0ahTtAB3hnONQPAn6hHmDflJmBVyXPqZO1rQEsBi vNiT7+60Gv4RZ/PflGdRBTDj0//PHJ1f6zwogp0r6S7syXd0nyUVhnXFcZZexIkCgsk2Jpjs84Sn /+KpQNUIPjUooxrlkyuyl006PfikRjm47SK6zlQtUrIlBz8SInHYfelB87gOmYYFF5RN/0wgbhW8 RlEw9ESZBnUElfeKCJR/rW/oGnBWwzQrJdTJpi+KILVKj527HyP42B25fC22LFwDYh8LgYov3tpC TbhTTmCro0WFGjSn1klMpZWQ2+SCzGh9qH/BkYvR6Pra2DUXGtUr+SCgAAtoT94hdvuSU4k2I+OL 7PNmlUgQxx05OjK/HUmoMo2X18T+IXa0xoyrfWaO1+GZBG0Ikr2ZkW4NUs4E3FDR3r5yIBMlWCON kJUTCJewQjYzV8JujQTRdWwQNSsJMy6kied0tna9YMms+gZOwfQUVML58t38k+1e7sWkZdwQLwcc k+xO5AzwbnBjoAuXGO1yQgoTW7AT8FzR3WL8pK771YZ5MgAaD8a+gb5r6dbx3v7taPOLGpoQjLx5 ocAdYycUtLgB55Sp1GqOZvp4uvV4t7PgjuImcoLjZconvTqUZkddbRpQ05iDkghemzmW3dBpAVR2 eO3R+fnavfAbo7zmONKjFVuGjEpUfqRZN8Yvh3ChOjOaroEdeukhkMdu3P4z7nPbWM8e3w8Cfi4C SbdnfXuilg13tb2RlqiR2Dn/AmYmgY4e5MOOHK3aZ7vrLEdALFbY10i5HLugo0+eP0c+ASluWCQE 7tHroDaKM8YLIlr3bTXdPH/n6+Ad0P9ABqp2k6lUsqSSi4DBi+AnV1R5b5JBhjPR6kbZWFSY+doA +0Yo8eMe0IG1rOIZw9Gq8fIeQRysmYmNupqqMdSXhTh3mVVLD/VSmWIwPdVHmQnc31rcgebiajzh 2IjYRl0U2o1peuW4ykhDEvw9IaAeWWguwzZj6VQrIUtmzR2vfL3FjzK7UV2jWIDK0WZZkQjuWmrY G4tY4rS52uLDOe2jiKO34DSOYU9auHgXyua2X9VTf8iaqho9MIxWrCzVVoguzk2YTuD4lmSuCzkt KKkZFuBaKC69DiTCbeayd4jAg1sIOvd9DkrU4Gwv0+TcqtbIGthsEi/KSnzM/sP5g7EhM6s9yrrv 8ofSStoVGdWV4v/4MtssrMsrwpbDk5nEnD0dTKq14gWBxB8YDu/9GwFcLyzH8Q84RXpNmI7FOMer uaP9Ey379Unk0rx00pWo4cq9mzc8K0EhFcyiTx8IyxSdHYbTKzAztoa5f9QSLVSQZz+J1En0i+lZ 7H6cdYBWYiESmZ+qvw2RXZ45h+wlxwjRDvHZgHXPLmr9QW75xn8I3UDokQfM4WPbldsfCkpOWrJF 9I5LobS05UBuu6zy8pVfYPyu1PeXbxWIFGjbZXiycmgVvo5Lq5g7nBn/Oz+57ygjSiSLaRFKMUzz wx0BlZUlhWyVGTCqRz2GZv9o23NgzzGHuKtfhvCM7nABjQh8Eaj35Np5zyeNVJ5P25zAvYuqJMFX +SEszy3p7gG/9s+neQeBwZxDylUfpN5V9qv4XPJqIaBWAsPeghFVWqyZ1u646RdxnDUp6iHcAdiy SZrhoQUQoolf3tGJEged2g+EdzOA1s81u8MMUOFBG06tHjg7BaOFeZ3FytPHmoofTp6PrbM2YHRW FHMiyxlGxPSHdfMh1lYbJN4gnE6YsGIT1NEwd6YS3gBu6PKgsqqpnpz7G+MvzSRmtMMo7MpciOKD zEoL2UEhCc1nN8IjKrleQnwDwvmIdAiFyHwda4oEn4eojlJXGGUGj/qgZLj2IDw476KvPdXCcszd s1FBjEas77HP61WkMnESFx5TZkPifvPxElQpJqTJfcoqQCFVHupTbe/Ya5ULYGtcEoAwn9gtcd0R Pvli4XrGAUoLAWLqHtBmaF/NF2Yfwpsk56WjenX/pcm0PGrTsOlAUpepGxQ7bsnNDpfrkfZmhpkn LKzgliuBEsCff0+1IdEZTdsoyDIDTzwlPPjEbNhZrZtAQusa1TIYRjP3dS9tWfxRjhx7g4/6ZLrN Yp31ufBXpCN9ujKsUAo6BQ/bgBDeZGdpiHNIWfLo2lCg5JCWE8j6COSDzLQbNMDfRFQi7/jO1gVO BroTCsxAA30OdXE3x+xxw7NiVUfTbrlSCfqDKlwsnUoV3p1Fp+UenNzxg+aCwN1SPDpgLc5oTvL9 ZuBdQYslAatLqLgHUzElQvazQDox0/feVGyJDrTJMtsYnujomB3uPtUms/sZzvYUHkDx1k7XtZyr 0SK44KQOi2tU0EjaCMmyelAF5tSImMf+FGNMdyN0jjQKLH7LffPYyqoWbH39cSIr420c3RT1u8+j qPsgPE4g71hipRy2otzieLJhO5nF1ZGzoGfZ629YC5t2QGIMsCNnOsFxeauc2hYFD4/AOvUxCt13 JIDrtuPdBiZJFfS6ttD0dS2o05Ku1JmyOIXxbGUef41qJT+G8hJ+5OInX5CBqtQxiLoRY4wtsXur lKDZfw9V3lW5/kxoYy8RwZux1pyCguOLmCQaAokuMX+97O+sR8+yaCje4gRs1o9OYfND/LRYBy0p tzW96o7s252+PbMwvqASsYMtIF1es+YrDdeD4ZsQa9HnFrpagdRIxbufC0YSlkLLpjjThWYVBbUs CeWOeSDnnX8iNLNZbGVPMqwVGx9kltYYjm8BhWFW6rAnoBYcwwZdHxtst/+J1mjQm46rs8xidgDf yqVNGTSS8Y0+I1Zmrqzbg4r8I9fjunxdGxQw0mF8PhT/nKvNnTChhPnXkLfRsaLj3hYQsHW3DIXe Rm4qO+fh3dUYLmruE/3MXXbxXaa+cZTuPaKpTp15SH7GEgHSmwIJhCzKF2M4SfB5sXeDvZhgLE2k SauUgNPo4IcuvkMpyGuu9f6yC3+hBhOPJug/6ZuQnirueYu7xoaSPEyDUVwBjbL6E7j93yU/dM7S xO5r3fiQJWcMJMK3lbiJjSQDd8gSn3zWh3mqR3OrH3qg7/xICLoOL0/VbSjRd8Iay/LQfuTTV8Wv +Tdq0um889bQQdliz/V+lL1Intnj+cQzbHM/q9g7nflUtPmytgcP/dH+pCq+aeBZ2d1FHwKgoexh LfIo03Soey0xdly9FwcCRV1ZOgts/sG7/doCCmwai5KXsnDYap0t9XFSdKcAYOaXdZQa39f+3l3r RrGf3720jG2gszRw4f/gFhNuuZJRQAbCJxJqAg4v0G6Zm7gIMF66naiLCmQ1+HwXD72HUW/RgEis f0J7/arBTFChiRaTumQPOn0z8+Q0jCi23m7CzOBNUn3b/4ZhSNfDjGOdyVKxwAAWIAz8+VVgmb1B Fp88IdtEf1Ud9cPkUURBEmnOzkIyOlOsOYWGDeBHoB0t+COhEOdw3EQBJ2Kb6vw8dPG8A+YowTqs 3TTgcYXoUnT10j6wIQziwcoLPJsz495tWa+ZGp7MJXOBrh8Q2WS3/BJRqf0znY21j0915eQnSx9Y /v3B8K1jb9uR/XhXYwlCSefkBAi2PgGUctxFF6CXnr6iVmlfT3+uyvdJ8vvXLOpe+5v/TCMD8Uup e/uwEYoyrEnJ1S8trx4HT3g2DZ6E9TOVyQpVpFUm+saLS9B5Tf0W+wxo7e3GJQINuDW2+tRQOAX/ 8D2fwlyv2rJ06/Yji9LngYmGyCee/0Z9Pg3gPoZRroO6eLCg3xhbP+2LmeFQXzt9PpZEXT1ia7E0 fIJQ///nLNc+tPTjboJgLLy/pe/4cyBsskMI76jUqwqQWyUEkyAvlUQVnkUeO7EO3UBib8JJ+/eR cE1sQG+kvNmTquk4+8rRyr9PPuHAHuRvD0NcxErLxgIi1UfwqPN1uPA2cK9J+xBDQ0zFBGK1ZLjv k4ezqpMbEAg127i6ZJGgT+OyEdq3hcj+LpN5O4n+JbcqISG2Zo3TPYB/KWrpHwBbITf+476db/Rb bgopMf+VwWogTqFrvU1eNFxIYey+bu7JF2NZthWWSLZLWaEpLGuJdpHP7t1lvdqR76JZqMVA/1nM bgoFuEXY/dbo+Cb8DDdXAsgooM0cXUsqtuglMT3onPGYOabGz3dna7QJyizJlchjVDKwKCZoFH89 GURsijLG8HHq5xLA1yEjc5BiGFYC0K3jq5bewrb3Q0WDLeSSjWNueelOP/NJgfafc6jVw4rayymR chDJWoV95g8Gx5d4THdZB6i9UcRL3LDGqc3rzD/wsnPGlyZnsONbYUqpQpt8mkrYS5hHKPLmkFG/ v1cgpYYCDHFen0NZgDMaH72DuiLxTgNaQT6HQM59GjvYsfbi5kK0EmnAH26178uVdGHh2RRkHylh W/KFLj68z6HxDTA5Pq5E5RN7Gn0H7BxrgtQKsFSCukb2nnzO6DZlApVqizGZr3Y0MrMMwJeZRYtr /0XYVy14pwhHiH01DYiQpn0OSm06MOYMI8UqNnEQDTeTW0s6GDRU0LhJlI74mxcOakz9XCgiy8XQ Ib6oP87k6sWrk3aXjmxX/DDLb16m5IIRVdDE1kPVbOcacmGRKCfBq2sxVStzW/sa53hyYiKrsupS rlJJgniZGCIx0Q6N2i79H6s6wqBcp6KLppbNbh10NL9+a5042gTaRlqY5CKLKicXHEm8DiFI3XZU x6bEaBBSFu3IXtmn87edJ3tnj+mQvgGqMP1/VDP0kspOgonhzrJrqI8yzFe/CiorMVD8VuuzE6cv 7UCAdbxK2PtdhnFuXbbqYScQeo4dGtRi8A9NyAfYjdS7/lNdv8lPwHGXDhs58Ea0pGNWORjOep48 8AnSba1dmeL/UtpOvhOFU1yYm1um76XiZyKOVmL/8TCMQz0xx6g48xtbgWJVJhdSjWGCRoOHIYaC yTfmxTEW42TGxxjfuJbL2EvHfNiMiwOx6r1ZQ2qU0X2adUcV7tg2QHYWE+xQ+PgHG5iEhQDAsdw2 rJZ+UfNwdZRDs2NcQTqemb3YVkeI+doiJtjMDTJScwOdvjuP6U/wDKZ0ElGRomSgQK2HWSTK3K+/ V7raL0Emlq4/zyBWrWUWPunF93jS+82VyIbpHscctPTDb9SP2tXxjBG40PEqkjnuvcitoJWuB9O6 +0mnWFDi9GpMvIDp+LC3vF4GbTyR1Cgzb0itNQGtZ8SP7ioHpPXsR+Yeecza3ZCphlnDJTb4lS3E P6Aa2En7YjbkvruiOsGNFPuHCDGUdDuTdWSUOlDeWnC269H8JiUZoWDR3J6nEMjtsaxJ7VoJcsvm fGYw6CP3ZGLLBkwER4aarMnZ2S+1vUhbfSQCv+NR6KG0N6YafifCTcjrIIB8yAAd7uDgWETIu73T rqBJHDg6+TXc3mrcYSjlEaw2pglUgHZAh8ns0jr3WADvRa4md4T+TusEUa2cLzwng+z9CtdcuCHW gR5a4SqTZbWgUycNGo9It1CkWu4CzKbAnIajQXmptzm2dNqjyw45RZ2mnD4q6KbQZ8KXewdBz42l GVrIq4pOh81WcxOTVaxgeJkxs9DMzLNU4jH7HdoY57PQgOlxq3I0le06LmzI5Xn8+jLEjPAFPgtJ T14ine8nzMDmUHqIIoNiDajlbbetvvDIND/SvAAKx6B3MsF/S50r4hsw7OmUS4/uTWMdOxvDbI+t xzICBO0b97cPEFKlVII5cGFp6x/XTE+AHvPfW3TCr7+By/WsmQHvhZjwny4gB/AdxXCGwm8A/qxF W1W0XCu61gao853I4jCLJiL3bTsUQETJbjPxI3a1I/lBTnEh+OZJZ9kYDrvt+IFDhaxlCSeMXSc0 CB/wgYfhwbhBTHv3cnV51T1S6X6plja3jm47HJr24RmtvvHvhHBxLNjuCULr9KbZlQm+jtAE5zS6 1dYNcClprvmAo1+W7Oj+MuqRlWAuyzEogmhzXsmOV1A2hZbmmz4BSLzn4aC9Cq+jUK0MQ/uBqnoN z7SRAMlapLDx7JgmnStg97KsV/toLqkWdYpy+iAncD7iBkoozM3BB6wl6bR/YtZ3Ik/RlPhsJ8at fT8LKyp2+5gb/0Iw8QCJHB9PnZKie/5JstA06CHwfOIgBfLCXr0EnCtcwyMNwahvoGCSJOIIw8y8 ME1h4mw1V7ywBMN87cCYD8+cRo1IikFG4tXS5k1U71yeJEHUMZwHMtiKHY1Fbg4bXRRWgFoS692q A1HNCTDPdyLLZPqJezMBneAAzd745KolTUuqNdX2aofxwsAjaihORiBrnrnrFmopHXcjGALtXhBS jqerDxAmhLXtk/R1oQogmuZG/hYTCP39TL1VizmWRnmIm2SwiSmMkyLfPu4bRdPALfILiRPoLrrr k9ghLruT9X7DX2BDHVDZr8BMGN2jq0rVBUSzTLUzMVuRz5SNYnO/BelU3nXXyHAJZ4VkvmbniqN+ +ASTyoSAZ33jjfc5C7l4oxmt6a2BL+o1TVy/y7kwYMm9LOgv9+7iU5c5I1a/VNTq7qIjRA8bpKKE 0+5RIq/YfnUtEaoivujEC/sLqY6y6cVDUU+Czr1+qfNZICKVhzniqOMdtDbwkczlkE8KTpikUNnr /FoY0iusGXFt933B8rydiG3rWv2YPQmIcybd3q7SMVMLM3dHGif0LfgFk3f15kt74IT2F7B7i0tz EtY1jLaDRbbS6n4bApLRYHpmkcgnX/RBQ9WE/JlIsNve1sBv4LdWndnP6P41j6K/8o+IGKzAEGwf HiLPQKvKAs9FT4HUwWvv2/MC7dZzEHCJ4LeRTxbPuY+HIDHw5xMuMLnujHnlBIL5LZjH+KzIiRFQ QK44oTnK0NSLArRcOekIKISbjHN9lpAov8Xz/dv/HR3kBTKKlpiBeaWRTPSpvQRtG+Nmvyfc/Fds 7pOtcwh23GDFzcigj6QPpoCOFlNcf5wdTDrzE1P8L+zu3cqDdlH9gTXCje8LnWTcwylqQxCqvlJS pznBHjQ3gsqQjn45ii+bSm8ZkBr+zQCsgglZOvvaARar4sTYYsrXG4gxCgOr3pv3c5OxN3L4dhg1 qrQGTI1b2EmLIy4pnRxIrB4E9Unt7/DYnJm3733NrureL8UoyWDaQl9GL5iRWIyPYwKWd5II0SdH IJn3a9FjIcJhI+3jDLW0Kr5wJ2wiPfNIuuEh3TPzI3a3qvRyxXfaOflwSQVDkwYBk0oUSF/SSpEN kLpqm0o5DfpYYiyhNLlxHwbCE+yRSsw0cb4wbcE3853SN/RQ/FAy9hLBGintl30lHiqyms1n9F5x BdYKj6NzkGIOT7aSfs/lyuY+d3tuCXv6BIs34VUkqo7oVRDX2JE2WCZDYWNw8Vv6xpKKJwlHZdOy GKP/3ViU3eRinufFujeW23zTTBSTRt3lbiGu3ntN80q236Dpesnry31B6VyIyBeu3ATsaF+rLr8C DnCDqHSHXQnyQWQcGDmmaq0rTt9vCs9weRKQJqv1lNdoS0ocPCCshU01kMELrP8m9AhGVBee7RyK QP8SVoQuBzYeZcpcW4Hbz6JlQHie/h6MUgBMMx32anWPAnOKdFyxKd2jxi3pIJtZ5yWpycEeDgzu dTbfKpN1UILKOyor1j2cdkJvbQ+ChhmPtXqzl71epBNN7xmz+FjWPJqrRw9nvz2an1uHuLAGyL5y baV6Ed3zKlBQnttmnGUjrpYc+7ZzflordmmAdG9W/KTJj4sdjfmqyAnTh8weafvJ5GU58KgsZTmt HMkOiepINTTvxIyWQSvyjP865W4DWY1szslRJSYDw0tcVCDvgmhl4DUfciS/+ojuD/IzQw7r4Ret plH5FadcNpLziAuNND8kKYI16p+Pe8KPb23ZY8wfS9qVeB9Ha24PBKQ9FmJUFCv0VowU9WeH1QL6 YlVO3tAglPzdNq8vMjoWgomizqpqRaCXvL3MKT2JdeBpGorQ941JcvCwocsQDRQ5RTmNuh2dReqo JXmW2Eyzy+Q1OI5YuBQIN1dd56yJJvAJXt8e2FljaOJ1lpln9yM08qJLrBPUdmgHnGs1UVWMyvqQ K0+BEauUY0HafOZPWJxF4rmpLKFWszLId4Sd2du/A1XmH05iMN/XoMoPvDdFF67HL/KoUgDwdGTQ sP2y0l4Mc5rycLPUkxzeDpaCoiSTJeCIVFtQcYW0JOpWj//jq5i+6Z6pM36jjRRdIU/zQMhRYTOe Nepwt5jTIaLr4c5E/XYvbrWDjqkRXLmhZgtQCpy2UJUS+dDleQ+O5AF6Taw8qIEKHAMrI2drDxsm JKcjDMnshB0G6SLLUGyiTdlhZf+rRmxhAg9lKmL4tKu/QfvXjLNffDYUt4RT94E1lDoqXobZx/wf YEXazCUrIgkiFQcme2vf2FMNfcW+4d1oGZ89uoY3utRYFbOM9CO/L7nO0oNVi5ttD9N4LWA/Cfw0 QLXaiHPV+0Ilj2d4K/b0UmE7z3qQXGMsznYC6t0lhk59gBTicjio/Gbls3CGYWS0Df/XIWArJD2V QeB6S8XYFGAF3UgqnZRgBR64qtlpTuWYhbn7HQw3mPQEo/G071hhtsvSGiQyNtpSndXbUtNzMUAd QHE+s9dAKg6wCotWhoI+0LpP521Xq0v0SDDckK+JQbZBAIzrmD++lTLTloUv9/mwa2NnpgL+lVpu M9P8RfkAqn2c6mRqSk5Jn/hyEDfaiMi7VVIG6cUbxdcBfmOzy/sH4qa+rfNh3iCuEfBop3r0Mz9I DIDtnOTd36rQHyOYlQhU8p2woLu7od7HQsOMgSNibIVutMkA/qxYmUStRte/ARhADxZEAYacFjWj PAF/h7aFWSuwkFSa+kDE60J4Zt155L0M3HMPzUNg3fKRSMEDn5cHi6NQkvdq3zLVBAfwinXWJmw6 d1mVowHscgY27Pp1d/iUaAtVxk1CtHXxh80wk4YqbRUwRsHl1rqKxWs+Y9YRW5mwPYRRVNaQBp2n bFj4Ah3SHYlvofE+tUpB+f5fhY5/i0PpsY1K+EzuEc/Yz8yu30VsBne5kDAnzwVzBbPPmNUdSiIK NM5ttuUTZZpVwimXL2P/uBkXrbcTlixHi9Al/FKLNn/4OhEA+L/UHfS/iDkLXZP1orx7UzEBO+2a xVYBFUKsAiFMDXFIIzS2meHulpYoZQbQNpZ3IQvZG9Os+Jct45OCDUMjDIfuHeSvg59XzZhmNqh2 QmgSfBtrU6uVOnjp3oOmFnZhKqIkWcXO5FoFlDqtZ8lfxfT71Pw8xlR1ydjgLsZsZqTNgpuEKyuj aij2khPH/FIyE1qOEF0jl4lBIuf+AQe/UzyQ12Dk9eEYXwaMh5Rm6qip7RVOCkcRRdydPqAo10wR a5XCR+WvGF3h3gLbWpclCzArr9ojYxVaBZgxv0PlkiKaCH4f+AaQLznJqByTI9koObTwnTOe/8Om 9+kvUEoDhLi11jCzh8jvFhdnXNvCpE/gNoB2pLTEWmFDvzHs+s66Hd6qfMteReJModIeqCdGjiuZ KRo3T1f93FEFJLsR+MLZmX4p8ijhtMpY4p0timYoRJ7mdInzpeNmnRIeNHduNjmd0Q1Kt0ZElgAK 3gU2yJY9SgoHjggroBeZt6gxvTYVMNEECFOJauttG6W3Wki9Po7bEYOOU50LiiIm7bKpmymEnBQ8 e7ob3+Tqy/w7HqW7eBL0GAKOkCNhxu8cpiLVMPHV/hV1JWSI36Ic59Wdo+35AKOYb7FXiLytJKdb eZz4LrUoyhaHz1pOdFLitLfmOxR7XQwl46i4kAixFID96Y5Ay5h/fZnvf43BukXdUKQss3HsCG6U WorHoKBLDbU317fFDF4sTv2G+hPH18NSUPdWoQhjV3L9PpehAstIyPaGCgFf+g9XdLWDkwE3CR76 tWZenqN0lR9txY8r9uS2H9ziERneuR6AfrFtEaewsIxKQRKgsYWinH6cBuHznC7nsIDkoorTgucH uvzjCoiN2npOqAGZXliIBNERWXQbAxekuUssZxql4OQHXT0Xyn86QvdGlmaKkwxOasuHd6wn+yob 8+9d19yj0OjyqbEGbNhm+zLbXKTBVsLcpNXRvb30AQ42DsXD6N1DPXINK1pKTkmaieR2Fd3RAz70 qw8Oee0PHYxjobNg2srkC9a8UFmn9FZg/pAHjiZEPn0Q6RbALAUFzO3ymWaZLD/YHwshh1aW/qS/ ZtC1Bl64VRGgWqcnAATo9MUvOlo0LUZK93B8f1MPJNyksOJYaze8UCgFgsO81Rz/3atV/dRP/1ZW xHwiATn4Bh96H/tIMeO4625m4OIG9GpDjOg+wQKIPjXApMMj+2wxu8gGBaYdx9u/movW+9OEuhz6 oE79ZZny/U25gBCvyGYbpoTx/grbsAzfgS1cmQNyMLCxrJIGTJ5qhJJanhnquRe3s7dexAVGXXb5 QYkrdgmsuiMSUbyau8pPJd/wxJRwTZNKbyJXhWIr0mL5Gv1zxnIbta9G8qXGai9ehKFQHsAd/ckN ewLaojKBASw844pJrYR7iC/elT4sNWQjW/nZD9wzezBiwVAJw9aEyIgJ5HHqiL9UoNXHW8IUbFwa sxM+QqzsxIwhqhYrveCKALWtv9eqZM80rvb2I2woQvcQwYMn1IFqbfth5b0OX6RFnx3tIEcgn3j3 1w8tq/NRFVR0TWCyXJABgW9WrQZUkBoYO7Pzr2pfzewNZ5KrVzdewaQ3DqID36kjNtVD2KwiM7lr watMx3tRloHr7QKdge47N3zFxxPC1yncNAT58jvQxS7GQQBr8MxQGBhkJs0hSgEceh5g0jn5epa0 eLhSEEqHoWOCX5LuZzmZHatnrlo/zuZ3sTO+80IQv19Px6X85vIegBZFUozRWRQ0NddhXzCvY0Dg Af6YBt26zt0JJPs33jQVzD5YPxAfURiO0ATTHURR6U+KVedTkWC+9Ag8dlH56vAFM6dRPNif04PE GX1amoSfL9oBdVVvpASqU16CTTCVSU8RZgyKmtlNsR7SPk+DnBp+oKBP1ZmjTS+e7WLZ8P5XX87F 1f+btmYn2K7kuhhVZPUS9Biz7E/G2fmrKdAAO8KUm1IRErty6Lv9ez0ImdLj/68781NbmSSU2pwe HGijWQ+6EqBkltuzIzxIK0irZQJCyEbx5qpPMdOftJacTQea0by4LLXEE4fwYkJTy3wRGgW1ARY/ ouqd0AaIUatGZNYEWUCsBlBGJ0xTBO7SdCIXJGzUaausHCqXT9rd1D8YoI53vSn2uCwd5lXQB3zc QIGo6+0czQD5ZHxEudAeajElFcgBdHgk6s0jjUWVBHg8zkvprerGkzfrTw7r3gVG6wCp1pFhY5u/ vwEuA+P9lVpiTlf9X2HZMeeKAIvCTZsg28SHDfhsqYTndfRa+dCTTmG/PRTQzv4mZtcLipqOXAIQ dIAeEc25QHBTwk2Fg6qJyCg2EWCoj70vuR7pJeTIYAL7rX56iVYbwEw7T/+TTZTafOh3faY4UXH3 TsrVTaqLQ9z50JPE6LlbnnsHj/uPyn8ewNea9sO+Nmw2e17OYUll2MHdqwFYouqyq1hqVXaNOZC4 V5I2lfBB1vA5aerovdXLbcy2D5+UOlaoLCgNiet5KQ1b4sYwL/Zly7O/kf74Og5rhzReIHOIyTa2 ff6Rx/kb0Cnd78Y7mEXKvjDn2VzRy933dl4MgazduI/Z4C12DYLMbLwMTGgKRXhUbPN4dTer6x2j M3rHjBfk0CD8FaTenbOSWfpKc5jiMVeAwZLPFPuQn2UtXzWlZIx+zIUrkkBIvBtQyARyauW/kf/o mgJiz7JJf7Zv1YOw+RQF3au45e3u8orccefWeiZMqqAqNpNSwSliI5m2wvBzuGHlw+bay2gUvLyn J7FOxbaK80mDRlPeIgrLJwuyMWh+B8I8Z/ujU6gWfRGWYMEuNDywo82U7oIFbKCS+Ko3FzQ7RvXo bGlysuySQwuV1Tj1VBLpTVQua6+h8810tc3KzwPkzqK2YXvGgoMaE6bG6hQlaCVOGCJyWuZIwnQ7 KCXnXYSfj+FbJCbhxtMBsF8hArQ1rSkWKadIM4+CfdXPY0EfD4w6vAQQvcjufCsHuATLJtN4zIPc 8FSNRBJVg3W18JbKsIoMSOxHYivJAetrXEVz3EfYdLpqL9v3mkS6Iu6J8AXpqlarM2QqeWnj1ex0 alJptWyMbuWrdMVV9W5ZofK4EGM5p5OVuixSG+fUsbp/hVCIFdWNiVdbKkAugKFLtKgJweKMQrez 3W5rWNg47Bv9HKI9oUi6/4VKqJVWslZzy/9183HNaBNL5Y2NTuGj/oaQ+dCNM6OMraQJIPvyJ7RM F3AmCTdLTx4vFp7FD8o6p2qMX6OJCCZbbwYu/GBIRamTe4Be6nTTEAQ4rZC1fcnVV0g7Ze2SFLUI isFu77oRztEwfsnbbiCLb25kK+Ue/PjSxFYbHZ9r8kKLKhYmhNQ4jSmeiJqtAqf9sKO79mvnr2lv urBhe2xXH/V8wzFCTEHXW0eotg1J3NahWcrAUimdaHTd9e8hlSrMQixfpPoAG0gBlgpKMUqZATax zfqKxOEo7D6pzi8QKjT8+4OVIPoSqz2x8NqynFF4V14JdIczcijkLGlqauH+JUKkuudZZS61G+wy wiYilbTPTY6YE5aEwY7GszQoZO4CAOTqc/GtSDBQ6hl2Ewm8d8+qG7d2gkhOzn/9A9PKQQYPUAlP +140kdicr48vwDcc/2UysGa7ojUyXNUvYfEcWAkUTJQtCBJ9QgwjdVNKKWc0zHmSXGvXN2qsY0Hy DsL4yhF9eCF3xdUWQdiPZd/UA36L+fFVzcA4jrkseTRctovxTQMvXa4wuM03NgZERr+JFyOmJAaa KBv8qkuCsntFwAkCxea3oeknWuYjiBbCoGJoGy4Vocb/Bzzpm//wLzG71x6WfZvKIIeHpvkiHScK R/qWG1/NTjwdu2kCeUDJ18SNDw2XDtIxX0hiTmwshRipxaLmjDKTuQ82h6muQ/GFg+Tma/anqWZU LsA5HLPOSxy/aLn5tV06CuapMUD8UNTcQsYjsS/rPHzZ8Bxqfxqg1p44GMs1D6D3/W64mhDprFwm OKOLv5IXW5wW5Bpv7KIU88AiNWVfx5zhU+9x50kWYrt3gVJBYjfT3hsEyktJDR2H5d62h4EjLguP dWAwCxqeuXcf5FGS1YADNcoDhD9bo+MTY9Q/Hjxw1qIV5156qjWUqHCjvOwMh0jaHpOt6EWni7pb WrnLIqpMYLw2MRPxms1uCKhI32fGNpiCDk79Xjcu/dWi6jIa7QHvDVvrBKsju8hrLKSYvuuoYiNU ouynCkEzu8RnaQ1gw0YHIGuXBx6umReHyDs+mh0FzrVACvnx7YBvsdVAOyck7vR1178QzRCecZpp 9FDoT0ZT0NNfCD70O8sqm4cAc1q5gjyFvxpx1PYboDsbFoza3gYW1w70qisgbPDvHoGFHLoIRAOd /3+z3pPn86CeCJBojwg7Sma5h4HUWY5TfFZcpxgz/aTCUKF21wXQSXoiZPRDhaPmtna0U0mucls8 LiPhxNElinNend9HRD9FAioQLfdJwOidE0REAvCqjZZaidedY3Y7Zz67ahvUSIAd9wSJnwJMf7+J yrtChnrFDk73QE/9lKiJ60dgoKd9T4sBf3pAlLVLYSoszCB02bs31l08os4r9dkZyABW+x7R8572 ZFV7BVCaNzHYbMigid6Jr9dWuCBQpT2+BZc4wlF84E3IIA/QiFQ75J7/p/ddrV5lCf6W75OOi2CC XgfBWrJnl4SbKNx64cbzjSx9b0eu/oaLe4r3Wx5t7Nfpo0g5rmGHtuTYlioRt4DnEYMLixLbL0ka hzVKE1b0ObxjF+D08oXyK1vgnZ5VzWvcZJIEkRo+AJvJ4uqEhAyo34kbrXzOVPuykoXeBcDV9w6z 6e4N6jbtSxyRSN2ra2GPcMJJrXxJ2iOTBVr+NGIYZ3TzpyRIwnQ7/d2qwWNmKlO2KgmlaLmfEig6 O9YwfeE26VR0EkihWReiVE3RjDJ37qRmsGArxMHDvg8LPQYZvNA2JjCtaSLNG4UgYIg/aJ5Wb33K P/S+MYjDXtsEPcPldcHAwUzHvXNEcAq5C8p4nrfhYdofOH4w/SeLVXobDS3Wb6WMZ8E2+bRQCS0i l/FRY0ZersR5ca9qtbDXxLfCYh4voEvz5ef7SmXiKOlOYxZgoCzQmmElr82T0EhCDLSpLTjnJQmS xN0Y7uiCgiuCcCts1svIdJt81//gQ/4E55wnQMTxNGH+5ajLE+GyyEXzEsFAiM/r1tdlqR4N9Ddp 9Y/QcQ2Otbj3Hy3DjkH8hWVMG6TPaX52Ii/EUawer5Q6LiPgWfKaP8sVKpWlT70AzVWMkH+8uLSC MO1iaL5ox+kFQEjpjahDdJQYQm2Pgx71jD66njPPkGcHMAnM8AwnTVtt5E5l1xAaC64JgRiPmmng yJVLwVAPa136eq/s8kpTwHljdmF1XalOFURK38fVo5Nu4iA200bVnhl1oQmPso+HngmKMiyaUzEV sV7gAfJb0otZMUDr93SGuPM8p/MrJoGJXtyYLAnVvRjEUks20YlkO16xS4A/bbhP7nNcP9YPCOJY El7YSv54GCPVKLXqiYXll8eH/vW6JGZ36qoVt8bCWIMWcZ9bQ+6aqir/kdyOtKFgSqT5+oEjU49h eogJBJYX//AlpzZT3E05DheBRuELlXOtrDVer4WBfrbOrsdKChsx0litrrwB1OfqpehLXQRN1kuR ZlffEyBhD2d4UD9t/d1hU6IUUdFXXRbo2cl0YNxWsCJbIO12D5Ed9T7iErhN5d280YqYvomAsTce 2seTtQyAdgXzuf+Iv/PhYtdB/7KXJ/w+/pPs6IGwA6I8UYcrgfLkBxJvQ/lc/FXqnrvHT/fBuetI zC/KYrPS5cZoPVyetwb5dYaEweZOq+QSevFCn1rAoIxZsfMS3ioXCW0J5zG7UFvf6KASjOmgxiVA RQyntdHbSi8YDKdTYZATD7nqa8W0rBp6fjq7WuOnj8dUN9dJVAgokM37SkO2KIo9mfDBoyOTcEUV F1tXVnkyCWNeh1bYbO3j2UJYmcZiTnp+UIE5tJNvP2Z5fTEC1ZrhAQWhrO51BkeZ4ETG3UYUCxe0 1S+5LyjVCplnxSA+DR6QxHVPunnxKiCRM2340S0QhaFfY9iMTIILW19F4+sSM9Ceh7ZJKow/GFuv GTybUpw3lHMjKp5fvmmlRZaSo0VIG+SOVcZ7MlkO3IlMS8k8tk2liDz7A5ueaD7xdfnKSnV20dmk 5Um+jKF913bwkkRpKzqGFyC0xQdLZuoM3d/vm3b4BXwsnrF60kYd6Nh5dcwTxjHhi402UmTz7N62 4/HMLrKGKE+Pfm4k852wroNKTGfcKjEQEdD3TFTFsyGAGW90Q/bGdNkks8XR1Av322lHO4VQKAdd PvbXwE+1QOvS3fKfC2uTakh77yIEBOJPUxP0ssq/yFJmOMj6mzCjZ3PRGcFIPsL0CQASoOPwz24o KPHihyyhgka7sGJE8h6Danat8kqJ4MYjSncEvrU/LTeGRP385huyYRd9Fhrx6LHYrC/H5Fee+Ugv hd1pQ20m5XvzZmpPgngJ94NuP1u8s5YuNb2t5xmtk+uzsygiXkrxazckNDFtXkAp9+DO75XnFdWm /Xvfc9wdmuvhzAyqfDl/QPYvJOiVjl65xP79MHDY3ZGko7qMXIbn/m9YRB8KKcB3ZCehFrFUQ88J 99PnVUG8U7t05JkujsUxcqJet5if9/TaLPF8RXRc8ZY95Ua+Pqz1jTF4Lm5AAHhRaDUFa2RYMF/k 5mBFTfTPYphlKmQlD/ftn18tHwvd843Yk1T9Gf3b7hgyYG5MEzvYRGr1vQeAevLwT5unom8fSyqL EqBYn8gob7uVTngHi9dtGhISu2tidbrjkgSqQ+VaS0h2MiMk4rkrUA57qwl08fBSmSowac+UGTWB gk52IB3ghUr2bpolQV93WV7z2u/Pf0/eAO+pzHn0rVb+TXGZLXkAy75BT7PosAYXhe8ca/1p3572 NaoDQl570+nqwIiqhQCyo5G2tB3A317fXnQixp0W7c7CeD5yb0LqSp5bAW8xH9pO8mbvCtN4uwgY XmQKhK4vLj+I+ZrJgmdYIDLBkAnbV2nF5BDXba+3jZuvSJuHwImzaZ5/QoM5BddSRTgdwRpJrYv5 xxB7/uL3DyLHli4KtkVyaLYxioc1LtV7ss/6aTCw4bVuc4wevETMtpqK3I8IPtg107kgU4J670J7 4DDnnLdcGG1LlYztArnD8ZG1bX13081LIMuh82oevnxYbyzkI+1gSn7ApVlODFyt5LrXe5UYRawx saSOKpuawNU1TSzNOGJpGu1KMyb5ea1H2lHpJsy0sG/bJ1YELxS3lFCNRWIU2++4ASo17rM20M0d LnKpVZjG0YAdi9Pg2oC2NffzjHHkA2Xdn6VT1yD1Xze98DR+MU3F8QliJ3kM3YKfrWLQWykvqfXK 2lDW5BG6CYSMW++zfqmBh/Abx6G/OmTMPB23NrIKxCTuE6Evmb0CSQf/kZMjH+X3imjamfZ/2Vdr 6L3iQhvw9qBUK/QMWIOqQAy4NSFmW5i5kG9nROrKMRLyVwG/zWPHtljHAkE3aQvBIEBdQsq66GaV HiJOSjh4vbVAUZYl3DtqbrCYfMtsIpgU6xAAwKdhJmk6YWLIltzRwTOjJDPJ6S4pGLiOm7+OT7nr dkHgPUF7x7pJ/kkoTHjzeplMoP5LwyC/Z2mlujtvub2xHq8BNoN6HDcamIYpVbsqKAW6b6KBB4xa +I7KkvF1X19vAGLUo/uBUUcjGRQ6Hx5W67QTQijNmcmCCujqv+WM4ZZL01Dve8x9g9AYjxajbZcI amp/1vgwXSE3Pb1blYs+g0f57DIWeN4KV4wiIssrf4n/oeWfeJhEAIfGjm9mLMu2qEYFVK+DZQ73 LZ/VO6aPTNUTDSzDHSzo9xqaLjAHr4ywXbSchC3d0QxkZUlPl06k/zKNj5mg1LHzdTwLAvRwjxfg urR6x+yz4rYJRA/v/IAn0JdnD3kqAv6lldz2/p6NwIni0bwB3t2ZC+hNbJfhvcrSFddl4MxrL/1j bd3P1dBy61aAJY1htWQxjmiK1VWm0S5MTXCp72hLemZmQohM7/m/8L0XynU2s3bV6ABmcGWbtNZb zdNDO3h0cFi1VbwZnRsQMxN9TIdgyqf7/v37Nx5FMGh5nlO8NYdTiwGu5YjW3iaFoZVsAVHP0EGD R8X7RCwrdO9lpYrX71GIi8cAxFjsnYsHmMeSqslAtnzbnPOZ8e9Us4RoYCiXn5MC1cCbKG0Z4ywe 23SJsBPQCUQnrfPj7WO3fFm1MsOHazQd+AJfIAe+xeGyoWrhgJMgjfPYl7ApxRWDGEm0Yy9bw4Xj bdVASPlRXGYyQJOT8yk5dXXzFtQ2SaBjiSd5MOjD6OHaFS36Go5Zc1rzujK//Xp5EjY5lvvBKeRv xPZogRo30N2r7pcmqR7g3lSJ5YCe1BrSdeYiknyJ+CyN7kvVZtVzV1hGtk9cBVpVgsmUYKwF6bKH pNesGHoaJjQCZs6GA4cNvPiO+uhZZVOs2RWLlGq3Odor7JG9Qrt27ND/VJydNmZjyBF833afi8OA bGAIuP6TvzZ9/IvAkaWNJgoSFRek6pqMNzB8IhmtG/bp99C+fyTHXkD1GR67LeNp4huKGPUIACtZ RCDjjRITc7qu5y5m6tHqp7WjsKq7RE/M5IDTC3y7KQelF7lvaOeoW/QGfYIldx+g8XWjxcuEH/sQ QysxMfct6B0FDcOttuzUPgWd0WY7vtGFJ0N7lwB/UiQLU/OTYMt2PgK30FtIgTNB+2FYNDYdKIxR uTNYZTO9KVcIgPskfqfDSxUi4s+pUI9hoewygBNIoDS/DFyai7RAyevlt8NCInUuJmBrs6uRPEqG QuR1j2YB3Z2Tb/BcCm3Bf9OiLd9VLAPgr4ER73GnvQ2uNAONo9zIR0/KLt8sGcDAQrrhlkyx1JDT pcqKUWfAtbinZiC2gBKbPrMID79AIWPKfcVvTu1J1dybn3qWRvHsyyc3ZN3J+9IiDc3GrMtZ1YTJ Vsu/RK+I5+ET+n0CXxwZfkmsfPPfmdefzS8qkQUld0q7kfkdG9CuyW4alX1dUGJQ3hd50zi97MSF CCkhJWl7do5Z68DPK3GPlV403N5Kp5Zo7dL0MtuijseIzqIoMMLk9TALY+soyLsGct0PbGAa/4bP iH4rsX23LqKnIn3JNnbu70AKHFxghjyHLQmNItDY16ChCsm1xWHTlicyH+tLPKSaZVWZJEKV43rn iOMMTDiKy8thEGh1eWoYK+8LetzabKPyFT7RSwu9fZGwCC308g+8guGjvOlKadCdtIqMUFS21w41 vempbMox2Wn0R0r2VhhUqulCWGvdZSJg+mGckF3kuFjnSDlVpfXI60GsALXCqepbhcuCNnwKOqi2 XSFu5ALc0+OQ2clT2r9nIRYYCjH8/3DiB7dnc8xeB18t0LmllOR47Uo3yc98IXlGS4Fnj5C8GtYx uCk9Zh52SCb77hakbIYm4BrSHdnwNVqCGIkOlR4cvl1fQJ2rSm0h4ESznwCJFby8AiUJomchK1MM x0h//qXaWoQzBCNpvaRgWUmvu6gCEk2F/PfrrfIoIdJ29532Fha1/HqaEXSq+TvPf3JGE81GqDY6 nvnCf/ufpMCUt+yxxMdlPXwEJEyD7sJoKmHVqQfsEG6zckxp9rQDuNVQdhplqwBTFprHtyoOtIuz sAwKsZ0232eLtYus6eIqk5M1IBIVqygW3MDXwQ6cXl42RnKRkAYwJ4l3g9sIk8I1LCN5hbRhvcyL g5ppforsoBHn2UV/TdrWfTVKrgy8uQQtgKAqLPJ7EjCaBxpyI+ix1QYbFGee63D9NZzfh+D0T4Az Y5/NWbThb79WSBfKKh+wr7QBBLRu80cNJlCAyeNvHO6vIj8cJTYSJMuFIMB1A1CulQBAOe4CkY6V aIEvSJBQrW90AZws2imqAayu7PuXiqZml5y10zJmIwd8h5JMcAfeGSQW4C5rJbSqouMhBFF/hylo ecVbrwtnd2p6T8wwH6YwjR/CZqCDWbDM/6HH77m49wi3FvFiZ+nEe8Wfhh2xLp6BAV0XJRRMkuGA jwKFi8+UnJL1wJmltSXFlCYE1Sh9CcyxS1DOf0rGj1TQaFMOtHuudyjz0DMdPcC8nj8krHBi/k23 e6u/vURycDfnF2SAjwy632KGC7pCoykzIKnzbWPNlfrppzXAJQVfNH/fE4nK+GuSTmlOsEH0cgY4 5s8YV6auO3e8m/3Syn9Ei8Qzt7nCMd6XGGjGlc5bvE4fu3OeJYZmTr0SEn8bUunUTAAdkR4/d85F fI6CjZsk+QvTKuyi9aSGw7qOCXVJTnfIoCr+M1uBUwJvfrYYvat8p5hsTzOv9SVqhv8vme1ltIHq 8+A6zuhCKLqn7t8+VrIbD2K3X0lqmQzd9u3+ts7/KYCg1V6KemD0rkvfjD+Ks9MGaOCPGHycBdyo hzTnU/YxPg66mlf1V+7XVk2We+GgtDZSsVabhd+0jtQde04HW4gK6vKKJ4dOuz3dm3OdF77n+weS BpKdxsRKOvoTG+Emvw4JG6IaWGG8DSBR8KAmBGRPT3lKNp/c+a+/tlnwCOerYYaECy15Oc46Z7wA CQa3A87PTwENA5gePY6aRuWaScp1I0ABsKyA2KMj9v0mIGXByao/cErbMEpAJ5XNk5OXZPBYy5Iy m60nnSG2Pft8liNC8wxHVEbpvCBDIutd7D5ia8PIjujZaCT88J431bj8tiYLA1/0GtzUpguFUFjr x9EJRErMPmX7cP8P27wP93F0gTsDUTDWyR6frbmpyUxfQxZ/9L3fY+vy3ftydSopZOTpLkBHGKdj 5gitAEAu4yHt+rtMzpsAwOpNZkaKo6h7EOj0LRVcDnEM2iTUaaJ5nx8RL9wHh+ylKVT1xwVUbV3J u4ii16VNdULsR02YarGJuNa72B458AZQmp9OgmRLjp5shtDmcUTTimNqn9lE83HiN3siHiQwy4WC wzQfn6AZOrlGYVBbenKBHVpV+s02jLl+idwrKmOV4XUp1Q/OcXLS+T4Plu1LycOI/M4Mogb/Ef1J KYCTIwVkfFbPtfkiUj6X5rRuXBNIb5lq/5DV2MaEUDxa5+3N8tuSLXstucCeq7nfcYPtAcniU/WC wldvH6ciIrw5Fn5MfbbTzwO/fIqK/BF0kCUzYx8tqOPaRQIafNbJz1OLgWksy7HFgLr4HrWapvkU GbE+I4e3zeq5WcGrcYo3hcgWqV1ZBgjNWNCYsry4iVZ4VNVPyUAXqa/2urMhAwxMZ3EJVmZZQ1y/ u7Lj/aBk2v1kXkqx+OmlnoNUhDecMtNBoeck30IMQBRWGoUqezF2zf6xG84mVV/rmZX0CT8QWtkW QS49k6JCmqdWYuiigQQvvAiXOZEiJtpVmP+UcE2Uqx210owELu/WdnJ4PTvslL70cHOIxUf8p5LZ wGKcpien74i8njJTtRMAhlD0NtYu1PxchTTGYo8pL6+KVO9uUtLgU8LZRK98G+ZhFJLyp5MPqqFY OTaQLImXZquFwDcuAHW+qGfDf7xEKfa1mB1Zv1SJzZwflUGCrsqaD7ZkxgeLr2hLd3KnaYTQ0NX1 ufiukoe71Vtt/b7KfRD85a+f7ZGVFysDPGojHmd1EFcfFsMxQ3YHBvXB9SXTHbJISfVTh7fiZwYr vxLyYmCr/yeJmpgdl/HywS+ofyVoEU5qAztxX+YC+HdhBlfOxfrZWPbFM5tI7tTIQinkE9+oCnhc u47qxtvwn20Z1llhClIaAXar3U50t5RqRbwkbItT9JowYyVYOCuDnZzQWhMitS3lsO6qVuDEW178 20qBDPfYgoVHnjMZZs+hhu7N6RZV1/2mUyYdFH7DxnX5b3pNmv01TQ6opdYmoeCIr5AzHLcdIWU0 wPyw9S/up+13OdXcBFBjc+rPHdNWvdFZf2IP7Dau7f+OBvsS1JcRdfaHJs7RoovY5FFITf7e/CNt n8zl2ImU/f0uptoAkZMsGwibW9invedulhFiiXCKhBbPaVoGj38QvCUGSXub9yi65VgX6s4O0d4B WiVqosnbna+oZW9FWxBncnAcR0YU5bwIOBTSaPgu60pbk15r24IGgS17VuY9dSB80ztabFCD7Hqq sjPvPMoO5mQXhFCzQ5X2aL2niyWufXtvAxPXansa/5d+o1EMfVuIvJ8D4sJSPpW7Lq6fzNrz/Dj0 GIqlNbmfmvQAGWgR0DwRjvuQEJ8Cdlnnvybq41fWTQO9UyZkRaXcndFcCBoEKpvFc2Pp3YU/K44f xk/TynwqoFN38HbNi+ahPyajRVXZeuNNPw0XbUrT6NjqxZpeUv8J6FCwCzf+7ax6fZLZaxXT+Enc JyWT56d2hy2GnCvj4fGvh/U3dlCiMwwCTXwfEx6mG5HTlJfGb0nBtVLHb+oUPgTNSdzgp4tXdL38 BNkdRVTRpr3nwkyUAPI7MkAq+1URc34zGUpTFS+nZBnfVVlr7uiJ0E56yY+CzG+Mo5AhuNMV2Rx5 QbwUAFO73oRkhj0YJr+lkg68Zw+tFRzheapSDn+1U2CLOoKD7Q4N54kAntJJvcQiV0y74KMRF31M vP5w2KLxDwolD510NjaLleRt/x1mbw/+QjT+xVXWcPdv0hI2swot4XSXl501on5Zhj7JtG27eREM dP9SJv2W7PVdkyH/iE76Y180PQ2OVqfdktP/A2GJ5iC20jSZ/KuUpkXoci/HvAR4AYoCPqIMZZtH KJHIhkokulfUtFU/ENDvTFy9+xtkL+ZMR1D0Id9bb3/z5DjGTYP/3AoQat+T5PeFpSxjvN1ahbjg WLYpAOeBYAKrXFjdS+pvaKcEiJLnp/d8NOetqdE2uIiG1gtmbKPdmD85d0aQNhlzMDp61eozDGEc eWBDp+7QtRGV1mYcpZiM/vkA8oeflxRgkwmUhO6R+WjJubANXQEPik0N3KG31yRyc4rpjxNpYaGQ hTwSuloptz0M26MyXerJhBvNNU2dFUXz5aXVlCe1FLvT9wgYGcR0dzCYQpnQqpp3tklk1IH7Ilin OXOj9DoI3SAAhiDKMp2walg9NhY3wz9YdBo+fSv17JAbSY5xkSOoTCynCtYgaz6yUocv10xM5zol cTu0WKUm0seVgtgt+0wJIN+fD5DOzwEyHxa2+Ke+2zKDLXqiJgR14nLW2BKKYGJbzJlwzQycyFKO Z4L9SAkOtQFQ7GiP3TNpaEpWRhYPAMd7kgOsibpJtJ1h6H3YVrTenjTmGzYQamylhmKXLDEMu7fN 61xz9Ss+ZLTyjvnXKs9KB59f2fWQrBCUX1neJnvdqDwsy0ColstwxOsileyUwS3IdWWtstjwuhXu yI2yClVs9KVObVTnfLdnuwgi2kjMzte8z7v2GmMQo7F35YxybS7sAw54DWpkheYcavcVbaJw55HM vxpFTjpF6lfbKmnVEeq2aedN35USFBTT8QyIIOn8An8CgZoIw/SEas+BXPfAA3FZDboHjGRsC9iQ /DS5xYp3VOu8/qMP3ERHPGHZjinXTOZjEifDNT1KbVJXcv4o77sIClZoaJiN32rOEfYlni5D/9L5 f7di7Kusg1rp+XAup09VfHQExcsJadyFqMMO3e15I1oslZSy7eFZr7ZzX+QQrYos2CtAiAqQcCqC XcKNra+wubRE/tbzQQv0koGflcWohCDDkmROR0F/gcYIziURbezwaZa0bViVjUJxX6qJcLIdVpA4 /jJYPr6fBEST09zBBkXSNLkGBiX6jhPuPJiOGQgeUFn4bk4x85IvxNjMxemTcooVPcyHL447f0rL PtUCLrUGaafCD3B0y3nlj2LUkSQxe+ROK0A6VZjRiI3B5lxZ/khC1tZdzbtjwRSXWHOU1gAbkDuO n5TdGxdaVd8C6PJbAOTrg2GEBX9LGYaPojAsnsTO50bSv9b8WPLRM1CLSvnrAUqqfO6VD3zKqUH5 yhbx6t9JnYVULqrvcsJG+7OfTf2fLxPAMTrlbmV1Sf6PP6WxS9Ddsd3OrMXow1CGNMzCQPEXfdYZ etAEK623e8S4Py98Wevq5yE9Jildm80FYw4DiokvyiKf119zu1bE+qz020rVaFB5/THWV7Ytjx0K YLd3b4JuOV3VjxJ4t3AaJa+CKZ3YIEL1zSVjmY26I5qRCjtEpDMVO63BZyCiHoLdw5SuLDQSm+2Y KXGXk29uY9Q8+SPX7/Xw7oiqO/ZqYJSzBPPozQEyqk0lSN2yQufvaXCTfvl47VqfjSNEnxQwQIPg AY+YqGQKZvuUmf4eMU6HPitXp3bwMeFbkMGSJClIrDm6SzF4QMuOnf1w7PpZEDMpS16FxD4aCrGm p4lGD4ZHWFhZf12jbdhv7BT4QJWl5QBxojQd12c/eajqusIuqfIh5xNesgkcr43mqniv7mRlkaFZ abWJtpO+CKuLW3lfAVNjZw16Slma2DHOVIcWnMeZKx+bqCuaoXMIHVcAvQbXevBmYd2/+Pv7G42q BaaPRmb4tK4TEBQ1JfEWYc9Y/22k5IWDYEQwyxQPxsvhZXyokm/fLDndUL61ZwmXdNA5CLos2t/0 IN1jsRu7Xmz2F2gQTgX5Nr2H7fTHEOwuDfhdP+x3Gf4O3hv72VAOe75Hdk8p3c1aG/nkdwU1GHiY Dmm9n5pMu/2FgitWIab+J2UGaBrSQBqG68kiQVXGDM27ksR/fMmBGzjOTzwa2AP1ckL0ebp5sy2w bwixZ/ekuhsU32mZYvEXufg+UmOAiFnukuBxAjZB1zWI6qbuwGiavtQuxRdOmSikAImUTAGgQEMp FuA2IdRMTlrqOlK55Sq7bd5RruC8RJrmt0UcF3RnIvfbscIn7WtGX5V9z8PbZzvJtwhUCwixhaR6 f/N3FtKcUSm4nZ37UtMYCxcdnbJEBgIE4VLzTOxIET/34T/J9TIa7ng3hMs814ziuDPEC5UZ4TLb Mkh3w7FpFC0Ur8NEx0hbmnOHGyF8dfCO0dqD+fsSVKpSWtuJ7bpFwfHxHm1WGzCBqqffTc7Fy2by Pct8V4fx4hhpZbDLGyeOiDg4TLiMuKGFWb3YvTsBhejZ/XXg66z+fQNi1BPJ4/Tax4Sl708ZNcRb Ixbc4xn4RPIHaRmLihWH3q6D5V2s/VlrWk01Fb+I47Ac3EtdpQTVt0xSdFAvzxavtzjT0uVPOQMi cabGTl3TfqDUalOr7hFS4AR2LelFOFnRpctPILNn7T8Boz1oOFm27GZktzWlldqszPNnsmIuM2Xm Cr/ETTfNtqOxJcI7vby5xrcx2ZRFEW8mqQnBlpPX6DJUJV65+chTPhbJ11/LD9bD8frIisQBQcBy gTjpUhL2n2pINQv0dYiTQLAe1/iuXjqBzJDB/5gnVR49RV/NNsfvI0PP7Kh+1aQ7lAoaDcsSt0aR u+9sHvd5ZVTpB3Puf+a6kDwp3K7T2Qwuxs1Dl+4ZqheFMzDm/BT0/rQsWZS0D0zA6CEalaDYdWKt Vr9nUmcOBft7ClfXZ/oUQFIJqg96NrY1lwagglpq5xTpAtJSsSaMDGLQTg9xHxsqYp5VacQczYwW lzNp3L4/wCqu8SgdzVBZHOpjtPi+pxnBZctsiT1V7FJGWMwikDo0Ao4ppEwdVnVOCRaLc6IpqQ1b IFEg6h76s2cFAlzfhVzdPHpmFBG56BUrUjVq4bjMZVVoqagvmlb+Na4glHW8FbPNqdQkQ6gpMCMT 05widSL58QnboLwl+DwQAnacp64dvLVZ/Mhv/2DETd05kLxAxVuKehRdnB/OuXstafjd0fNg7+3B zcefQ1+2taNPtIif7td1jLhyFP4FiG/bXPEpisU68DHiozmDtidVSpSZ9G0EymcbUTIqf2xLil08 QBtJ4i89HEnYRN6V671UTEdcGOz/DqKZxnqVbAM0znD2MxxJ10TTC/tB4UFEOM0u6N8lGmQqwFVu Ruiwj8JhSs5P9lOBNE/6xXayKoG8gKlRHlaYQCqB3gHpH2BnJA4utUZbKQOWnS6ENQN6VFUGoZmi KFUHwncS45JNFGoKNqQhj2qVH2z77EW887yNr9cwK0jZ6o8HryEa594Vz2t/QX9OC/AnNbfyJo9A lNGn9v4tSIftSvkieNsc2KEz+fi+lIxkfEqYVZNtVaSsdJz6HE37E28IxeilyCy0OPcZZ2j+b/pF ZzKNWBBJo6Uf+ecMc2XSUMmGFf2rTW8LtI7MsjK+qdCpk+Mm4gcBSj5AHaHBzav9dI1C0w1huBW4 peAArdnY38x2KNZQtAYTWU7h+d/JkIzBVcr+5Fo0vIhPmtjEGJEmAJllCi9h0CaV//eWVcUyv1gD gNgCKCC3KEjm67L0vDrlH9loA8cM4/pDr2pxDGZ2VCaO1bb6y8XK3faY6F2ulem6plR8XBqbz9Mr F1krHj01LpVLo/Sua142tnSZdESRQZvyHmYfHBsC8aR9pVlQD/cA0wpbkuDqMhcdhk02+weFWgq/ /zhj8+DvEMHHx4H2GeiE+uWT6H5fUqjrRFpbyA8oOcPba2PDLyKY/khu8YJePHOKfPySpjL818g1 vt0c0cWEkDKsvXlC3vA+XcyHNsZhnu1dQBKVrZQrBgBPCq1fSjMzQnNLnvMRijwN4W94D/ML8dlO uCs+9Pmtt+c7+/InSjJ8R9jY9LVxYjzciIKR/ktrS0hjiiVQd9GeqihsnSibe0C5DodS4G/fypU8 +Sh2Hjj0SPqTDLdfWrjuDqAvECQxKWZfDr19tRX5S2nsPFrnLRA4bknkXu0feyZFhoUNUaIlNxFb l4wJe3Ej7nJ5LlZ0RM98dlv4F8jvdd+JC/46H0PxZt/XwOSHecO1pvlAzWb865QMtPtbnUFjAlba 1qp111lGlk9WVCkX7UUuShVub+/U2Ph4LD4K6wN15s9LxL7+7d7kIKALRokXm9OKtzVTqZhAgn3I jCAQPCmV3tOPzNbD1JucT2g4qJCsa0t4KJGpyTZagBFX+94u5VZ/TYuUcDyoQsz4c4qSVDNUmHkK aPr1FOUFs+DBmG1gVP9vLzHcxJ+t78+x7IxY5vEC6Z86SR3lvAUIFvLRAIACqmQVWf6fUxZ/NcZb 14geK6vvfUERYnIeJl5h7Ba7Fh9202U5BepF1Y5AhhZ/xYz3hCqfye7i0NIgPI6MlCTmBZINl0Rv mNMGPqMzddG7DXksoaOIeFIEM0561Ui+uM8wiQ1/uiu7Waz6deS2YL7YgPjfT78kYHKihRBEXQeE RccPgOXFJ7GezQ4sqLk4lf2y5pu/GWiJkDlQ9blnnZbEBAgZ5NUiC+4SgjrIc11BbFz+p0s3cSy0 pgKtwgV2LlZvadyxiWK2wdUhe7tsgOicTnXe/Q6WRAKat+YiqUSSfW/DKZOhfu+yHIav6y62XM2d rz0Jjkg9UB46H/vj2w1bd9wWVN2hu/HDoOhPm9fBR1kqmLs/nqZNv70iTUAJaqAMLY9CAfQRp/6p xZNBrrv51DzBAYgXIhFJOJmvNsvSV87avIvluXLSueaI1z3z9cMqPUZ/nTNGicgM1863QyJPieZ1 KFkgXdU8kX6XR3t2Il4KLqgfs5r4/9pDTGa/7GVBqU37hRmqSo9l4StqAVbYSdCNjhxKEu717N8z lE3s6xZpR5lZA8ejxVP0kC1Wvyx5BGTf64Fe6sedmyoJpLni1d+e8AZZbD+v/jwXdf1RVidF0w6m ustfJZMpKVd+ZZELDyUHxe9VabdUlhCkWIyyu9Eu500CenHCqnB0fOUsC2hYsz5PVYLAgnwul+AD G9S5exRkNu0PSsLj8FxJqfckL39anfT2gby++QuCfavrmJiUhKCo9r8iLo5nBYVLlRG+7E++6ZDr R4qw8+HWJQdQ4qYS9OGrUgYuEelI40u4CoqHkzFOI+u0L9bC9rdShOyKa5is/HO6l6o1PqmF0m1B Mt9r2pKsU76BCJHirZ0Ep0pm7r1ceTkSOEeENCb3C4DOFmEhzJBbI/I592kjzQ79IVZGb4E6rm9J xTLHfvudGr0nYZMeMcJWPgSGz1qggGWpl6zcNI6mlSAjHUz/pmQvg8pBDVxrs4F9UkwObT8/VFzc 1bzVhhLRWpzpcFAPgKbVaaz1DlXxwB37tTrT2kUlL0JLA5GQsdAKO8PRdKkCJYk4akGUcaOSDxpg lOpVvU61xG3RKykXdftN4z0qY0AZltrn52IkyApcb2wL3eiAEpQ/67/+534qWVuceCZOc25LLR3s mSYPJLJ8w3Vr5aZgZ3HfHpCHRLjDeVy/n9mVU91qwARypm3ng/SiM1cm8m3F2+2TqMkRPPKubP7B dK5j16uiX/WqKDqBJBdyBy5HD18yLRpZTouD4X1jqpQ0FW7UdEt5Mjx4XDdfk8Va0y89GeZU9Uru 0W8c4e/T/l3rDKcEGinpbt8l0/6Wy06vvkHUnclUCmhWyhQPnLtqWnikWZ18VCpcQvrzBlWG3K/e GchCh8V7nb/iaynj8l+p6mKSjVNRWf0iwsUeKLwofrPRYQ+O9F1sm18TdjuFQAKXLd0ktQ7HagGg Z6lLXEKyGkaKFk+q2JnhkhAxiMYSGZFY8oNhKveRvjSVQnzd/iHc3aM/jPufF8CgG/GzKjnkLmxs jqheD6tMnKaj1DjAa7NMifXE9QjccBZpw8AHbUx9x51sh654NjOxH9vi9R7H7CQOypBofyu2TLjg 7nfXYpqrIUX+6RSFRx13okj1SjgQ+IW40Ir+YWCb984P4EfVemeWuE2BhFkjVvUoRoW4Pg4cXg9j fKnhD981bIrp0PL8YlXul3Pi0SUfwsP/a0Vd8HZ1pTKs4nMFTXKybaT4OqB2bSojPCSjZSAdO1cl oJZ+97ObZdRspu3vwdQvwlYP6FdfmAJjPUdF80lDrXxDVToJOnf+vuh5WvFd41TSTkosMSNnpb4x DiLHfsZpbBFpBTvo8VGbUauvSXGmtiPnyElSVzP/CaJqvIMpcOGugfvOetISZI1ICebQyAA2atsm M+/n5+MNV1KrXQpD16GcNm6bA2z2Tt4Q1NKlqqZY6JTgAdWyT6i2XXMnVdD+MNVFnzwJwTC8i4fY lgigmxi+gVPwBtVeGRptVBoCyyGDqHNyMpyjqtr81JDLPyJd6ej0O29P2excGa3UUx/UVuOH56ys zw9ev4oY7wFiuqpX0qJ7uyE++sgmFKQOFkn90n70390UIKkl/lOrgfviBvzIUlUTXML2QhxT7DCw kgN6btDrfMauJnutmhTm7dJWT17kXqUTchqIGjgiIqd6pb0Q+jSe92MZ7Wh9ITbc38zEgjOMHOOR tp03Fxg+FzgLEe7ma7bqbi/ug/duspJ6OPDKYlut5Gg04pDvUZ85uowjm97e89V3TwNibwee+UFi lsX+WX/G1Qbm60QRGvwD14tk+vs5EcFH6/wxTyhxorY5sUAQqYpb2bSWBYdzc/Lmhvn4YGKFtVgp UZZsbcT2HWrMjK/wgqvNV3XOPFlwwbWewppAwwgHcXApudpndShwd71W9bi0PRKbMamkLqVOLsLb FJZLEWFwbmJIfbjZE2IqDvSSGORpkpaz4Fwr7izGup5ej0Tra1FI4Lg6pDkVzQMwlS+ms/aWALrH it5fjlCbSbHLrTsNj4AH8sBqVdpviGuebovoE+z5MPoEIfqojqDT2zfzLo7WLQck3FbsTeGljcLf CKZQ7pFC4OpB1+Js/EpNqKTRqM6H4qsGMmbVgRwgnlQAlgoA8eFJ+SGMubVbHoHnLmNuzqBuQJij k5ok+fxN29aqaHdPj/n+8laFoFH3ufCIWw/sAeUaPO+gMHlrhS52hq9orWTN5xphc5nmHADr2z/v i5qd14RtqBBqbLsIpR5PvURqZB1BC1JP4UQpoFrYK9y/3hcJC4mutX4VBPBwzIuG6PRvKBw6YEAW Ev6FJVEnHkD6sT3VzgQ2zh3d+I1mBHn56jZoui2Edcj37GFspY5jsUZyL2lk5/Yh1/6vtnTDPJVH JfazHq82KnY4UFsvn6NPuvKCPpHmBZO0cJvKmka9lETDGKIvKHh5npZ2CuZyZR68a3/X/JnNLMvA 6py9rYeVHyc04QrsRlqUn2V2aw4rrTsj2PGdbbBVMJnudgtjqr426bvdQCp0fQwTCoUId7MA12nh B2HgD4RHGK5iut+sZXiRZa2gGzCqD53sH4WKn4krl9MP/CLSbK4wi0I0Va+VTvltcOSDEd08PtR/ XizQxQTYdJkojWL0Qp16RYrHV6qotLiVFnGbvALzkRa0r4I0h58tjcUb3rtyYAgAPuz2oPkVftdF Eaqaso+Q1cvCsusPutxIE3LUxR1S8x7m35ysli0fMvcpK5NRwTnXjmq9kc0KB20BVr4UtA0j90uX 2AL0w3mEzXrwU5hB5vhwwN2xLXMUbEFZz7bAg+RxrKqL6l0o3aPF5084x11OpUwT1LzUVLh0UmUV EWOaqsj+I3gnpFPzJFC6Bhi4+HlE1XcqzIBpebEbxKv6h+hdhQXRSK3k+ZJazuAhsb5dP7SEcMad +lX26DhlOZMMKLhQWNK9iHI9vhJIuMKiEcjuwrY/q8sSNyMgNYxiWUB2IJ1qG4NXz4vbmwxWg4Iu poofFNXYI2vuICTmmlgHKFcvgKk6N821rJUgG5JxSOHZcpZuqTKbaejKsA4zitHQOtsPYaSwoki1 WmPW5nG6Jqj6AkUs9xWpPEp/Lz9Cv5sDhksxYH82bbUjOmGxLFsmcyJgCJ9euuEQvXWpZChg5WnG lOqShTBGtd+MZ5zYHYcrYS8pyWy71jxAvnOC2bDXhs8+vhvmdexjGtBraUKB7s5G/yYu5AC/ukbw aZ+EETVEse5XpuPJNUHIcFb+zahSzfMXQ/fMGmV5IwWHJWVY3aNWjfmrpf080a6md0HobsyXpWrE Wl/ZW97wzrImqVUH0ln/nzPDH+qsTF3WPM7MWZi/cxBm4blFnSpa0z5vn+97M8E1UKhK6H4kqXff 1v7ua0HRulTE2vgUnYbdZJLuWz+R0ZrYmjnvL/jFA7JVPkm6KL8PhZ9whqwJ3WCoTG8wYS984XfP UcS7WHN6oWnICKEqiJhltMzr4snOD/+pu9MIDaM4pwper1pze1/9lVw6EdpxJq9fPES3GKdCe+3T wgQD0NWYnGev3YXXitdfG65dOOsG1Y88RCSof9ahTnbOBR1gbfAUWWR3YiwhvuviNRiBjNs0pdbH u9MFRAg1fVTzmX01xGnAsx6BJnJzAjeDezH5MCWhkzDZUxYiYwmjaGmSHepsulRWw8VBP+ZOIcHJ 878tHxUf7HoeMa9mMij9fCkOEUzTJJsVYvd8qaZkbAo+LJ77bgS14UakGrnrc2zGuEHqF1iuhRJx SEJdgY48aVIsC0yoHdXlcePGxqBmQS9W+6riUP04I1b5U3MEqy+ek5tK7JsiuQSZcCAk1RBmvv7v EnVFQkb3sCp6Aew8WpYXxZ9upcSBg4EWI9S20bzUi+b7DxpAl8WibWbBL7mqLdLM7QTEhPhyVicR s5v0bJ5W9pSJoXWSwiJKo5cTiicuZqfojadX1MOVNdSGNQgZch4CZlDGz/x8C7i+1R/JPv50qVEq nUbDcI9BOVK/xjtH5svFoi56J8DLcGd/V/j8p37PvLNTtDXQuq6xZr2NHYzCByXtzie1GiT7+X6L n+eXoBJJvqkR/R1yz3roGbMLb0qwzjqfDHOJ5tYmaE99scN+Y4TrxIxb3u0TMPLOXRN1TD9pGKVN ZOjn3i6S/ETacN9Js5FcBrmLNc/F2ZgQuQuTAdo3Z9zVY6sKQ4YK2XWZkU6q24PDYXKOsoStexeh 3IQdgHa1RRg1Mqgf1q+70UzpCaW3n7Iu2yjwfKKZy5O1qXlXaVtU2n1qwr3VBX7Lf7bHZTx8hkbh 3aicHLwOeW4FumQfjP3n1/3G/mANFNmRyTFKZRUDrXndxh+vmZx7PNpQKrpRdQdY1OV/W32vaLPK 0ySkOgKh9Lu1YUQol2hZDl+gVFZqxG1P5/4dnwdJr24tWAGmfMF0ZVnDsAlGf3D1XlGK1W59ILxl IRek+lPgWwnmyN0BVhwoVcahj5cYvUhz+2woeD2FKArT9idLpY1lhufDu0KNoHiluvc7872SL9Cr VzCy2UbkmlfO74ozf4N+iWb8DgNhbA1VFFTdW1CdKNHhds7E3wCncK74m0ddwtd5Q6BEkFSbwDEL y3c/+OGdIYkJBGLobgiGF6zr+Tb9BDibKDdZsiIdcwBRdx+7N/NAWwhgmbwYwmGx9oDM/j1XGOkw EkSO6dNolv72RES3UxWM3Wle3YbKkIpPrIEnmtlNjIdy061BA9LX+Gzn0bv/n62xo9X9pzGfwH87 ZXkTpZWx07r7aSC62x0+6oJADQSUijbkJiJVy19J+J1rJQSMjpDXwBhtgSlIjAdMjMLlaTVLGHAA HgMgvDb7OqPi/uoCdPSGXP5Ix7/kKTa1jgYSPX/IwrbjnpbET1tzBZna2oEZmCXtygTGWR8K56+W ZgIAXt0oO4J8Eb5iUxGCykq8W7iDbU66uek3bvtV+5sxUpAE9pQgoky0u3wXVUTRTVlCQo4BCEqR ptDA2mHdHBzRGL8rkZE4WwrneuY/+xddQlcs0FsjqvZ7E4LNM9+JFR654HVVyeaT74h+XVvMhDCR zugcZ6eocJVeY5QTUAVhlE2FyPTJ84r0oivmU7bOPl4zOQVxcpcWsMEbaXkOS86YF1gOuFtX36lG ADY0nj+ycOvudwOgeCdBAF6HDsx6CvA5vLKY84C7yY7QHBXzips/jia5aacR2WjbDNr+wbNB5A16 5thPeglAmYTEzgtS7eNQeFH9p7gtiXVqZOwCz6U3S0m2Z5QNnpSy4i9UP0e+N5UN97LMeYizTyw6 M/yahz5cI5xWRJzzfq8RpCUobmdW2k/pFl++HFRNQuy94jsH5bXf6lsqNhs/vQcchlz2QG/LqCLk lXXjkW70lNl0Gbto24g9NLEZzCrRcPNK7RV2PvjRRjwh1UA23i8wnwnCm2sQZdjP/4JfvWnfo97Z ceoO6+FjM4jJsWKPJgXEQeZ8EGDvSqAo9v6Ta3ekLPLNFb9Yr4O7s6y5KZof4y+3DE1Mn4V/tY0h 5e1O4wOnNtE0BXN2HE0hP4SiRiWIBCKlo8V86Q6yJASrAbfFlNUp9xAXSTjJ/BZfdWaYbOkgQI84 KQwHLoKFlqvO6Nxukn8+UySlOA7UAepHkJRNa+Nk55ue/I3iBYE0Scg73r6wDuAVlPKPUwIXEXdI a/UAib7M+Uz/mXnA7tB5IQ8XsheZ3QscM5HVGpK919VudUgQjlI74A6j52qMn5/qz2Fzs0aTIyjA 7Sc2iIRGxUmQV9R558S0pkJZ5KPzH3CxySls9MWMOnKfPosQ+csB8koEANL4TE3vXV7Mb7rMB3AB K3Dm80OVKHgsULOzW9be1tSWlY4e9hNFshYWwlrdwUjTwb+GGGip9wsppsxevLL8oeYG+Nn3L4QC 6THi4qzRvrJVkdv+T+h0h0V/gkucBAt19NQpNLcWGs62XSLdyv0OSICAFI20q7fQSktQg/yS1OXP uwNAAsRfxzJEtZnB86cX8qUEPTCf3ZWwJQxs6sB81Dhh444C1BhzTdgxY2XZiz/vDTyWnE3bcX9v eCjg+RxWNMGHfKLDBgy8oEnuoapW4UJomg7COih9ZZI+S7q4TDg5nzDNKDqdUv/bjULDeb/iR2n1 9uJzgW+vHcZbOaVFhU5lpdf2buBazCan46toO2N/1Pbmq2hZsGAI9w2MP4Hq/9X0qZ3hb748ZiqF goIhopigx8P7kBJZkDPQmeV4ReQeNw98CDL3EsDxpDMkosgtm7Evx7a1NZojma4i5bI9vCIzu6xr SK5OoK8Dkkpy5OZJYgNAby+q9Gt2vFmDQidoYwCYEWedwxh6xUpZAt93UIgd6UBsGJW6gSOXoKU2 rArza+AFg2cxRf1TTOoglaOJ2L7hKWzwSIopoEnolhxmNLxjLI67EythL2f7rMr4GzEnY/52RcsI 6FkKEMuHyBBe2FQIcsUmdIQpfhhgbrTqxU0zJ216Hz6V6afOjRU8oTj5GTaXh6Nkia5LRIZIQW03 7/1wBx05vVHFwX9lH9vwbLg1N5cbZuofgV87fZn4hZxX4jiTRvdM0cY21bDD39lorl0WWpbDUl3I ozH5geSsRilz6KW/bGz166uU8wj0gJwPiN6js1lMZj567yZUqxl/co+gKbpjZm9bVY45vxmCsC0o XyDBS2bXF99PkeKe9fsLivwpJTKuuLKeoPFFF3Hmaw3Nki0Av0a0AzI+S9zI33VTLw3iNrs0BbFX zFlDMCk2F1A/izqAQvwJuipWD/lIZXFRv2ukMhP1R0ZP1vsQvbJCMPZxowqys9FIPEboSMwSG2VY beVWwxYiBTLe/iKcpE0tsJ/JfRb7gjWvJYtEQz0smMB7LVu420iNXdUpL5hCKRma4NtocniBmhqG 0gTgXPTtmqM9VJrRHqqOhZzUkL11c6Pp/4rIDWW+QwsWdXONQE0n6X+fuGf6IRGjh2dHpV1PAfE4 koLspGDMziYGcpT+z123SM9AMTPBvBHAxMPqcmijDYFwQCnIsh/Fkq5WqVaGzV4H7cgVyjS5GcoS pDsILo2SHGzCAUHJBdGODUhbZ1VyLPcHb7YIoYx53aX7aP0BV7/k3rQfj+6zvjvYq+6g0R0FQl1+ 3EJhHk7LmzgDrGlDV3HCtoa1jNz8f8mjVBEhQYVKH2A4j4nIbXi8f9D34hT7jOhLEqqCCHL0sIRv HBqwH6XdfpugUXB6q4VzxiSWxlxtxjtBJ5frcHbQkaQ1p3GxMvnXwHLrhtFb6bYtwWTCANDlU/F+ 14sXcu3MUAEeacUV8udHyPsGrFIyi0ncPjCn9NBI3jQrz/zdkXTzg0ePOLpjCQP2vRuIt8X+CBM6 D6VVTsnB+Pf71onBtYomEU0VsnzMLvRjln2yOA1Lyrrve8leTXU9Gl6kAb42EyqyyfIQqo9wKTuq /FGbRnSAIFXho6xwTc6d3HUTI4f3oFoWzyrMV2mNuWnSJT/6BjM3gnZK9dVGD/egFbCGfAF6N2l4 2RIhS/BIuFtiaL7JtjNYpQ/RsQFPvcvO5Ol1Y2BjnCGZfLZfn/DJc8z/Qkq7PPqE2nyTSjINsqiO x+3uE5MQGEmbDfEjGKIGuJCHVjIGfVrQpniYLthcCkdEQdQBng4CFNByVfjhGhEKM5bN7UDMZFKz vWu4dDRdBuMfyXZpq+VWHBjel4k03HoeBBjOAqPotPCt/c/4ee8Dbb4BA1AWwKvpN9GvBU+KhWGn vZ45JqrNh3004Nopt7DTwkLXR0TakPk0I0GwAx5Rx4csui4NJjcPjqNGVxQgoGD4aUEkAZzj/QZc PsByrRpdCPrnZ9uxa8gM2kdU5DFRORJaInfkKV2gcMtuwLCBDsqCiY72P3vU0lzj1tUt8zBO2oZQ DuWVpY90lS9sEeA3mbbsCX3Q7RUfCtfC6bTkfcHCUYtEuAa2e+EPPuKWZWICstfqt+KieD5svD+n 2rh7dw27D8TPORR07yJgXQX//hircznWuIgh/tAV/yR0QLM+9buPy742Wf5tyhBAgp2QiEJC6IvG vweXkoOMdDuN3/yeMcEOVtO5J5yQCYu/+jhG5pimejblwX4Uh5KL8UhdNYwQR++lGwYqqljQiFq2 Vx/aU4sroITbuxazOg1eurE3B8h+a2Sa0Wp94VwBql4GL6sNHffGmeIrbyFTTIh9oz7kDZ71qjFb 3pRlIq45tbgplBzGK8nvCmvSRdBozqExCf/EmnprrbV+mCdJm9HfZiVncmMO04d+dEqSzSJYMmur kqz4LxMqCe7VjnQs37pqzMdz+vQRa8xafBQKe3J6ZxrYkjHJuAeLxO/J3G9+bWXlU9fHDuW3zgVg lQQUaj9HM1FSuKQZzXeoouQxxn1ZbOC4DTbMND0jBo1XvgB5H1IzM3p8SJchUL2bkn3zHqMXR0VG 2vwnXFkO7/nR10M8ihnJW5MDMzK2ZnfWeHdLUVyzFgcAhryBj6yZmCm4X+pHJIKUPFPtf+64dQEG Oh6MJHF/yrb/N2+VHXDr9Hmzt3fMfJDQIb6SpLUjLzNp7jRCVLFdRE5er+X+YVOfBf68dtU9ckCb 3GfN0vyf8IcMbn7tgx969kf8hwW0g6NWUhZJzKY8FPpUdCXlg53TvnWgJ6vtRdTHKSM74d5U0gf9 8YeNsrTekboQsjNT2vuhXWkEqlB3BjBcWNTiWkGdabGO03jBkhaM0+By+v79YHRVghFU0LGobcqU 1Wt95TAPqfCR39KM1o8yjiZapIcL7Mpxb5EB11Lg3kgQBSlLPi/cxCYjVvHZRK7bIrhohEeuaVY5 tQNpb1Cuca+u964FImGLyaUNb85/UOgquJH/MpKG6A5SegHVqpoVKFa58SNBA+KJ2bsHAK98fWGu 3gPe8LhEwD+ctMgzp6tmhVAAYuR1QyYcUf18l2qGXW0y8Dc41j1CWmgjZhboG40yXRnOXSZWRCRQ 5vzA2UhMXYgJKP/qBpFzLGND0PDzhhkVJs+DwtWUafuAqS2xxgfluaoBU1FfXMJVm6nTTzo9da23 Z2h0lvJfkBscZjTzxhT1oIsucEWzRmgwVlSgP/MyP9DfzI0mPL0ZKgS/0AD42toT84XL6kaGtcf8 MTlVfQV0CiOPYwWfdRXH68AK4fU3JDk77ZiTLiuXjcsRzNYRA+os00y85IP5OyL7ht1/YTxhy/ol 3aGHNOO4fCicyF7+t9kjg6utKXorXxLRh9fxCiljvHqEM7Sb24a65kEhiDI+dLeO0mOE+Ic8v3Ee DhX+JXH8WOcDiGGOGl6Gl0E4AtbURcfFF0nbG/GLNQkKGW2jiJxRlMHPESXxnERm1MdO0aMbNDrb B60xFmjbsaXRn7U2iLvDGKRTiFo5JMDRRu+fcuXfxUeIwjoFLhz8xZ9w/6uAD4S4ugtc2yYUaSh6 uorBTcDpsBWNEQuW9cQw33+MOmqcAJSAc1ta6Zg9OnWPhYO4MD77CY5TcJ70FK9ait5v2QY9ciy9 SGy7QjwZzkcKWM7AB6VxaUQ3rdQTSqfDq/67Uv7pqkLblNoJkMIBrORPo0QPf7iTVi1ba29ttOkK 55WQRdUohW2qUHwnB8Gl2Oi1xq7Gx4rVo3LqW8EtbB+r+y1JNxLpdz3HuRklMexa/1OYWhTXZvKi ZQFyb8m4ES1gk40EFzxT0cdwJN/vW+W+r/gQBwwfTylJ7IdxZcP0cduW1q6+S5C3L7sqBm1wIPUf 32XoEGESaKQfTgbS4CqKHvBqxOjxZGSPxg7tSPIbVwWkvgpqB8qvP0IvP6WFkLtrtT0uWlgoe0W2 6jIMRPE6GdN9FTebKMMHBi6x6eHC0wyOGpRI1HCK7RlcF+aE807bFx3cs5OwuQhO7i2LA010lEBA eqH238I+bMn175huB4ceJbIvu4BvJ23IH3bscJYqdFHDOVz7hm/tppr8+F5/p8jUZTIGTtwSoi7l 0hDXi/e2aAGjgADoPJR8jc6DZzJlmIHlThh4OGjmFRdFpw10tj5TwVmtIxMAxZnos7FnJ3nIac9G ySNqqkiXll30L5cNJ9qzt3XBdsYixpcKnXpvu4Nu2K1+y0hpgTlWk4uQ4Rml5d7WDkFdwGCMCmcO qR6DR6x8FNNoMRf1Va2ssRXXHWBPNGi16D/lN/DvqKajTGfDSiMjFW2qfb6mNzMgOOa6a/BE9VDE Dz9rZ3m1eBx9lDjhtwiirvhOI1bLLlhuYf2kCbQLRTxAw4nN6pUdW1Fd3w5+SZbj1wu7K/CIA+VK C0JHi6hPOX4lUHOWNbP6hib+qBbhgcL6ub558vOT6XXAnXCtCMIZpN5VJnJu2S1zrcxq6PEVqot7 iZKJgU3eZ2NBsWUDRnBHdvZjeOh9GqeKVBoM5ZhKRGKH8bVlhPTeLpnu/NfVTc3is6Mjlfxt9195 bzLDawP24RcR0olIWD1Rv4BuJ8ZSzf4L7AHc8stzDVsyJn+gCFkeoeN2wrck+RiDtbwPmw8TiavA 7KOXCbJU/M2CCV5W2hD27cPiSkaXniMdTWmPGPVEx4MaOar4Ek5mt1RdOUlEnmHajakBXgCq4rmM YLLmRjWAGiEqYuGFJXWyoIX70XYoq1jErX6EMxeK8+soVWL1op9X5U1KutaBJgnq+04Rib45S8tG HFG5g0ZeFjlmOVk8gypouFOovN/dD0tvHN5gry+C4xHTNPfDWt+8wbxYvzHDajlJVcNM+5xb9Z30 T21HPcAz4aFVr8iWBEPuIgS8nO/ZHma0UCjNFgw5B7MAZrw9ITVN2lv7JRDsd6wR8OqB1FBTHgRb TrT3CvbwR1nEJ/N9hqrb/JL/um1hG5MK+NdunwMRndvIELGH9kes0D/O6I2CdN5VP6r6lw539wFK 5I/zi3KFRem7yxa8D05rej8VWaXf+ixPFaozTnw9vQHAyc8Qi6LhjaIzPil7D+D6hbexRWdzmXmO PeoLiTEfksIBS1EFkhqMiDyVJurRgPrz9LDrvihfqgo4JjyIjPdKLd2xKk3TnEgz1Z5EvGX0/HEZ LKm8mQRxzl2EfPJwCdLAIU2RKFvqJMOWkdW8VDkt9jMH07Fe+PoN1JHyp3AF2r5YGTQO1n4Cilo0 UyX22PpdNGdz88r99BDhSpa/jXBIIHm0gDubQR0NvC0hNDgB6aSV/mtvbqCJBhR+9PXoGnnuq0i3 662IdHugsc2bfLillJzfz7usmiV6C/Dr/mnLGsdmqCtHYT52J9U4M7UjwzmTArLhEdyHCoGLUPJG TGl/E9oGVbZpTNA49YGyJD6tkwSGat10vzeOOMHPEHdQ60eAJYag+jNtBso6RSS1uEw78GZsZbTc Hdn1a1KruMbnRfCFuOAJjjklTqcXP5PbgFT/OT82n8q2fSPN8nmyc9bF3ObM1JekzXtJueX6+NeI 7oA4eBZXik4WN7XUQomqfhTm04RU8f86jEo08uj2Y6x5pHur9niIzzxSDWQbbZrjtDO/TwVq6Exz ox6WGOKrcM3boHcYKmQUhJg5Txw8SDSYTrCD8XXYZubsKq3e2pBQpebHb0AhiNjDc1B36l1hdLCn KM5DxryDwqqoNMqJduhHPU4luJFp4vwo+NIXn5yoGdzUu+d8fq9MXLrBhH6fSuUnI3fAuxD0es2F NW1Qu/OLqhewqNI06DLSwpgPmjEeaAt2uAnjtfSR3s1Ka9PBcO9mH2K0G1HRgqi/rmXRGsT4Jiu3 Oe0+4NK53pySRwl9NVs2cwfKQaD7F7v0jLvRRKNi3xlnq1A2g+MqoWselp4WCtz8//Ity3PJPviJ N1lPaJC41m5YaW1ogYpBjuMd7jLGiYnmNokYc+ufbZyIuMso341ggpPH03TrMpkG1bgYl2EByUO2 Wi3puDNberxQw21U4n7SodPtlM+dUHUSOUhPsvT3b5WXf0V4uvmRLBnb+9ZjKs8tlJDAKH+5llNQ haXW8Ofucw2K08pKU3KU6A6P9fWNqSZndKAlsVhpNH6dNziUO5Qw8YHvYNWCwdk4XLMYOaSTu6G0 EzIywE/RNGcXiRhHfioxHbiYZfrPFsG8HJCo6E9Ozk1GPB1AVa4AN/u52vPg7nEiOG8riute6v2/ oN+IUfATH3scn9RSEps3vPclVmF7QE9eXUzTmHARtduqBKYunGgDE6yOvdvBA9iSzzdEMDBDAn95 yJgSxLyGRdFuPnORYZNy+IZIPOHbBvBiO4VnydLA6ukzKA+ze9pBhzIj/rGxvH/fjoQNRU0oW2bI VMSEIlaKpglPmIcyfm7jrSML1ly9mzUvd/6GbyfGoYHbdyQ62HQD1xTLR2sRoqhbqQvDltRblpyP oJCbqFBsakSFZG2f4lFZP1q4y2zfs5N5EjR4lTT8DUJkDLrQ6iN2rqVedTrndRAZMpgXZu7wcxgk 30xCW7meexX3Q97GFJ1ilVuL11+872aSgChqBfi0FjhSpVOrip8Vb8sDyDNnZNeISV9jDzoUsI6t VqEodjwcHVi3uDoi0JWTiX3goMlgTrNOg9Je7KIoKQUwb+fXKQs4JZoccSna+uPTyAI2th3zoNzP Qxy1h8gaUsdIN6fwbqoVGpWOYa/AVau2j00OfH6uozKL70fxyYkvZ0uGylDxQ48ze9YTX9/hMiPt LTudru9n7Lll2xFI0h+HR9ey3JURhV8lDbdI+QZAQ/3sw5YxofvWVAg7UmlXLHxTolpKN7clztFe qMG8ipblcwibE3QCTg/JPmuP9z0+w98xDDScnlZajtkWlOj8AlAwSJ+e60NAeouhT+VoSVP82p51 gc91kMzJXEQnnE2GdMAjx7vDUMe+VZCvXlUkzZOTpvj/fXJJGMrWjPDg/rTE5J+HZtobfnA2SCIZ zyu5g5MfxBaYuciuw3aZQmydG0R6/kK8B9VQqn4sGIIIThDMJHaMwYmuyweLnG1ViNeIl23IAQf+ aq4ahgp4r5iWC1SvXV/dUIZrOPbhlufDxQX3bOQ5jBhma9WtcfRnD7QVLEoCycBn5x+CiObPzMnc xlRvic+BsiElc/3u4mCTvfS5ngsvHMnDtRguTwLFCuLbUtmAnX1x3Bkcp2Cv4jZzKf5TCwMAwu/r 6GUHM4RQH6Nh7w35QvGjWwamDkj5Ukp0TjiRiV0GSC0xmD9R4dwAAvhFqDjqiGeZI7Sm+pJDMjCy exEqjKnxUBcAumcZwmBrIMpXBUhOUMUC4wMI3t6+H49hILsjQDcMq17VMos4RKtgItZ5H8KqCOaG ayFJSHyhKs/esTv4oVAwXYc0hYgUpggox0YthpOQXdpo6oGTn2/o8por4H+5njuZYX3ALizmiSMP HTap9LF0bmbVz5jHfeLuGUTyndnXsiIXiNXjjpE9WMrHe8TzNBM99P5n5Bq3ah/yZGbLk3VWmabQ Tah9AyQI+3onge1BBDqZnWqKggCQSmLJYVpSsP6QTskxSghRaYtT+304JktlNqqB5p2LwHjtGwaD FQwKNuRgbXCmpPzDzRUqot2F26jcVA2UKV4KkipTpXFry7roUCaGQQAlez+eGDPmgc3emikdHiix QrgPMoT2KU8Hjz9DglaFiioecPywrM6kJYBzBw2zZtt9rhNriRRt3sOIkfyFTiYTL7kf+8gH4yIh guBzS1eHVDpjlDsVO7jFmVIWaMYYZH+1lbz3digZJtgApDwV3YjUi0fgeUBZ6MboJciozGQkEKfS DgawReinf26wWHEBY9cK4/IyNlpGYSsc/Hgl5FsYYgJMTTnuaIbLCFLRI2FThxsCR9L04KkytN02 YQpUUINNg4x5RhHY7kSUw+ZNi1Q1Ckc92AnwwDjAS6Prm4Cvt8knOrhT8R7RiVNnkOfdelBzevuP l2IrAK4wiHQubL1P/P8P9Ip+H0H8gl962iCceUFidlBlHTX3eXpuSGp0PueEgdEx3UpumCaPRyH3 4pdM7BcBKWYlOkZg/K+MY+h8hlg7xKUykZEbF2AFsHwUkP2/i7f2UU9mNisGDDRdp9i3wsuZk8Ki 3gD2F85yRMYilxUt+z6AwqmAc4F36nWiKWUVRQxPxYTVbPH1z1OTTIovoZ+iVLJiEbjvbr6c8djE YJsVHZC4+1YcWuZjhM0MQZIW2XpoJn9CVufBQ/RCnn5L+F4NthLstFqCutDZyMyxHbnevdzqBkuw 1DsHJ8zOLidMJ1COOQdCKbTjaOuE7pa08WdysDZTxljXwysTzVjrAXkHuaUdiCP2b0RiCGyT/nTL FAH4yqN1No9oKhY7yism98+JNtWQlAUXlGf0TH+hd1dKmPZHZrslDXIovXC88C7W5AbDZcndqJ2c fKiZNshW+mBBDq7kJ5wogeG48AJT7R5ndYz+2bJ+XyfSPOmuuvbkqKhnfX6oiissKZkYZd4gam2O VvwBy35hhChIMlSwE4YZS6nxMD+WW9i8q63P1K7rvSfvr/IO/7AlYn02xTytmwKU/QKzCdH6C56Q hv31X6fgPD3fIaFNY9sBr9xzzp8sf16ZdtTW5nI5Ex3hGLd+lcje60x2yxYgJuUTpV8xIBqsMvTU I+ZfHDyb43OXJmdYxIWxQoRwBSlIMoxMzWF+YDMmtS7ggraTUsurTiwe8drzIfZT8Ta2buKnzYtl 08owAiSdmYOJzVcYNvudZE4E05XBC7ZgcGecp3BuvPtpON+Lw6PfBR5uhmoFt4jngE1qHd1QTFsS iU4A84dEZCkis73xyW4NnQVuJ60U4b6BeGKTG9+/bL9WCCjYjbuwtbKUy6Rv6P9LMPsFY0pCs1Y7 OOK1UXRnLk182619NATuEOtuzAwmpMduP3+T/kfmAbslMM/wRZLr1NZ6NoHkIxSf8DywSvbKoq5e 6YPo0JrBAfvOmf6j1TmzCS34y6D2N4u67Yf6PDHLyuaqyP2eoqRElq6m3xAaMgVU6nvQw7FnBdVw W8SB6PnIAudbFJdJuTpZYdXTgbwmiN+/gcrzTf6DVGaW6EAFR+zVrrbEoOuKCDQhWxOEhUeZY9hb G5LlxIKdCeAUN4P+zixRhw/Fe7KUcHcmLPxj5u6Oc1HvLohakLUPsDkmmMcwyybcRqH7pR25XDwC vUefY4nIofLi8ZXxjWQnS5Y7IitUVxs5YmeXgbBjc2i41chgBMf+qJrfKPsAJawMtwy7Y8xUOBbM 3oXNUnk1toofqjNKPmkDvNNc68648HDYsM9wLAjTjwVmR2Tu8Ach0mApQkZETIK5WbwqbG1Zg+Jq UruZFwsF5sMhTtTJDssJKGDwyf9MW7E5rAStAB2oH3t5iV0jSNEep2XlK453bjuibNQ6YSz6cWQt 11SV9GoKzuXmPLCSqgyDEDdcMZPs3HpJ3wRu4oQGBYawovLXlgH7hIMz60I428dJ1RzZUAItNPyx l/nM7rQEerNWB9SQR+hUwnhhN3uq2l2OJVllyxBlmS8H+8QY0nppj/ry8JuKKmbe0zopJkK9QmAe m80H68skIjNlHmSzueoesSMR2yH0aLDAeca1hyFlDySB4OgyaVt+Vs38fl+iJopvA+6nNcMMc+z8 DbXx8cGwIkYPsRehZJHyLNVmZ5SQj1Xl3STE8IbsyvzzEBql/KM7F+B2cYvilyRwTR99tSRLewad mNYLK0b6/d0mINpZ0nTKAC83ngDdHwHdN9hPDUQ5III5T4i8h7jwtKYw1Sb43vJfq52cxzgZkZmu VHAavPSyXsBCkJ+rleF+UgMonXZrxbS0YXva3+40wAhL7Pfo9iCTHEOXl1uI1hKnwa+qdDwb4tYo /qp2/xuLh2FZ4FW0xYuC0aL3KqPYiJ07J3YzEj9KDlMbJgzXVfUV5AgqJQq2qXUr2ULSUbJTDo4F K/u6niaZw6C2k8VhOdfSL1ehPBLS+9lqBcJCxylu+emauiIETIbOQbgILKxADHLtfgovSgT2PYCO 0QD/el4duBJE7fhI1DT5sjSRChgTjiquWnKqCEMswIjCZJXY8VHLWRiUvjFQkrnJkfuNLdcKjdev eH6xd1y2Uc4+eQqEp6h6J0GSIKecveOn9MD04bHLUy5Ct5NTmzelpBFQfcJ1szjsu+NUk6zZ5Y++ Kiy0Qoyqub0w+r8YbsUvnfZg5KYFrajIuyQSPaUKqWM/tTX51NzpCyeCqQ1dr2/adIGvYQG1Uz8k /rg8DQ4mrqW4c4noPyf/lLc0D0TCB26xAytJIKhENHqBKFcB3cw23MZJ2EAkksReT6F7E/rYXBlt bR5SrQ9dr52CdLpGwUhK8srknD9tHb2nTZLY0dI4GJAU5ENSD55CgASBzCUsf/3pPOn2NgVzUIsJ APHtt1aOpsM1TwrfyZd/FI1REeE5lfRH5yfp7w88KA8QePu51l29oWwGvTyqh28KSzIR0S5upD3Q xg8+LQlWYB3L9aytkQSwJn3z4z5zf3Hq1J1YsRdmOQDOAStVLRqa0i8pj9VE2dpQuXhIl3L8JM+9 72+D7Cl5BDNy+BnoFQUQxGYimDeSZx5OGr7i1IwQ05G1EKsLUtmymVbmoq9oRp2+pzX1YnJGkwF0 lHppb0kZOAZRkcf8ih+E7nPZ50pORSHjZGQHXn4Ulshi0nR3UdrFbftD9YyHqEuIr9LjhJg2oHLv hkbkYL6RgSzaD+EsMkEMbiVONAXA/Cf9OuEV0ipn0ZfssTmr6ErIB3c5aoOe5NrHsvLUpSYunLds nFgu0fu7lSEf3RG1jNQ1uoFOBbKy+XdpajI+VL5Rdwkuu3EoLci3SE1rIdmks3e/A5TM2NhYB3GO X0hPGD158RL4lEqfVOGYQC4OGR2EHo7/8tkk1QU7uYpIYOSm7ztMqoo/1OESkP+QOHn5DQA9RG5w +RlZYecVvdRC3cheeWvMdlV0fAa4rB3OAOqTlGMyF3FSvxiscvAocr9qdC/9fU2SXWI1784m8X4Z /kYlI3Ld4rpaOUzEnfoTxlasvb+543WHBxke2o83uAyr1lpfjLw8aEoVHYRZemlBLfQI7c9T2+yb cRM/rr3z8/DsUbgxwuvTjSs6K/VGv4aV6EgLBk6AVPjyv1YzViwHbMxfFCN2pFVy7+cKS3pzUEVS tCb7DJvZew+4PQXPMkHWWPObt4T1s1/0q8FHQxnKGLL+wB8Je/KnAKjbV1nQQmPvzHotVWeH3CSE rqVObw/sAzAuTCQ18ABRQJoz8Vo2dH5OwEGZHWm3TpGCvE4X3+gzXdX2GjTZSgSwdV3rI4ihniu0 s69fHT0QAfXpScqzRqPU3spDbP33e3ZO3XLgCVoaAMfhXOlcEWzm671ldehjSfE1u/hWT2tBQVJo yoLqlfzTkV+kEMIg6vkI+C4FAXuzyJttvIoY8BloOG/UpwMkvmZEbgEs3CXW14/cIsk5gYae9hAK rtwiPF83g/VxYGfR1ZoCqKVCK8bFdQc5XuB4/H/5rgmshQyozTNiWHTfIdtTZSnhXRfnXhFfKwW5 f9csyCi1FdxRKWor2AzHNRjNkYxFJdTXC681/RaQCWVTw6RHkpzrHAUEY0Jt/F3QAbfOIvf2/qOk DnOTU/Efl/UezCOfw2pSOTp+adovta2O2KtzyBQPuafZpst/IAUQGjWOY78UDQHQzQ5MfaTkWzdZ wb0ry7W8qSeEUa78YzoeyQWS41143JIhTH6SGRMYHW+eCUDu5o/6jxwlRnnDQI2NOILYCX0DRFjV 4u7X9o+v7BlR2uwJPFzr51o7UQu3X2Wbm0zUWaUu89Dgl3Vpwp6BUEttrMeLt4VNlfodp9U+7O10 7GNJYG4RaudkgdMDJcKtJZlpFu3pv9qjg11mImvqh4XB2qAUsQsnL8cL+D1/6SvcDTHrCaEiyn2X sNaCMmWNu2twGWUZhebMhxdnrC0y/33PHmEW8cATAM7J/Q30GBsZ+v6OJbPqzGE3vIJ84/xLhxlT 8InLUREQnob6qpq//0i6ZXyQ67VCkp5f/Cunk4Pe/EtY37U4Vso0l09QRgAHO0kgFGp5IR7Nu8E8 JOgtfCKJ4T6X0rLpzRh7zPo2tudRA8xeUpqyBJB6Wti7vsicnaDzAR+76g9mwD+uV2DH3Dri1VLM bisFwlzn27d1+YJq5xdF8z5eK0RZJchrHP/aQjlCk725AqrPS71hj2EgpuYekx6LrbJfsfBUTnRE Z67/+Ym452cEZOANLaFp3D7R13uaH1W+nORn5D2GTmPot0IdHYWXDZ6PZhtbH7S/GnroXwUW9dQJ Dhfwn3gY9dGpybST1EfKetienURdKSEj8tyLzwofMmUoh5DYpJRE0dxNRDCcceDSuFbDYTI5Lqf5 ibVuu9A3K6Nq/oh6I3C83PaaAIALHe57HGTErJhOcUTWJoBrM6VIUr2k0hq6+2uyHpSRD7KU9W43 vPCdne7hS/OLXzrX/SrHfr+h89EQXAdlrr6j86GzPejucNW4Y9S/WYS04Xt0UExJ0anEXby0H9Yc C/WNgaZ0Ci+ocB8tj3wtXzfMhJd+fMBozp+dZC1skV4xeViwyK0MfLL0zOuq/gxm3K7ScaZoWqz3 XdGGObVe7rBIw41KLK4gJvpokg01/6ySRzQpAfSQJ+nVp9pRXx0R+h9XjgmrYhmFuhks9oLzokKu m2sXxSLTRuUAgJae4NZUkG6he+X0DcSd1TgJkCXaP7ail6yXgpYNpjIFVAobQUjL1E1lHbU8hJvq tCdD1UrgGZ33lrK0MOH+tZ0tnJcRjYtL33c3YrkozDVgkwaDQge7bTM5thHFSF/XAVwk0SPRpBUH Eyq34HEiM3Dyu+C1J9OVWHTg+QGoAHHeabobBTe6unS1HX0Maq1r0AZ+cMFhigIfa5KTUsaDBUWr +tKSIgE0Qv0GUjXCJkaI4DwC+LkDAWAOWdbOCYhiDxPHgp+k0Wq9DoHX2dp+qg8d3VUhTuN9WRR8 YkeRp0IoKOUu3fMLPNElD+T2372wQ417q7Gp+FMle8/HpcdgKQv31Gwd3lXp7EXdZx/1rhmQKh0F D3Hea/k78wDkmLdAyEbfTerIpcop1zMJMkOb7hotCKt/hA0+kSY6jibWIDuzK+ViMTs6oe0TSPNn C1Np9DtZ/M3lfeGpAMwSvyc+q5RCZsOPLwY+7QRMMWvWRD8AiPjGDQwXVXxws/F8rjjhJlxWGGq8 WVR3tDuvS4S/pYCHMZ5CZLNIcR2rCFedfUOrxBqFJTESNyu9a9WngResiZILufd4qN++RgA8wl40 jGv28HR/6MxqC2dgwONqzvbntTAZu8XiOWkFL0wXTngn5/ivC9pyQjGanR4u/n39ZuFX28seAVAV hTV9FI7lR1/HkKbzp3vIGQAIQecOUDzQGKrkJuTbPqpVFS/NDIgJV/gF9R8u7wr3EoMjOUP/R5up DHnOE5mTrxqkSaHTYVEbH/REVB+pUVuZgHKL/6ANm1c1xEAsgjI2NOT8uDGhk+36Y00KzqxQ/5VD OJEIBGvKaWBHh62UIpjZVB5qBZwSusB+GBkNpZHrjzQfW5yfCTrBv/Xt5HPYvh5xuD7gTkHUd2a7 FQuDXok1FhtxolzHidVtv/b1WkHw+Ufp6eTZJzlrDzRVSjaFIlORwhwOFWsD9Ys7q/2tD21jYLl0 X2zDQxzDh0iEfP3vFzh6pCGPhnAyFJ3OjBOHK0G0etyn7ukumoZUoXunQbudIjsrfQvdOYL50Byf xNGov4heu+YctQc4O6J0j41RBVnbImIagJMTQMca6b3tWTZjxWVZeEvMEt8j8vO/rUyGtxUoOWPz TejrDtRQMummUo7vJFKSJgus3VoSk5w0fRn5tN2HyX3wOyFbNkNUsbryy1k8SviY4OmFO3wBAym5 fQ6poUGa0io/bc9reh027gvJodJ0vyttc48D0fspSdKb0EuukKQv0o/HgCZ4yBQNNfvk6uJJMj8U Ovafxatg8ydq8KVIhICBJMIsdpCX1BbslxhVU85AodAYJxNY6owaSS6Kr5vI1jByFU5fBiNOZplp ZhlXTsLPDaJiiMqQ0En4iT1cnSzmK3aNG7d3tCyWu0YJRSZHKUGuuuZEa8Cs/9gAiT9IFdx2Q6y3 SuS8Hp21WSRs7x8IRMNV9eiyNtxBwX7DF/ghz0FfF9HOu9eLsp3wR2Qo/8N4QlVNLRkYuLFJ274C xPQphDsRoPUvsJzgEeXdtmCPxWna09um/KM/NWqFQzgmPAMM+MzGsxwDK3YzDU/162tD3WPjpZmI fHNZgBFcDjqxM3JGJDYe1mOz6gbNCyI9oh/3Q9WvC21N5BXx3v8zLxpMy1sdBNpBnB/jV4zEmTvV QKbfqvR7xMCHtzKpxapD4wIEN9U/LYfMZcK2SI8vDQS6csDPTX8bpAryjw9RbIBVBEUE0x7xn7ZN IRa+KmuCGocfg1qP0AWDdCvf/BriwK+5pOfgWsOuEvA+ShIqUhBGMOThxk2JWAUMNZLj4KqAGDeZ NtwSa6HstsWlS8OxqIehj5USEKbl9xFMg6rSp8SI7FwYhAQXbq9xyrtukosU5M2Mtmjwfi9M8Rgt DhspH9/Q9ePp9HJfxDFt3VNn9hL7xBAdHWPpJm6WzRlaMD4uclw29jWkL7BSoIiYn/rOrhCB0jOg x5xIA5KKeb//h5rmpeU8OipD30Zl4R2+Gj3oA0aGXdpdQ50tEh+Xs4/u/LUCtQIIhsq/2J7TVx2z ovjsLkAnsaMYnQspaExrrQ9I+FKwKzkiPyov7zrECrBxEemxgyGRGcHv/vpoNVKPQPLCK8ZJzuD1 VXQ5JA4aNaTe+fWmSBXtMJgqXp4UlwaUODaNx4YwFyWUVgEBME7PNK5J+b3X5Wj5XnuXBjALR4me kzXMbwCAyFwgDi1lzhY4u1AJavkSsBdXqPU5Oa0PU5bUWikOkxotkQ5x5Y2tfhtwzAGY2j10f5O8 kF9CQpFqXTbQG2uR/PJp8i0Mh3XzQfobag+3Z6aDXFr+lP9lAEqHO3l8OvDFfHIootq0WARUCq8V PxJi3Qi5BYIgrpc1E1pedGw9dYb++0nooTmpT+VafeJFphYsxggPgKgBRtvuDEueD78sVncOoxBx DdrLx8zj9ZCtBZ4tvvrVPZnKeC6D2MdlkufKACGTvmWwD9Xt+BPYKvgTnadj+ShdIsXEAGhK1Msf HUvfYZL8yKztKOg+HeOsv8g6zsC59y16VMcxXDQ52OdktF9alNe/WeUQh1AZhktXYbEWt2eSaGHy GQz5hTroVES2UJ835J37uNgFgIZqSPPMlOqo8TWX0dwxZZrYWQTCzs25TNL211HJAnUTVMRFGuMx oWmY2gx/CDOHqayo9sHbwBEheDviMWjCYXwGzukNy8xzCsXf7uHvsQXepCldUh1TI8sBXwM8uH8+ FulfUuqp9dkyGdqxEf/68YyxyUBnr9UwpIVwItSqJLbiIs1PFEinN/taI4fC8WLdnrS4Xsk7GvE9 qg/fdt0biVLrdFSqpoOnHMWj32ONIOIwNRaOIMDe1n5US2cG+3TeGOQNyySt3tMSNrzRRsUQPRWc aYoGlRrKDCX1KO0P47P7WbiUcqkeDxXfQQn05MMxOdbcrLPjmUq4hqvNO8WLvSoiuc7vP3sYYWbR B+kJgdEUa0fiaRRE5RIavlMOfS8X0Xd+Uve9f4Y5109uhNa5bPhoAFWn+45oLJpBRaNT6dptlj9g Fol6mmCMjw17tYdg7I1ZUhMo5ijAlQI1DDDRs8FcJFOWouki0cY6G547HZELX9Kij2jYmBUn/3vm XHYZYFpN4qgLjtS1y/vBJsPe7MID8I8Ypg2MvyeurpkqBhQ3vFDNTEM//PhFWKkm8r3v0/C7VavU e/u9k9YHZztEg5+WCvRP6jXXbx5PY+k49QLB1qVUP3QHROhGRuGLffsT1FXyAW3yEbspC0jLmpHn U5O8RqzY1tR6dAfBB3h0Q9+fIBOZxSBusk4gR5vhLrZcMW+UA49Gzk3iJqeQ9um7IJR77mzNIgo7 0KpDf2BS6AGKCx2D6H7osCYoDoiRcPHJW4U18rvhSZ/lgqNBefJ4/dOB7gzzvrE47GrL/PLtAJcH QAjNTXAP8VhBDM1Bzehu1hW5C0fcoLA6teSrqsymBHz3oDMvXoigzUcGeSK/cQUuEpUtdxHk6cyv C4ltQBTjvii/Q6lk7OUsGYZByvdx8ZDvw09++zufj4JfCJCTwGjb+KnMkBdFy36ytXlPDHr8eixd U4V3aNyRMJS+BOPTMBaT+wo6NFeb15FLB7vOPRHjiSmWQAqqeMy9AmCf1S2aXFNLDY2LPdhluykl +iGTpR4FacMotmf3DtIyO5EVsRhBOK+EMz8TlX24OIHU2N3+zWdVs41QQn8dCBtUZLvdTKreDhL9 dxHUxHrXfimOWypTTd8rhut/Gmqp4pERV/Odayr15bvypqsvanQNmn2+4H3fHCP9K7jyiVo0OHDA tFHtkoWYBIkUugsmKDf/enUO1YUg8m/A4jPG4+/0R1DNw4UHYFg8uw4rpcNH/NVjyTQsoQUHZ6vl fls1x0Nqlg79QeozgZmcM2u7r1KcHMrlW4FcMRxVGLmzB2IHSJ+1nXX5+Lnffjo/naxMmD483S02 p71Dge24ghkTd/PZlIOqhmLZavSf3xawv6hVaXKL7dRTc6hAjx+MiSe1Xln9S1a3Mq3JraCNKpph 76wl5aczMnKQe18YVxy/LuvxyYMCsIL1cN7Q7+NFroB0SrWfnqYx8HVjx5tu69cQuI4o5/GSB3jT YbKMUUwKL11SCQnXsuyqWEdYyjNu7iLrJ3v8a34t0RxCRO90lECaxkJF2kQiD+iZ5ax3TGyXxGZ5 e0g5f628L96SCqPbB+BZncBn7ceNiKQBODlxEhGMyUFIz4mMY89lYldjCo28cb/+T0T6p5BlGy9F DIK9QulSEpZix5jNT2ms3o+yGO9RGo178neEd7xelFiGIVTQVyEUBayb3eQd+dJRc0sb/MgKxXVa PIEVaJVfOYjL4kzQ5LdICCFSWSJVQhzh0FL/H7fDSZ9SeagJkYBxDjtv4cix8D4odNeSe5ngHNHv MF9SDNgca0ALzzDp9meX7kALH+FMlpXa2sieCdx8A7u/vk6hKquyD++jlK9ISZy63ojKOK7A5qyw jTasVc9ZCW8RJZji4NTmevxMnqyHjgxXB/bSsF85TMc6ssleKcTbUK2Roa4I+SOzru1i4nzbi4e/ jvlT9+/FNZLiQPMvvxKelL8qKg0WSQKLqSEX7ZWrBMsijs62sOkPwY1AWIZFUgpciq7TqWp7Z0qG wqVDj5d4EMRZg7xUdAvQ7e+f8mnDMlVCcQgh1/JqI2k36iknoAfx6vKwpRlvv0MsLEO+4eldcxgf du6gCo39eoM8Sxlkwi1xF2Y4ARFIm2Fm8uAtW7oK6TJNbkyROt+ZosRhiU7gasZRKExUPpg75HyN LGcM+eW3YJ+fiCi73QM8o9GiJ88OQBE6v5DbvYMWgqDmUaC/VewVOFXhiSPpiuVk0OW8WWO1UI0K Y0/mi8YWXStveqvN6/Ijl0Myh0taFxrv4tEwy8A4vwaidKKxHK8L9kpSUjxJddUK5OPD9iIiGTqZ LcVDRRsC4hoCQ6ezcADpcfvTZb2kh0kZR1cdx7NlvgIrLYZef4GrlbuCGbRMAc+rtZFJ5KGQxFDd ka3ISclPHMbF+3fS62blmgTWFuQpO3KV+v2njZyvtISYljm/EwGp7Xzgy9zly3ztDa/8Bulae8WY EiiqhOGmv54aANL/mI9oKyZktlM2wt9udVSmQNY+NO5kSfCZZbppuKJgIuXHMJ5QkmvfHnH1OryE 4Fhg3GaufXqVk16WIqUyVBecUCHGmzw/eMmzFhjm2QHgHdHnjiYKM7Qy3AvWfxpqQB93iRPArB7C /Vdo6nEBaxFQkAePOrx423FHa57YD7MTGG7mmYJ14FWhcYbyRxFs56cSKgbpCcdeoUKQtgeJsM0+ nvfj3VdYKSlkr+O+1e/ZwqgbhVdguAzB2Gq6WDCFAkflyen+9jhBImfaL8D38f4ZUQy+q1otldll HEbX/8mR+nP2IeI28RvaXzToIUWN5iazkfIHMJBVZOSrPolt/paBroJgqZu48oWwwGKSH/ZwvD/9 SN6GuasxcK9QJrnOqrP6o+YXQiD5lABjqOeP6EqKgGeKz5K7QpceStLfIQI6o4MHs4xd5SulrKlo m2VCE+T18ER229gp3ZmzDafy6ezAis6vdWu8ugfsqOeS7RMRKfhqAxWszZw0Usuv+Kh9uWm9ER8s UwN53HSHtsIAhNtCi7vdWp0n6TRbjfsh34Bx0wSL1ZkpPRYFfc1P9pHQQ+DLyKcBdk+fLYR6VDkT 878qgy3GlNNgsLRGRVuBm7nlKGf89AlubE00bA1kiaf3Im2N+k/CL/9h52DD2p0MHplHm7vpfMEh T+HZjiZRu9NVmkclu4gbQF4Nzr2Zv3+uFmqCzMaFF07UMoYF1e8aBoxhUdw36vVsXNK1tTJi7up6 RDRNp8pRrChr1YawZQ26i5YIoKyHhYyv6wtK5OCc7pHoItI12yjKu4CgnmAJfqHoG6CUUmV70BXR H4Z4nGW8Bo/mKqgrKAv4cOA7rQ6I1zjU3xLer4jxBDARaJYfptcGMcFWgyUcPZDx7ZN7rwqCvdSM oCQ5AOXwrIkq7EqSPjod76ZsClxTdVMBvuUIo7AfAFoP58J5iVysEZkaD6oj2JI7Eo5WCWGQyqkv 7fXiwbuIwFRs47J9Kvlw2NccrTzR/Cq4rVP8ruol/VDiog/aRfmNS4HUE4YoSi/sy0/m6UnqBVjb G+9/nxqPGVqTolejanhHsf8K1YLyhecwfIh4R4j7ZOl+uGrczcckx92XwyI398TUmsqfWZm96Al/ r8piJNAT/SoGiJpLkSrC51/+Qya0odeO6WXV3nTVS3U8pZ3rP7eY/DoZRvrSf/LPt0EjJFMv8AP9 EukuYgDjSgRnr7mP1XjEV5qbcwUJI/Q7BGifCCWwyW5y66siWocoRudwtdcnOON80HZrOeoS7shh dvA0reSIGLVvTGLAWkeOVA2wCwyv3iyuNBSY8+Ui1lJVqNW0qL4VTqUDxWtrbt2MsrbwHUzQMlHJ k1Ha48rZlHiM6AHu4GBsco7T/sA4jaPOWQbn6GJpUfowvYZrOFpfX1i0WKUVpdo7AzQabqvXgazZ ZMp4PTMpWtgQbQWxUoLIUKMkm8q4/7JFfO0GGL9XHyhBUI6raTYHEnexr/IudtcKVrOSa5korxjE tYJefD1P7JjuPC+iFEebcl2SmcaRErOUaaO70HjQaP1vJNqaaTV6W4pOY/OefF2kDFPvsvvvzKTV HD3O0MEW5vkYaS2u7+ciJs0CHILycQioTKUCe/g5gmfSblqCHMgIDQG4l1PhI/Mq8GL9qOqxorm0 hCYVlLNxAnnQORXQv6qCH8pyDEo3VoOItjMZjjK9CIn/zZjC1IJ8eiF0Cj3Q2pDmzeY+6t9RESF1 tBC6phx1NyIPlENhZCoqUt6CB5zdkwhtA4Xut7SAfAK5xFfV41cHpi4VlHFmq1riqIafGKpNlEAG L0XIYOsoP8zuFXH3rsbPSJOxzncd5qOdY8qAltjQvDM7ah+x/2tuYi+8UkUQDng2awiE6P0o8Y7j CquoPvOHn6v3wAu9yhmjUTqON63dTTSed/JuZkGEZN+wm+nF79z2sRExYL63uqRVZqgH4Pg3K06d ttp9/mCeQDwGST8zMUxvNUPJ2lrdLOjNMUfklxoKel03ETs2DUHxnU4kjDJS9Kef/NcQ0EygMD+v bQe+7EF0pBx3a7WG8ixjfZ09PGrQlXL50Mtqvtpv/xOjSpkdmNc5Vx5rRT22U9tLM5vS8BqXqbbs kaWz2hKzpgFjQACpGHapD/0Ysxae3tdIDAKcXql8AEnCpbSx+sQMj4FEVaY2m2rlbfmDlGstuLAq C5O9uCe4JJfqQWk+aehwdYvOTQK/4xDv23jHpL+z07HCUPac9ReJ5rQMLe/Wf4OhuIjf2xeHIiOt l0HXCZOW1Zm9de8gwDr3UAWsrFYPGhtUS3reVEDATH0/tV4ugfPT1lKCe+hPsqfg+L1hK21qPl2n CelA5Z8eGs+leZmVUiDsy97qFqvlerQ7QodATlOqQf4emJdBGRQ6EG8h2KW/zvopn8kPfXrf8qZ/ YNnvr4NXx+J1G3ZMvaDIANDRu1C/5c4+GMrnShGPo3NwHb9QdvFXGdkNTm6on1zbPrzFpn9elkaB p7g3i48lxvPL6ENoQc0VNEG6N5HhHIJ9uVCQdZ3naVxuJhaRUIi6UrQa0z2/gHHkGzeYWtx4GePQ EysyXfithD9l1lYVgKDGRRwA6t2VMiPIaGkEt+col00b7bOZ1FuZ8PGsdxXynVevBM9u6Zscmznb n9XBvAecaFV2OLepy7vdS5dWeZlDM1dQIv+UUglMgzv4OBrlpR7/x3wnBUZK6HNtH2fwgQWKNLTH FXVANybQevRIBLQcCARhxR3N4nffT5S61fuqwET8TNwSG16gBchG8e3m/GbrBstjnJYJsSobh66e i0kB5aArsXShuF9rCUB6xZoUyMJ5uQUts8V/eeOT+9grE1NVdDyZOIFYNR+7jr1a2iVKIYzv2trd fykpdpLZA+JT7ZjpM7E0mBwcIw+881BJ/eY9FxEgtF0qooIWMV07xiUKQW9KnKRuoWib8lCoGxf2 lKVRyUkGPyOHoy7zLa0wzKwYtLDmfp6HltN1gc84kzFbjR94c0kZXeSSE4TGNplVprv4xhJa2tUV xNiEIlQ4wH2xWQ+NSMQIAjvif86XUugcVzXd9BsbCMmT4Ei4WJ4Z6Dvy6/jaLlNtw5ji9L/TCp6O GqmA000+erdjzOPYK4XIDXYF1JPpptsIlM+Xpc7E8R+JbuxB0edWxQQv+9OhSs5+FAus/BdGSs6E 777hMuSAFBS/i7w9HKi0qBqPbCVELywuIfYmERDn2X1H0lWHT657Y/AlC4O8kvw8B+SRx4XZBUmZ EEfu3tBLKs4kh04fzgcfpybwsQVrZrFpTmzLEJ5z5QyTGU4IVi6NRvX8wFGusjms3KG7AUZXGRzf jaYoSx8BXb1FkXpo9t85fco4B9mZ/mfaxgf9qktZ4RFDIMTidcK2pdpYGw9jPaGHm8fQ5pMyAHBu +xCiBBMlOhy9ma1OXijEEqdNgV7ZZVaKWatUZqnF0iwH1zvYwnWVX9owgxwwWDDPx5yO1k6+WJ2U 0DXyV0yBz+EsgEkZM64bZtajGXnsAG1tIXxA15qQjJE4RjTJia1Z83zesAuEBp0QUNdlp5G5/g5P Pjgr4cjpIzA34hgO2veZjJdbqV1rF8yCB9iSQnfoRU03uUeD/qFkOKopJjZ/C8y0GV3qPrHLAi29 Kar6mWte6OcAUkiri9Pu0dYjkjtQLPrmUbmXjTQKgibQzrass0/1Z7Ltc0jCItVt4ktFFzYSIpnZ qsR+5CeDe0QixXFcIs0/9TDe2FblhEztZoqi+xM4Cvrxp9ODBJyX1aYq78U/1to6jV4r3dw56jF2 tSw3O1Rw2zllMx7N51Ya20bRV6JWQjgRTLa6CzfMQMBR/Ogo2KIxzMADBOx2ihxpDjsuAHlrntJK 1NBy7GkzZqRcrZiX3CjXH7u0lnBqgrq7pbfTMA1QDbGNkEpkKT/yHerimmuPWjqY4QZmRyRUSqln nDgfq4PnRS+DeW4UuzI/Qn/SKz454tge/1yqwV8SNhOCd2BNA2xuIA/SUlLTTlznvu6rHbngCalh 0/Tw4lF5wnQ4Mm+lsTEsBduNWyc8BgemuogaMJqcwkJf/rR8wrXE+G7qDwkvNhZfDHPTbXNJ1aFv xxD0J96e/DC6vabKMMJuhl8H8LAoEDs62e5tnGjgZRGiKlgE96ikDmlKpY34MdlyHoUxWZjZa7KZ qR2TfFVr05fYjQPRfdOP3ZRsVne4kA3S1vGfEMRZztj0edEQGSyhTGCyjhW3DfwX6Phlv3gZHAnv G1rqO1rcwBajnRYi1qrbdhN8OvB6rAcueDqpw52LwdkkjZLJ3fI4O9jcrPWkBo8O37ONQZEW7N0o JNn4vRTSS8dgyv7GUcWYl8N4QXDwt3lutSKCFPp83Bl2d1y7bIPSZBxbfXrOB4lk9jfoLQKz6puz TrUlNMvAxzfoz4SHU9r+oVorL/kordTSOsrNv4IjhUn0csYeAcqJ2B7gjE/G70GllKl+Fm2yaPzh RcUmpR/94KZd66CAkPcjhVg72PIN4kcLcwhEjb5DZlScloBbl1CYyqgmRxD8GXZt5VWSbeX6kPSw gt3Xvx4I/s3Ez2dfTEmuydxLcry02/4TIoivDB9a1N5fPc9yQ7aZ8LSB/QNRsoktNrf3CD8xkxzH tMtAiC0/43C2rsoMI77nZ7Yu2yCuUiw2kgH8tPwxID/dOzNyg8z0eZa097OjLeRHB3DYn/BgJbrE cc01F1PhHNUobDP6w4sSq0ccWwznXxpQ8ah5QvmhXLgsudVIVPfvkFcQvLXTcdKOrOajsC85kOxk loCGWCJjUWpYFUOY/+jznAlJWDO4N/jsVqKByT7jXC1ODcqrH/RmsruW4FEPKN414QnEmfCWa6pP gLOZjo54wBs7WEMZLKvHc0cyDtzN+cdwK9aD+BdzLmpwxD3O4q8Ezoe4LhfKYAye8ldF6dz/ciVT JJfhAS3/x9AXL82PMVuuredTK8GIQXsN8J+9JrrR7/M/o00MQaBFo2BPcJtZA8xVNcAWyoupXX4b iOaSoF+ydpPx9UqPjsX439kIyeqRqoAP39x924+OyxeYsUXs2APNWYJZlxIn34zOBRN9lZeLF8Db vIrZ7Zn5KRXTbzqKDulD5dMxwquiKnk2uNptBmld0NTWrHiYoNPxZBC1z+48k7hy+hn2LLqCsTI3 hhixWowMwCsifSJVUGKjSBTjESKmUz7pXzwpL/Ljdj7nKiafKliCtpQ1ETaJy/guL7eq7Ef8h8Tz L2aqvnOtL9awH8rD+C1z4Su2ewPWn4vZpCrlaUQRfdn6TyRsanMQnT8tY2aHU6iZqMjmAdjmPxNY p7Q/jt2HCn1ELIsOr5X0R665h/5FYEUU93K64i62lv1bCCcSMTcRbIGNMdaD/UjM6Vxj1S/zGqFF HcT25vfPbT9HIJtSCL773/EB68aUHNXYhpFFYLq3AfpLG5G7CUCOrnnzkspf19Us/Brf4SRoDBOk ZTc0KBoFXdYIVaTFzquI6A1STqtDsRAhGTT718cBvaClrfi6BijsW5br07dhl81Nxb6u5nyHKSCX IV6zP+y606VCXu9sYk07+H3OTaHH4sKTon2k7elyBWyD/rAu3kwMPenWuYTJm2Zn7XmT9rnSUEtI jGRLvx3QbTN+LXS1jwIS6TwyI9mXT9rinu8vDrEHSjatnDlJYJFTpr2z5c6D+QJvbsNFXknLw31n lh2cQFbNuAdaKs90kuuZJ10MycDkeCjeJQExl46hNyUphS7JIcbLXgaS5ZJ8Qa2MqXY+Ctm2l+Of svx8SHEg4CE0axLON7JlVJpMeyZnY0lUt7RpJrr2Ws60wCoep34mIESwXvVr8W7WhvwY8KuKYhAe EWe5fu0tNiuDlhaW5Bx7d7Nx4E6VpYUlDjL0Sw47sWoLFLdPnYMSyZbqGTWJo4CewWN7z19fJX6q 58KXndXaj3rIKrdQXX6YhghWMPJFx9mjwRSEJVbd+7OoCxlGt9uxUnr72HLlswTAvTf3C93S8axX DlCigqM8AmGVUzD1g2A5Hz0L7ynPcs0ABmP6TZEeDbV4l63XNfKkV/QPqw2LZub41GiCwwmgJntP XC6XNiYD5ZBV+S4v6mRp1X/aAUuIftTtLEyyFq5weFYm9vGx5nlkE8Twp5rAnx/ufVPLNoLdjEKZ GKvZYrrI8arSF8Vd1b2EO3okfpg03zII2OO+5yAZPVOIeEO19zx0PoVNQjek1kiogP7Y986zJwV6 WH/8bgHEOV3wZID1smQj1E2USagNlH/SxM/7VlGi6Ym53ygKuwvmqTpr9fz35vMDtOzQy1atlYUw l+oz3N1S7Ly4A8q1qNfL8oMoerN5UErr4i7hIkjzkVyjQv3Y9VAfdMwDR13TCIaFYHu/8iTD8bJ+ oqze3v+lJjY2iXnfmFZsbtwKvsKXxy2jh0Nm3uH7yiYX4WWfnFQy4u60I8ZEjS4g6a7eUQ3vrDq1 M3R6juz9P65sPRkFCA0iEGQSYmTtqv6nDCLt6tP2MBjWDFeU4cUHXiEL/QzMq6uYbAglbvPqHPMs gBjjsWypIFso8uYvsX3KCHbCz8/XZzPBvhzEGcue+2va/G2kSlOXY1Q3mDnR5G3BRfzSianRnjGr hrMUC4q0xsZcPg+DGIszfvePowvwIxjJzrC7h4GvaJ0Mt4jrKGLGp5slRyh+KEMHs8cD7aeMLx3d cXaVsJ5X1vBZzyVVynW8RzISj5HgVZ/5j8zv0VsMBfW7Xfpi2k9Bzu2zag416Hg+ptOwuGPxF+sk CIaNp0sCeb0nn4lQwAbXQev2laGpsSUTXoxaellyzwIuVyYDy96WA8Gr2XgkmqiCDn5rD86y34Ly CLIhaY8qQ3sAwSXh+ScDauB2YDL1+1VnuN5rVG/xEEqw4xnbYd1Exs+6pPFInnfEm4wXVUCIfSYq 3CnjLNO72PTc5/YGR/xnEHNMNRIaWT9pma02Pt//OQuYBN9fwlLe9zRH1+Uby8SJfO3jsTVhz20b fahuvchZ3X/4fGqfH9RWVTj8pR/TgmDHmGp8bXKIACYv+I1CfA6DomYBuA22XGmLqkYOvECyEPha Pb3o8RwUT36vjpMP5zoB9Qv3wK4phwd6ubcLExe+bf8zNVgYmju6r5QogF9bGrN94n6d37fs5Wc+ YHlh+IOI33qRGDaKDxE4sexpjY0+ekeVAFgkkUxZljtOXivZ8qU+e/HULnhryDH+QZ0LsLHgRSHw a17eZ1nbB7TXR3uS5sN4Ol0IcYJNonuhijGb0bAk5ZQzHx0yzpRN+c+37GtH2k6WNKcW+MMT8oMB WjQOGWXCz5aX7XeYI6cV7yzsf6H2Uuw8jQWdvGp8vY0FIOW9nAg1zKpY7cL7OXQdQHRuk1+jnC4X bHmL7qnoywFXYyQnfFnRar3/LSLQ6HM8W0SOd1HmP1E1iuwaSbwfyXcrgV4FkwFp3V9BDAolz5CN 5GUum1aU2DchrFwxwOUQJTOCor2ugUELAPkMpcCAEk3lbj1/cGLnE+sCXpzaDmpctSJ7uO8TYgas 6Ixsz4OGUqn0Aee01q/CATCksBesres/tnEwu3ath/NJ4tItrRudKpoNnEOUq7zpo5Nlm/sLmLm+ cdjabgLWs98c/6kJEfRhB89Lsgwu1CpWN4WUF4jrMHBGoODe8kJ2OY3Ku2DjdU9r+gwQ1+bkeFBP WwKU6Z0qKJ/R7XzXebiBOENA72CMm7KI7mOt2gKJyCAN+ODYZXjaJ9vSr93Y7yt7/uMc0BNORFOY wKaM4lkvD1O00UesA/+PCQ65IiQOz2xQ9U4IMwGWPFPdDugSdeu1fsACTq5HUpzsA6qmI+DmgGgk jitWvxO+QTcNjLwiX5CX6nNQmwjaGMMIHNqi+7sDmXJFvQMbe0B6O1SeNjQM7eJPSVYpNlNrGrUY sO4E8S8lZm/jItTfbsec2WVNERQnU/7XB0snTT4vuEIcRFtOV3LepmkTX4vmLIx3GBWLlxTXaNyo k4kJryRts56d3Wga85+uZv36ZBj9HEJ5rfc2sPZulFH3Huv6vSCCsOYZ5UEUxhOM8fLlTvrbU4xJ 5fbyAsLXX+tf2TQCiXP85v3arPwl0UK0/JdABlIjVAdYaxRCTFMUxMYhmoFs4yoV+HYQtj3lcN/B B9bB5IXewm6tz6PSWDOafkxGQCfGGA4nJnhKrnqGu4FFrw4gQ4bYiB7buf6ADEho9P7y+qfDLaDa oROHeB//qp4WA1i3lgqpd2vxvJ2Ex013kNtRyIgWgHQcGpigfXClFc60R2CbRh9j+2S7gg41Bnau KQw4mL1I3yOHvPghudinx0VcKS2HxhPMLcNIkpf+tpDTl/5kmzHkCogVvn2Zj73Lxu4jOvJ9TcFV hvet9WtxOdOQ31JE2or8MwKqpycxorq8DkJdaLx5SNV7q+7+p+52kb/0/lcv9eDHiEOuLZFVut7E yXLS05FuHOftk6Imqd6AX5yVDnPPjmmafTCom24Ts6A62JSPMtfM+fkobXw17TQ3Qsb3RasFd6Up QTgR4jLclfyNvgjaTDYm3MpdY576zxOhnxl2tKToNW+0ZpcC5zJItsypdypOEKtxdujpfICjLTso iJ6Wk0I2kfnQV7HG1O/WMmegGr4x0dzyunp2ILy5y52bGcwjptN5eSOVpVwcakoq+JB6n9Fiefsv p0pTOohYpuESQDQnouGBVIFawqrp6vk9UMXmE/0a4dSf5jlzrwiTs0lFKTzGHXI9lGy66oQM4Ar7 YXjKBEDZGTIRdUGzYjD9nFTvNwO4evOPBisG2qu+IpZ/jMmUg3YaAmbWptlTddjNHEbGyp99/Dxm kCShYMvtsZnxQ4RXxgEfNu82lxuo5M2UF1EJf5Z2rYgNvug+Ygi+efu8dr3zhJUpZPp0Bk4QF/xL pIG4uJagj+dn3QVEj6J4URVeq2PkQlImqZhcFEYK7MGn7+WnN7Pm8V9WXu0xn3rdpzEU+52X8k+w jtVw/0vHmlgAm6N0FeTb1ERGzkMIIkmdm6NIB8y+VOGcN/tkuEPtic6lsINyTZt9nBAoAuHSKafn UgyHr+NHc+jfjA/YmN3lwhje/Sxp3g0wr2pGmhep1ub29q0ka5jLKrKgmQVDR38q82tPZNO/XCft Z5n3Y9YE4kzy1RR2XsaOQd9XSFH4xdXGIkNLPsEBAx1ff5U9/oOV+kLyxV2Cddzfi1d5nqMHmOVo lo8yAFiuOt1ZD27Qb44KYbGowfKoL3Gr09yGBLs5tv8sq2TfBps3i7RXORLR3ORu03Xj2nu/xtg6 IkO6jR5/xi2IepFhlFoWMAVlzRREgH7MFzKOgxjQS25ljFh+3VRRFQmCRdkaMJNXNDGUCFpWbyOb YCVXXxOpkhH/FaLpJZwY5L6LcY2xywUEyTfTVihE0Cc/zat2ieZsWrYFhZbu7ciSHgrNBheolMt7 hSqMHgq6UAfmp9aPr+N+H+xWPtOU+KMzMO3FixuxUz9eryeso7y+6mnEDDprZG6FwmupzebheAEu DTWtNKGn1TsE7AEB6GFa7Ve8Gi18N7P22ZYgILYyi/HLPXqipGnpFfpG7JI8VJMT9vz5vJynDNbW YuLw0VBNsXUuw3z8vXWzNsaMMVUv6pK+FksDfaUlHa+U3QjP6uQA90aCjZDisyLlJZTcGICCa+Gs XD3AW+AVm1I87EUBJagpOscyztc3jDv4LAhosjdpEKjLXc4xnipuaXVMTeteo/r52akM2wL+kWFq ULFLukbm77wXSvg49Qr84hZY57eDGz1cWCFLjownvH6jETz1cTPjKbsJrpEGd2Gwx1Z3MIX1z3tS gcx6pANJmYIQGnOVtUWHxOawUN35gjp+8bDSxx21kItXgZuTEuMQ63l1DnKVcSPvvNPdpP8kavji pKBJkWfvyorM9r6RwE224BEjyg+yGy0BaeoUd9WqB6B70srHktzbZVBsoFVlPHFGysdlZow6YHX8 9B0r6911z/Y55tcj6HrHB5VX2/Ca9tQPrh/nugXORWWysB47JiFu9ApvNDmu1YE3uv9zm1di5pL5 UdSV78BVIFDpiH9oaHjCatBXx4KqrO6PP5FRToDAbVxCNpuIESOsG0U768o/R8bmLrwffNSekMAw momUxI2oT4gv4FBvmbTx3tPl4D6mu7D3iOCZNp7Ji4kp9gPmN3TkUXG9Bj09w8RzZJy78XhHDTkv 4D5dSknMYGRMbX/bsI2PzKDrVSoh8xJRNXxRGLrBXPw9KFMzYPxcC30VWtSrrUiwsGEsRwydVHca HyQaTgOADOuJb+e+IL3+gBHhG6abKZXyfM+NlUtHnx6tCv8MZS3fjrU1wM7FezuP5czxiQkYhNIs xoHlAhjuorJgyS1nhG1mPm2phQjfYOjA+b9cbN6Mai3HENqKguFMyh/OJEhJGcmZuC5/hkJhqtIw yY2FErZeBLZgwosREhAetKRk6cQLCNeyxd9dZtkiRo/5knA6Uhc6BhtB4O7VBvB7U+g0SA9mzGkT 2cOmS68nJC3CbKtC7GmR2UqSnfS6CJGRQ1Sdyvk4wVrbzAXdQH1A98tSvTJ53SO6+6MGamXl18W0 Y9x1Hu9LAJRnfYw5XcmOHQGICPxy0X5HmWF7oUzeM9OktlwwwZaVQ3rJmCluIgPKkyfY7fwtnlkj v0b7OYzGv8SGlpyZ9BGfmLJFgjRgyS4Awpx0wQ57eUIEzX2wO6+eRx4op1THHrp3o6JodYtTmzdN 08gzawdFh+Z75nM4rUtI4jc/7vUrqSi1jSkpzqywurkdEjBlNI9Ih98FdJ3J5JldB4SlxX6cjRKC 7IathQMaA358Z2POxJn5GUSacduJzlFAuBplRFy/CRNwTUNCm0tiAHqo5G18z+uHZDhr7hdi9r+R H3a0FOf28C1IpmDTFOhA5634qq257jf8HosSh8yWF4zHz4Su26q91OY1kRBHvKXJMLV4/9DKIzSL 1Qyz/XBqfULuzGFz4i9+Ho/lzz8peoGaJvZzzDZfS6/ZX0EjMnqd62HoYI0P8a0feP+XQgnWFCIf KUmS6IujpIYcIb1Yb1ia7wluN21sWNpsBaoWxMXPsBVQ+OBeSk4XfyZqlCChpuSdf9GnXNT86203 55j/6wiIC6LFDHSpjHQo7G1P9q1PS9iAcuL6payruDS3bfah9HB+K3GBBsUaGX+SpH78CjTMhvw3 Nm+3LUWBJPe2VChFDHNKoO1v/2vn6L41c41yTFuKhRccagjUCodrEOnHNJoII89MnzGXPkohCKLc TjEMvRbetu6AFpm/6KEmyuXHWcHRNNoe+WGS5Nrlg97uahNemElI/5kh1MSUxqwkJxwvyhnScjqK ozwKhfXjQ3euasT5Itx39mUsPuHYs15qT0im6PWiwE+M+KBn1YXshG0R2E9fRdORI3U++S3DnlOQ kNE91zZ6G20/anVurgAfDL3lnpZuEMsxqop9yaV9BUYOsHwLNJ6GOmbwubc1Sas/p+mYwhfGjz4W JRBB4I6PMs0bew3APSmhK9DSO6AooIdyA1Y9lGGCWxOD7LmBD5wivZPiEA7VKaH4nr5f9nHAwWxk B08DmZmGKPlsAwgOzzmxobNq9f0y9lQnWrxSvSuRmRnXMHJWnOgouYNMxRPL1CQ4gtXSUXeJfGCi xf2zEc1f6n2lGMDlSZyuFQjpBcb04GY4dH6dNFGmKB+Brk5xTVtqrxD5h8Gl7/xvZ2iunDXmwRES LT82lMZEQMGwkOJhUw0xkU496N4+GphG10vas7gBvIpb8E5+TYOD9iJBtBZFWJpu48cUFxLCAAqk JuZp1IgEWFE8gBr9WES/F2b2t9mhpOvRdxd98v7RKiD9XHqVJVhRCPrbv+RF2kVi916YMc3idlyC o10MHBVMYSsCxOp5kTZQmi5RgO8nyJ8CO/VXYQPgItahcxQWgi7CZEQquLLREcUItgVClOpqTnCM FNc1v15Vova8gGKTsrT3/2mWyUJ8fOtOixyrnpoV4ZxA1jmnsQTtS6kEq7YWkLeRT+86dIOYfFvm eKfLCnHUDvd3oCCu7wWpTBPoqJ+7V+1ZLOFmEpn7QkWBwSjl0tA5ptAmJ27M/J2QZpnRkvuvpBi4 k5KJdBcsyS7XSVtNWINvdjU1574WSYXxnGGQMNHEROl212a/cl1Zn1Tvh2Mybc55RonrvfKJUji7 wfO0FtL/tgM67qRMp/BYMX13B3HbsBxWx4ZMiv1nzSPd/AtG8A3ccj45RBL7JLM2TZBIZR3U2LOd tyh7Y0fVl5zG7qyVlsGovWvrd3XKZsJj8JkvU2SbrYxWXilynJHEmUQMCVFkFDYoxT7J4yA8nnI5 8bYlqcysWkRgEMws9tFcryLn/KAYanDpyokD5Wl69hKFd5bnDAhiNjCs8Q2fCvpuLEt/YDt3wQCA BV/Q5ZFMzPaDLcJNe9eAVad2tKzJsFkaTI/Ws/quf/Dnh2E2RFyGSN27drVf6UYD4dW/Q7AeXQZv 7CWXRXqzRxUzaP3/PVMkW/LiaUg5TWT6qarxKSQMNiMk1aoVcfell9uBwAGp5FHIW9m6r4WAl723 BvdekYClQ5raOytUMlD4PV1nNOsb29q40eO+1iUhKhB0dCtZwa5PUjGUbidkkoOY/t4lgnJllvtn nelOloyTHIYeSwurmypZ+LhZQ3pCXELMgio7IAt7weQj2AWvJoD8QlLDNY8RRPrLZvt3und58j20 t1zVfe775vwB9HmtyF7TmYRne1k7nLevVcOXNJiv5riQEC3iZfMbJwXsOfKKz3gqSsxafFtqmqvK 8snmJTpw5XPr37SjJFF9jUMHXtJWY7AjxkzOsuSVNxIoPvK2/r5+8mhRWOdMOgJvZq9I4VM4dRHO FsPPj0hQ1zGF6NeWOIGp8G1Kz9XAyGE+S55L4vVoGVsRgxdv+B28YIwSPbSbQ79Tio0ugiWkpejk 8twP06/OUGjuD2Slb5sf3pYPPoPAQt9qDMXilJHUil/71SfX3Gb9NQDEG+6Vg30oD6MLy0TJDG0H uWn/vRptrk4Vs9r7T2b+dzyX8HeNuXPFIlVth6hsoASnFzYEdSk2UNl8mwl+ebgiA8m7M+ueWDsE 1Z9+QY3v6CfxgAtl2r+PMcKo/MdVpia3Q+4zKHOz6H2zF6BLFg96l2g722TCWOVUYsqY0f0M9KOe 9yAK+o5HUb8MAC3NHLRbS9ns9BNikRXkf3E1Yp+xH2YgT3cTthp+I0lTGTg/RDslDiyZKfvRWTQD zAHpkGbJcoKMnfd2D/2WX9FVwQ4Az4+tUfC5kCbq1UyZPBvgQDR8Hxnq6WzRL4e2cq69Vi4COWc0 GZrDotxgjkaoXBtAShSYQa3aoqaonkCe1yovhInNRou0wb8kqBSCW4+iMlaFzcR83grrn1BYFzjA 8gj2mvz+u74Mm6hmAX/G0CRwk5OBkyIPr0YQF1eS8wyXKTjj/UNM2kAJDzrftP9iDgAl3TdLv+VW Vse6WF7Ga7YXTPvYcJo8+5M/+U7qiuCTWDfJQ3ecTqLOQTmZBLJl6n00N7AHYxClepO3bTJaCn0A HntKLFGMAnoXcX4KDTjKp89Ix8O76aQeHVqYxtRpTnobDA9tk6Ixmyc3CjcdRPUKtqPVlwiegXC8 sQxxWIOUASoYgmoKvrb1RbaF8Vw2O/EXFS7YnMKq7IlnquS2qvXanxTKsJHQbaDCQ9IPyIR4SlbZ CvJhC6g/TpgRnRgcfmrAb9//CgxdKlHbBDMT60QkB6gZ0aejWOa0LfAYk9aSG3iqGK/KGl+TYof1 UK1aOvXfDtp4DFE1kRKJyti4TPBHEy/h4zDk/Tm7JngHliMITUk3aj2EXgBk2iiEt42Cskx3hWB9 TUEJnFdNPpFWCTgsro7M1f6PKCkYzHEIbzruyfJdjZrkeNjPEUBcX7rtj3zLUc4cZRAa2ABKN/bS GR5Pa8p3xJK7yeG6y3/Mo7IFIKBLG6avyJ1v03A5ecIfS5nXoyJQonzEtWnQxPnlbyF/hF52gn0m NkBeMVfDuL95b+FxHb7C1un2rt9xb70Bv+HTeALEq9YgxeX8AZOreEf4SgvdycKYi4WFeAEaEmDs w4J4CtKhEMLFu9D5xIn2neDobsUyiSe0EGntS+tT5rZnX/knvgEuZC+6bC1hrOqkmRS7Xh9msJBk Jh5fJh6z59uT+a5DUu+yuT0ekFHns6EF9ylDqO9DhVwqbPC0uE41z5n07Q+QzXvsrqUy+IAw98+/ 3r9N7zriz+fJ1IZsVD85/T/Kd2wzOvM69IeqYb5Asg788R2T5o/jt9yU22H6snfSswzWAODSMdW9 Vr9wN+Jxs0JUKZEFtP/weDdU9l+ZWny0mDEEFvphzxuZPqE0uG3n6mtablF8KUJXdomJZtmpCSTZ T3TLnH/R6stHiDQDIPVFNH0kTT3e5iSbhL2bA6kXWYrnwus+ztt+jr0V3zzYAyzfBPbNE2/3KexY i3Y4xNF5Jen0alun4Nn9C703RovHMGlgJkOIIs2eOK0PKZoy4vBxZwf6u1HdIYpDhsQYrIkHweuE d9PxsfaMB2jTT1aQr8rIGEI+mXd4aa1Q1Xdv5bjYUufqtMIaIumND8BSWe9OnzXqs6cxJ3bxoCpK xcswhB2m7s7B4bYLz9ZE5yy5VM9zZBmb6FwsmzopeimHolrLCS/kgIP1gBoUmh82kXvPhw5jholG I+IrPfMfH0YDjPoMsQL/tpQOQ1xqgWX6uviCVjI4xs/cvMgHRmUEyN38uOMn6DIQe6vW7LAfQOPD 7FEMYDv7Xni/ZHbG+1F/xGkEuzk/YFBEFhhh4GohjI/vYIXyaaw82E66FN0x4cM4K+r8VKo1hKFP TABE83IwfB1rGIWG6gBivvJXXUdadRtUqOIXCg8HYXKT+zbXfn+AmpgmOHMUv87iooj2HUOTezux bQAGsQ59Tu0CFVDZ3OjO5F0fNYkhpSIGIrWDG8LfjqBwoymYPq7SpLpbEIeA+Py135xi9yiQNqXa tr8lm39ccR/9ZRsxjW+9heK/ZB7qdo0Cs8MEl8Zay0Azf7MbKjCiiVjWSNvwOMdcvBM9uJ1GhsQz q8CZoJO0WSqVcaHbM2JdNH2V18lHbeEGU5Gr6iMUiaPial19m/BCSlZtpO8Il4GbRvMABHU3632V QWjHuGLiE1u4RO4/azXFUxr7xtfA157MQbPNiJBpDcSrrQhkw50WxGTrTBItXlnYgzeytoo2WB9s gndY4Jn0H+MM0u/wTsnWHXoIDNr5d5EpSH5pjzWXoofqB/j3hs1q3HO7LBpudY8jt9HmgcvDN/jw hIxEgEalvnY3Ey3Pp/tnqOijCUn8hxrF8R5sx0HoCOsw+vVLseqlFOOtqu6+wBc4w53sx7l1Em4y yg+bJXMXvzKsHvhVx0jqUuIrEbUBcBO+wD5orn3hqwlAuM2c1IrrViFj5ACpf7bddsR2qPYN71r7 bd6IzY4MkSGjeHVAa1y9AJhRI0G6RLl4RQrWLPiN1mozOzrxd6r/rBDr6mmY86OknSSEbZ8ZpN53 b9jJ9CjEX1JltU3E1gzEYLTAfl41HV6r1vmLggqakwpY+VHxK7/V+TYPiyGgM4p5OOokANVZ7Jv/ KzpBKiydna/IivhDsLs7ZeoZ4yKcshMTA/B4PXWG8QgBYJjcsgHBMXIVT0JIjopP0BQi8NNPidzt d/4wuqB6nk7r+TeQcQ9cz81jG09Dgvk1P8fKq13Nnpk9i5nRyPkaUZZg0nqlx9MFiTqKjKUBNZMl vl/iCZSmdijfntBEpwsgbTesQfMrUKcVKCbmV6MYJkR7dZpau6cpwRt6JwDcJOB7c6XCdXMU5SDD bxHwyi0lW5rv94kmAGAPmB3df7p2pa7EqvZXQsserHlB2GuWp+EnLMreWi2q0YDgisOzNdi6zH2N NEL8VYLvx8Oh91C6X/lSqbXDKRb/v8ixwmLsLnfBarPN4ajNMonQue9uYxmkMcR4XKfZnl4O6QHH 9CNt3g2+Qtpe+cpbrpaT71AlQQz9b8DfhbDD05NvyjpLvsI5cIYOJe5ZixPMdSyv6p7A6wk6/bsA NPHS99BM8v2+4Rtn3Y60dLnglihapH9vR7nHmAegdDV5rhVgh7jbPbd6O+uLXvqVZXQckHlJZjUG 3s7qL5+2yxqgnnseaNbNTyj2KBQ4MuLMQ5GDFxNpveQsrOUOnl/PKgITA4ELH7Bdy0oFJvysm5Sg T+lDYegXCMgYlKc5pzZfTk1RK9aW8KnXAv9vgUAD+RLHgje+WyodKnXAigcqY2HFfeeIqZ/UELub aO9Awji43P1g4qhiDrZCenwEj8KnZSMCeiBQLZMqxdlO18nh3JHR1mDLn9HP0DNBMdxxbcFUiLxL tLjjkSN+l8BcrwX4lQQUKThtvYlFN1S6PT7lbE/PJO1LJtwK1YTQo+eo7QH2H3zVjR0RaANnw+M2 NA7IRvPDVDIPiVa29wmPttOwBFRDcPQTOJ2FXs1aR7UN8KwUbJSSl9CL5qe9StzrbxvfF2/wB7ew dYeO4Gu+AQ2ne5AXzd49p7CTFtW42XSUIYIgA1sIIYv9TQKcWRodDwO99TVOyiWyShkQfySSp3Ph Zn7u4ewilKs+h406K6N+naWflPu/hMx8Ikk8DgB6vjwPe9WFLN6tQMLYNIiDlONZEQHmNy5SPv9A dpzJmTNRHIKFKPbyP/g0Jvvoe79UjCt4Eem8m3b/kmy6U+qEMv0fh01+1KkR+ll8vk3u1N/zeQNf i45jNRrKm8Id0Y5HOpr2yQiOiB0zJh4krEHRbSM2u9LNnLB7fDorKoAj2Lynsnxqnf2XbYf4A0cI XRnwwCIP4rnk0JIjE9AP6rWJD3qSRW+ZgLJyGOmdP2cIKPzJ7g5pHYH5AeBYSNVqk+2mSskVTyzN sbo3imf6hvT1iqeOsJquCHZlaFowxu0twIjVwBwLO9KY4xC5wcwlhgYj420G5E/jAqN8a+m6OgoU LHqbI6Mi56TCbtUu4GlMOmqFMQcCZR4cQS7RC7VZijmuu06wvzGJSOxK9S/uX7Dvqv4grmFi0ZCQ MBoPg3sml2L13K02TAJChpE0SbMQg0Vdefc51dX0ygRpR/nvr2LFQSQGB1NrSzZU/f7/eLU6i0+j B+kB6PAQiqlsoF9ScmRavvDk5TCpPMAYtvgFnTk5AIvLhjokKiVdQV0sRFxoKxroHA+E9+EsNU3X oJFppBXythGPo5wycKyyLfOKPOwDxdONgN/NM9z/7YBcJWSYMPCNDWQOCwWYHnDlogn/DbbgH8jc 50gJBWqetb/7xHow0nKpI0oznTXFxNJI1FQCf5SpumucsADZlNiOCxaSZv+vFhLUr2HjBb+uGnI1 /4cVcrN3Eb4fCeA4YjENN6R2/UzRu+A/AzvnGyUJLaGJb/QtRSS2vkhgRq6RhxO68mD3Mie55SR+ 7/Y6k4FDnq1ZuR3Zh6ga0nj/zq6jHsxF1BGXMA1R+EEcS1J44IhLZ7eROkceXAPR48qGXt+rbrQf InGhF4tqjhAqVUpAvWbsmQdGILsoCUgqvMM3Jn3mCo35Ki4INwQAgQmfw2rKAvCxHDu4i+PM/wa+ srM6mM/6kK9vYHhDnkGy9bj2qknuGjDxj6EYMYr17qd8qciVm9ITjMhJoiHaBQbUYqyN1dKo1g8j CLbWLtqUKa9YBSxPsjU174iP9fCB5tjRxBLSh1ai61MtbtrdSB/8MI4mM/kaVIPAQ7Jz4sv3TCrp 3kJ7HPAgMSr+905iZCsw3KKhD6MliZUPAL791AqTAUUuRf/4dPcoV36YW/cMxSrV0QljYhlLWMDX u+71HkHug4+iuSXwK+QSgY92fFqhz8JHcNr/bO3CX0I/rqUGu4tQuVttjqKAbfkDjPKJEdRjwi9e r8da8ZPjPjUocCglgmzak7IVQLr/WlGs4iAvyAOx1JZXXy+vYDUX+tmH2f8qPnqGtuKLOyxCNf3U SqnccB3SBkIM4wpZo7ZctRv4KRKQRymtWInjxS5znVao4Q5qDKBgq4DiZ3F1JuR5yuOw2J9hj2B8 C7x38qp5L+d7FKW0oq0J1x/DIqdpo25vDQznKIro30qwwsQAslUuVTbaDT6fVTULPyZCvYFS0fEV ijfI791FfQx+FcEuDOAF2k8SY6y9YY7560klJXXBtLNoo3l7xhWNt+m6wnob//h29tgo28oGmLPx 54f8sdDAisiJKWqPmts0ATvOuOjIIj89Zb1iktJL3fmrAfUTOnzbo1rGIcsb/NhYj+ptx6OCogI9 ziw5vNnEqqEph9QmP2i2gCOeze60LbJR/2Htgxmo53Xyz3NMWkiY/S21jNbKmrKocft/twpDkSmR gDIhOKxvKFuJ9/H53wxohhpLz7CVZkINndUDeShH4bU/kIPMNZaqvhJBaI6Ic4ZQ1y3QP0NG2rjo omTR+kn/ivIDTxQqXl72XyrhrQIZRoEeLyyE52GRKRLYzG4JlsC+TR3udPSR6TcIwjeNwKIiDh/Y E0fZbfT+TKbTkpEcaeihbAMCBPDGXQml+yeAidpJMMRa5jwXUUvYVT/+Fv63InYbIdWIN2afBz8n 7MqWhY8tab9LzzLu71C/DCb3l9E/KZcvJzg2hejgzlX/xQQgEDfcDyK9SPzDderV4HjYR/vxyuUE dgkRTcJchMryVPuySrJItiAT+yyvIXfP/xcafUtgBggdJYMNRdVhyp6a7W4CKTLOofUfXxoPcOz2 c5sv+VjMgpkIWXGo+1COyLFLig3kaPi6dW8DwzhytTQZDUgDZ3yhUFV76MFaLlaO3XTXcSvihUGf XQLjfuu4grYsFrjWnlhPpY7AnVzkA829Awx+sSL2EN0zLNkEMI0pVw4EzCszoT4D8MMzF2AeLke3 MoVBJUIHETqmcQyCcncy2BAtqEBiF4uQaIOzBvpDBqPf+olfJ3cWl61Lssarmut0to00GllHZx6e Nbkr+QpF/wRCQZ30qIf4TrpVv7OIUM/3S5bZwP0J8pHC2iIQOZzF5vSeF+7M9I2z1uVSj03HWUzJ xXNJdz2fa4L06EPPnonX+GKqic2CK3x32IxuRmkv9ICyY80YgZQA9MdeA1rHOehow8kTaCBHOiZa KW48NAkqylsfOByxn/Mi7VutijI6k/A6SvJYaVFMV94ssp7fJhewdIkIfnBE1ZJ9jy1XPy449Yo/ MtiZp25r06jwgDB5xvVoulUK7Dc9PmsKcWekWkz3TkokVKv1n/BVqf2cAysE2207XrwTb1wi1RAj 8WE5dWsT9Os5aTxibyq4tAOj9e5gZrrN/nNKVypU20hR+3nA8AVqEBkHMBfIQePolTKiOKQIXbsN qDvyDx0XOD+W06nv1FbOD/nEkftAtAnZIGdukkLkt4+xKEbucP+BPsjkVtQNuQhqicdn7O73hvQu KBjNnC1SmlKGBEA4BamhFENHd19q8QnJ641Br5m78Zl0ScVP7RIBjiHmpeqkfpDKCbz0KZ/2dxKR +6hXHUZWoyZftgbky+X2i0mdoIah/mSuudWyltRPsO7BF2BI79C+bMmz9FNEqcytQ/A14qis17zz 21dMVPAnyXY7ygj3IMpyoSk68o+Ok8qXqBwXPkDT1HprCgf0ct9W8e0VRzA45AmhDBnOKhPB7k75 li3+QxjCNAhx1d8xDnt0UerRUPkFnJn4BJTI5gL3Mx8hEXgPDtfD5XEnZOTQYqRFJHYzfoLAmjn0 YDh1Dz4wmxArZq2s4lZu7RDANOsEmB22JKGFp2gPjXZrWvQMZgKB/P/NTiAhjs2DVrSV0EzV2KJJ QGCa081iIuJ2cjPTMjvv6FmCAASB9Nr6DoAap057UaxVz4+wFvjGrbAVRar88CL3nDTQ6jvCRgaD Jsz+EtBGKpfGlE7nncf/6uE39Wyuafdf397+YGHmmCGDzQ3olzye9xeZT26kPBXQcpcYlRfLxU3I 0SxlA9CYm/cVi8usR7lz4cOPQy99uT5gQeHtqto/iOUpg4/MRujozaPMUJ3fH2uRHNS8oYP3CWqV aE8sMGL0mQAIeC25mub11r84GIcXLervAZAZbKRMuNAOlE55xx40QaQbQFR1DaX/4rcb/jC7yZld DnGUKOfYVRBy86M9hRU+CZ0PlQoyJ1aWE+Zbi+S5RO+jBx673+vEYe/VfMkddvZBwh7xd70ok637 Zh4A1IGK/t4Wn829SN1Vo8B5eUpCOJ2KvXdaQl4jsAzwulVq2B/5WeeouFdffdfG0kiySDku+bXZ 8fW7gxil6SBrzo3BDGYPJw9yoU/qr2x/CnVm8YzbV+5QSYKhIZ1lz93C0i23xx0K0GlaLFKx6jb8 siUpwU7Ewn8nIEu+/MBPuJBMLavn4kqIDkXdGGbw0YT+JuRtjKflQAmnWal2D5+4il4TmfGM6K/k HrQGWKV+uL2y9gpDpai2V4rSwFEBAhnrXgsiw3JGbx8Sd/ytJ/4G+j/0/Y5IENPd7Vh7QHJkklcT TwcB4Y5gdrUNaR/QU/FZsgdgJSr/IlheEuxdXLUQtVZqPVJm9ROhCXm382B3i4ERsBvWzR4lW4O/ jczNUkFsJCugzhjjxY/J1JIpUVoyP/h6N0vx85Ie3ZDmyf1eCzf9D59pQK7lINt2bNp+IjJSGGaM KhLIGJlvNbosta0mADalhk0Bgm5BICwzfQ9OT+nmZtzdCTa6Ua5ngjJ6O1EkBjfoPdGbDQHtQ4NT 8Sw+O7z0CTVU60iWAd/Y+HqFTHS+GdAMOm+ZPH2dO3RJlLrVdahH++p0lfyFfBYmAFYhePujT09L qRbtqxfpwqTXorcv6TY1rny9uyPOYkoiuZkgIbmrMmQGQTySoG9DfoelsFJkk4Bk947IW3Hcpaj3 l4F1myR9vFk0QPjaUTToeU/V85RdMCDjaSd8ohsHlDfnODBkDBx8tr21DYo5VnIEaUMIdS+8Fguh p2zU41h0I5+F55UpNsdLrJCRQJQkq2an9xQiBoxWADjloPUDg/ajStAzyWvNNLO4egspR29opVxw RmCS597a2gklVv384STLWMlq3qk0VikCjbRYy4zl3Sz56rE0Jd6dEcoOGGAtcZk0g5A1hEqEG3K8 6kSNfZOdETJTudZdrCoz7iJSnalyujGXOc+FGeU/yWZaPhanwTdg99SGuKssoeZlBgJO2JFUv8Q4 DsYjIwrWXYZ1sxa6MT7SdlJYr+x/yWB5KPk6fxkO+H1H3BQxGm7hMrh4FzsmTD2FQVhklAlUzFAr X6VxOGvq0hjI7h4eC8rPIQGo11urum/ijDuZo/xCtCSI7GFET5FQCYif7zxAIrVJ+GBgLOWoJ1Rj 42BQRR38yeZs0lRA47Kksu/fSENDncxb6zpZ8+96pp1jDSILx8P4HhZo8bLnnVtLuVRYcvI/sfph SMncDmfY7ZSKPM2qlzuQg/OolO0HQQbM69zU2yLQ4Hk2/Ki9NWkPxNC+uk41ym2JKVHtYVyldLMH UCFP6sr436Vl3dzNw6dkvsXjsw0sUdTRIADbKMRhk0W34HrbiJWx0nu+ei0pBOLUIULuwdiZiWqN PrvNfQ04ngZSqfV6jnDmyjxybp7WQN9JtbJsqdpa67rzJL/q4d2JdvHG61UyPrY5BvHuNtadxZZj 46CWy9karYelPwtPZITlULdFchlbx/L6Ep2x8g98yZM3jCE9APIi01SAUtxsveGGmEDHfYfo55yo ZsYFJ+UvU6C0btbPqNxMEffKVtHiNkMdTvfsf+uvgf+WK1b6RnHjRgCPDiC4JFVKgiP2qJvIvRnS hktHO+iEMvce8ItY/wxlzh+3fDDav7sx5zAIzIzBfnWMxruC8hFnC0XyduZ52XzISZeMV+8vBW0J +kGA0pi8KyQ0o++KcrTaxHYTAJILg0TYc3hyW8AxAIedT0G92Xf0qFh746rSQ5YMP6S7Ric5F4tr 0L1N3WH05TDhh8cBIYinnWeC5i1HjLXt/i+nbE7c/NxNDiC//5QH7VKwgCLbMjvExa41JJxncB/R 1gHbPRtxTCx+aPW84N4suPwIw84qWU+TlJ3WhiF9VKbmZzbArXvTdUUA+djkNxPbok3eu/mCGEf2 tmz0l08e1gr/QMTysxK6VPyMabGCkqlMKosqsKyL6KMCvlqHn6MyrqfMYnjEyOZiipPmg/RGAAde +Uk+daep7i8rpjVEZ7ZUmyG7bNrBnY5mx70E2Z9ZGF19qJAHbX5yqMP7m5sugS3xGHUSLOxtoPdc VxpWe60A4S/pqc+/h02mrdwu+OfSU4+8uMcZXXsYmgVcBDQiMOSJ+Z4TB7AigzLRMBMP3AkUPbtj IO6E2bTnE+9I1esMxcgyVSS9o6lC3zV5Avl1KWjMLibaM95XE5xh035SziIrwcfqb8bf3jYwYfeL vtlmcumLbP9t9PbqpFbqjtZ1tA/MJdhR08bIoeC0K8Iv1eQf/ao2S+TmJG9lBq+Ts687TpJL7Z/R Uz62H1E11P5z6ErcLVRAgcP4aiUsf9yhOsjopc8AAqZUlaP3bme/fRX/jDO1r1DXiqkiBWEU4uB+ xm98qQVbXa9r36/XZ81fHikU1/uNPBrSOzuprz7fAWf3xYf/4FQb+Yk04PG9EkBlmJft1lnYaTEU 3WEnpoFenJWjIggu06Qi6V/nuhegouyuUnuuRREPret74DdwtnxP5EX1PtHdIWRDiIjU056e9FyU RiM4/vxRyb4YG2NI2Z+SME/lj+mNXqcve5/pm3gVyeys443h1tE1ltCIBN5/EWzsIRS4bMKa/iVa IjXlgFl4UZ2/SI3PM0RVZbgEzhxOIB7jYkwOIcVqn6m3d9j7o7wkNre+ec0dapmyTKmp2YVjaMPT LQVEAHw16NMMrOV0qaHONy3oFJodTVMQZnl5TL+vgzGF00+fn2r2XC8VKXQ2rS+MtvHNqlcm2JGk yikOZlh2DByjEylTAkUSlr0FOGiDtjCThjZZ3Aeccug3OTSvGJ6cEMMrcjoSDJST2Ik2m1yQ5v76 OcATtGtlxFOA/rOY7qSMgPAh1L+4PT0FMYcbxGUfBrdT8pfWZmBZfVjjgXkdC11udx7f0fqqh02I CLo+XF/WdewYDqOLM5NlfGVE4G/87M13iYx43wUox8MyBsy/H6m9FOYFm2dxUcoWNd78zI/cmZNq zDCig2B7I74EOjsD9J2v2SoOIq8PrWO0CoPvVw30jqYc7blY4fR4lDZGhNNywL/+qWawRT1W4g/w Fz4hCZF5bST4RHdBrFJPDkHKYpLlCtocu7VT68gDc8gPiOYM5vFUlP4Fpcg35bsFpj7+tUyGwvlX dA7GvSp0s2LIlInaIHdv/bDYiXEug0LH09tVIYgSyXmrOyak5JhHqMxwV7ynvrrtKPnugi3zZB9z p3t+WzXkjv3qrT23Ts8guIz2QGHPke6TqgsHcTbXyPpCOnjIS85SDcbB65j15xpdMBroIfQA608n 4GPp5kt7Kn1hSDgMw878bEv3PsvblkP8dTO29W5B06VvVq9UoEkVTpKCSgiaH3fBwCP0CjFVGDbE LaEPw0d7U0OCWF6wS8NR36zJm5cieB0DFAeLF6kziKKgqBgjpsUv71wGY1+q76IFLmeWU8I++DZS xRWYpS58Qn6XzgQfuncvERId8lGC9IpkxEEpr0QBz9A7ouhTpVAuU9IbP15JOtdGBVnlguqpqS80 4RwtgWsSlolkREkxmnB00zwUQBSQ9fYNhIiZD2NkHrPkcaclX0a6lITmIt3p1DppNkkrTw1TJ/pq HJdiSGlJcSsXc7ojb15RbY0HjnsY0euWRn6PXWp6p1vlo/jrYzON2vVExVD392/Gm+nbzh9K1cem +Cv5QrxX9uFD3l4Q/gaxa52jsqPgmYAX0iuiSgvtuqdiH++VccT17JiXlHPHCPGTGuGFLxoEwmzj 7TW8ynsW1Iz7bXFMkv4WwbPoYV5DaHZIUZdh2Cs6DSRFhW1dKoYIPCpAAXvHDK+Ag0vWdbRofIN9 D/Qiof16apjifZQfPOZXBDO7wzBax9au7eNqfGtv8KAMfZMkeYKFQjG5tZjN7hNmRTqZ1FgJLwzo T4Tcj4U4+iHc1QsMPqOlfMDo+Gjz4iaX0XBQ21FgkDdE7kqwlGF1npV45qLJTnF+U794OEjSWsgv PxmYnoZEQuesRGpx+heO8xq3mWRLr7kqoZUgfRZJ+zX39qlTr559/MZ5sKzFoMkO4dTblCtngPvL oxgMECGXk6+M9QIv9iaxu9v7kElPJ2PavByudLbIIJz6jQRTNvgga8UguH35tD005fY7tjsiaQa9 RY3UYqvm+pN6GZAcQsMYNtj225AEie3n2ebeAHLf5JSvGH9P1Mx30ewAKVXi8+6xmnpHYfbLh1+w /JHRtnFoNb2abMalH1PSJMQeC/XeYZSnClhQykiANSnyI1lYvFQ6p9Kp8zbe0h3i+lPDNumj5oN4 BSiSDMnovzHtgqM3nm/akaflkVfi9xgHZDGIsOtAKDvT5XrlIjFe4BphITJxmm7NFbVIknnvdbzC Bwex5W/HAnuShhJbzTVDe3Ymiw5L5MFgJkSACn2xFi5lid5JEL6rhC7O+5g18vUnFNPl0ozJThUa WvE/Rrirk8srZwOn2jxVRrucK/ufUsR2p97F/Bv6PTrxZ94Kx3wJBOL2dmPZ0SW3PILVna3lHp54 Acgavgr1IcgktD3HewG0zBlUDaXbW7Qp9vuT2OpYqH3qK9GBbyEKByKmT2JLRy/bW47M9wA2IVRI u8gsjv6ZIRymGPQLbVXMENeJZCIQ2iA6EBBPrGYhh6PruUH2gK4jzvlBbGc6ifGqIuPTpvtFAPUx d0IOTr0DJTY/Rwm8Vg+hoWrqnCFaN20EngMUfkWIfI7W4sF/3PwWG5GkspZuvUw8E3o9qCUQS0Y8 h1Vk6+bbgUu6buxl484II0nfMxf2J/pxdswpjd7urfhPX0BLxlZ3sxB6D/41NJ46FozC55cSa2nu H+6cRndcmhIMbfQGsD5/3DJ07FD1CZGmTsc1FahN5wcFCU6mSpCW+72yAfVzazZiT5DJ3uSI6pHE jqChskV1X+X004DlgoCi0e4VqGAlCXRZ++oaG8XtHa5vthk/x9hhg8shFDb8IOAbw3ZFARN11AEv yZpSYUx0YIpPquIfMSCkO/J9ywQb0t7e9Jsn8FabtA+lZSfyzZnyrsajJQ722pHWvAw03ti5EIE4 5U6Siy4QiF2aww5JLXg0OwKLgzhSMj6RYeGWPr3dme0wmVFdeOnbH4yKqKPuaGazxItNZmaL6WsO EX4iTFTTxeTu4oP7dTMikMC5WqEDQLSVUO6skA/59wkjdKzeIKjvFj6hhULcB4MyRqmDFajh2VMO +L0Q2U8Nwb2K0TVBx6XmbAQPf+nxcDOwZ3WZyE4dN6QJ1Xjy7A1i0pA7hhvoo+ivLODTq9+Aa/zc AqZyZP02EKmC2dHIdF/JR6/dbI3D51jOTroiLGKgEuxDt1jX8LQ4fwDSbxoVjCeG/nuqQ5RP254u qUsZJ3jFIOTSCHHr+hdZ5d2fUu3OWHvGvMMRXwD113aazuEepZNlLCJrLhWrYYzCyaciQeR7Ou4E aFu/XN39qEZvYYLqWqNFY4GsYmA1VaIcPHP/oE8JsPPNbSgQOavrIWLjLxLoGYL0fG+0AllC/q5w TzqLg0akJQVftUcVlCri2iEktRYW1QT0+PTDSA9135eD72PZJs7ZWBdz/YUnAtp+mSHT05i0w90C kwSW0SBYgg9brEI4orUC9n7PRDQHcpGAQ9mz98wU9oYYMM45S7ccZXoOBjSfD8MOOsF0/n5rsiAF jy64cYpj/v48DOWC7zB+N7V17w8++9/aIvuEnnbx6WSa2QPKbZFR09P+F27qQif5VtGYJG7FNShI 2yau2il4sjpsUYUMOCP/gZVYtaaQI5G5YWLCq1QY0SZWVK+OoOH0NPIx0pwU8SIUACpLq3VUatsU Mk7T2Dn5FN4+lxR3OmjW1bHZ3ZvZKIOaE4jTiLfJgflV65lYG9B5/89kddIGTsUhgso8RLgxqh3R XRxkl7FsEJHHVfw5wwbbK+aVUezV4w6TehP2Npb6ATo9Yz/dNeu1YRTrxvzGuW9yov+5+EBiwJHO k9Z+BTMxfebFinfWOZ5nkcxQ67cEz+rqwx+GHcYeMvCHvPAepO0zmd6uqNTaXU4AoE/uNf4Em7TV Lr+ejdlltQMw+r6tN4oLo3COg3HJ6ZEmN1oSzRgDBXduaUH8VENe+85Zy/qN/liVliU8JKx88JTC J9hHRPrisLA+sID3vBAynLdVYGWFqYxPTBi9RxYoVp5SVHCk//pugsMZ6RgiEd3A/GpbNn99hO8H AnQhiCk+LenmMpDzZNeRYYgvEXvVl6pRdmObPV3T3ay6qOEITZEDhfFbQLt1+yi1jelI4kUQ9YwE mKYwpLLDJc4VkwX3/M7l/Vc+598IO27c0zpiZfkvDjqzYBxYwMMdvj11tSHTkWx7qDp3FFWhJNoB UxsMgod2uyldjkDUDg2EawkTZt0fwVVEIiZvLPCPI/niwriMT2q91y5NJ3DukArKZIU44AyrYcpk nID6e0hSva84WS9q6jTz+qLnVhXD74phQm0e8BUYPT7QW0kz/eRGKLjyKkmDm28BTmaZccNuAH7a 4HL2y6izJ5++SrpkCfLkkyE53WElrsRc+VeMFZziVAAdnW4jUslj+rJwoqlCyE1sOg2f7JzdIMHp mzgCVX5vLJ5dMMz/s8ZvqFqjryhId2t7/qQGTP9lmnMCt2Bgj7Y37/OVSlKf+HCt7+rS0jqwpKaE mBOB8Ag3vz5mt+TEim2HkIUw3F78XlVEHF6lpkuVy/GGn1J/nur+xBwTrNR1mKUR/OluHYf6SGdo yVAaN+OwWJX0sBTuBpQ/2OjVFJc5loWYEXYILBljqe120Kq21FccX5ktHjDaRZCvlpnLEL9r/XOZ le7bTmtABjQHOsi15BqXRdV7ihn6DBFD5jgaPa7/cMj2khAKS/aOKe5ndrmFNqCKk8bVwKhJpteJ epkRggKC3FhBPtumXhu7TANu2lGzaQ4bFm9QarpWsQbfUbSnYqDAy4jeMqPpk2288LdLfjtV3zzB oJM0Oh7drdFPXzrQBx9675WuVnyBT4PK0NaPgTki8B/sqJMNTrKVz8DSek4PbjzNue1DR3fL2xQc rjyyMvQymKvQY//cD2t9ksfTI/9Iuujwvbj9Rpylu+pfE6xfbFl5NQhAaLzmfU8L+kfH0BBsMahL ut/KjL820KtCxjRcMwzvR45r0HKAMMuU28mrjYyP01BQLZnsdiWjSUtihkCIM7fdOVWSPBasLIWC pQ43AXMTmE6g3xUOkWMLf7VnzmBJPAXr+2bzxUj5qCuaZuGXGC0o5kBYqz0UtWFd8gb6Uw2y65Ds fv5QUs5OgPV0tWRrJVy3hk2biXG5A/qE2zE7Hbm8bxoqJY81v46g6U6gDDmYXcT2wgIXX/9GIpnt qI6KprWnWzL1IaMbBQqsR8rNwLjaMLMPzxIajaCnCqc2NjPJSDevmQiuCxZFZ0FTvIQytau3r28x COIsr6g1eHH/B7bIrxiBl5zRlakI09xXSqA0EX+GLnkUsu0LTkvJuuOWVBP5xsqbFH7pVyo2DnUB +xCyBEsCOu1Q4xZ7m3YEYeSZL9hWGamO0Br0BXuvN/aGccdp5bRWVsdb/aVXBRUXjdG9I1POTlvS vdtpDLSbNHqKyN0874jNqFOXImc9ecUBqTQzxU0HJ/V8+MzAr9QWMI+kLdPLp/feYylVepzZNYgt RSH0w4zenJC9iYC8O32gyoqKICG1KPDqzxyM6po4ntlIDRz5wADw6ubJ7bfGxYiy3Xw7Fsr7Kdnv kTEc1u6opGMO+HPYyeb9xInPh19O56Te0buwCiTvXx7YTknFpa6V1Hq/wf3JD7slzQvjnLAJYH9j 8DsV9Q7XJZo+g7OpGevRcjIY+63s6tkyVGMpY3UZlXOI9foqKr1amuh8EHb7bRTwpa4K7cUAgOWr JFqiOHD3bkzNHRT/CTOiPH7nCV9pFpHyefcs4x7P/PRQSDjcKNDLFBuz9VnKeNKVxuZYepktL33y quSN0a0G2z04qXq/y+YZCN0R1cudMfN62itoCiIL//wxuXxwrpgnDgAcLy6JhDPgV+05mzF2dWJd GTLj1ZTcPafh4aBQY/7GON7fyJ8RU19VugC/Ip+o63TL3fngMr00FZFkyOZXSHKxrX6PKmjHG7XV ca5E9vBQXKlcoZy4Fl3fkPQ1fsGrjoifBQHCkgZooQA8lXGIC0hMxlymKykpQ8fP5YNgIyNh3Qti TYNWMIRxztVKxsE1hZMpTDiyyrbewlGUBbeL86Ygg+5jC1A0Qg/o7V0WVsaQO8sbClLcDFgNR1Me xt6UH6/C/t0EZW7vIEaGjTiT5F/MCFvL5klTEAx/ooZUjmns8BjuW2ZIQ/iSX2woO4W7Qlu2Lkff IPRvTJJDyIKiSu4tJOkiLvpqq2dbFxHcEGrsown2n3031ztOLjhb7shuZffOihOHSHL2P6aU4K3q /cgH1x/9p7Pw59M4+O6UfrchQsBvOB96/UssuH+9M3TGZftt5MYCDECGcFydhL18tA8trbws54K4 syMQ+qBAL9VftyXATth80JQfrXKw0YV13BwZNOKKe5EWI1kztofaKXlvUP1BLSR1AmIe0b2AMAKj K8CezbhOGSbnzcYhL1Bxl3IFEt3MFMIJlvjq8f6WyIxB8SvkoUenuwfZkd1JN3YtV/5jpy872AGk jB9YMfYrqQNY4KAxutxIuu8/tsb3FdcO1O/57ey8kOPOYDqF4TujJvpSKcLsyWu2HLoCVKUYwomA ZnPceOr797O6UHCcSo5d4A+R//NyC18BvYhrtNbSgubH5WK4ISByYQjJX6hkR+ZNFXhF1LLg3dN6 17JK/GQxNrPWoBFQzsxlsvdK0cIu5h8R3eAI6Wms6VjIl3TfL6xgSDWHkwmnVAZvB7Y2CWaKI2CZ onb3Qe19xuzSxYtZeWnzW2Smr9TNB4UsXD1oWd2gjH5dOd31uCczYWMDO8Dkjm6PV1bsrGaLWP+r b5ARYBw5jPcJzFakYMaLyJ1c4mA5WNBENDUf/ZlZN0Pc3kACwmMiGndpkLpD6fxETmteZpSyIcNk MKA7LtRxR53D3g5HmB/uIfFUB1XVOp9mrUaAW7IzBwDG1On7XNga2arMEQY0GVqiJ3xDZxRkly+g h1vPdDr0ZC2Nhb9v97UhBkM9RQf0lFZINWFYBVfIRkcYbchEdZtHCB5gHL749MAErak0pfT/JS97 15RD0aJIMD6NjqDpGanECkatvnVEVV4QJ/M8jMMyZKUDNKEY0tglKUjSO3xM0I3VSYdZ+V9QlZmj b/cEeqsW656nPwWyCKDyw0NtQSDsTAjGbD1xDZWt5zNtL24AlbYHALI+YlDIEOZf603JhJMxB0z7 yxEj5kfer5qniMwwErqSJDMq1fz8TMPU2RDO3ufY5r95ez5euhqNd/pZvzVO7aie18YBnktubG1V aBKiLbljG2MbU/ifKG1BYLTdaEHlgHm2QOIoEJDAKgTOjOPZgHuUtqTRaH+xeLGtm7Iuan7hvHBr n4SL6nesNdtP0G1Do2vRX3KilF742VX4M39owzPj5MesXDNngQSKd/1brFbWLrYb4V2s2bbBKczs +ZXz5N0RTFTluWcflAN6WY/knE5sa4rIh0/bnOXdZ5QDbfGhgm5iDeQZd8lwhrqM9muwy8MDpLUx 5a539DhFse7JZHYd8v54Ygv2GrIWAiqcSAtgp89MmdSo+sRTh5x2CNRNmun60cgjgbRBgTeyDRD/ ri54jlqNEBPuYpJS1mc5m8u5RZFqyx2eyfK4tg/H8+JsZRU0db0BzhB3DlerYqjBfMwuzek8N1e1 99d4VFUtyiL+l62RLpN1Z3qY4/7oGaIDRYZ/QHnj3aK2MjTj7DRrIQbCPa8MqilvY+w3FecslZ9S 4pA+dPxeUF4Yw6o5xUnU+09Th/m+zJqCbZN/EcZjzNzhQP5C3P2RZ62a4ClhGG4OXa10MuubvEUZ nDASviOPT60RTi6oGCraTeotNzazNS6UySVklIp1NTAfHjx3f1OkBGdM36nJSvLjPXOEkDbxHyq6 PdOCuhs3eDYVEa7Vz8UCU8EyPi8PipjrUf4f17nx32X8tEaxC35FAdSSwblwIHXLvU/rCQqxEej4 Qn8N/U/8gKicm0boTG+UbXuLu/C/y2oH/wiiKjEIa6h+6TJ+rpqZ6Qjn+QKf45D5YfsqDbevQW60 TP4wNedY+NOsc+z80PY9w0PI4LpYLj1YPhOP+cM4KkS2xQzHFjc4F9aNPngZ+MecUrI5Ifamg9Vd 9p6vhoRWL55DkXU/fiE+px4ceDuB7bER5qDJWoOw+02TFtTQ/8Ko3+H7lDiRuws0IGoBj7rvFsxs xg2qLUY6xWW2fD+rSQ2qeh3l67m++agV+XKfRnPKYWIAF4Sursr3ijlzeSyFkttrIeFYFvGQ6Xpx XGx25FlDiKt5gmaCMRsj4iJcixROr0SBIj5qlr3dhwp53R8evAqXzpOLrtbS5x8ennZKKIHjUlP1 WtL6RddnJg07FFkY/lRgU/KwWtfBwvacsThz9j+LpM2z+Q+gZPESBeHmuGukkJROcKE8HNyvprVm pdQrgp7I1lKvM9iFPW2V7hUKHxBzE4ldf4+76IQYxcTPuNkErSiFbMFAssNYukmcMu+PEFbUjkK9 DTYucaPTNyYQYfE1+uWG1lqXOJi2wfow6g00ygtTopQ1Ai3C7jc5i372wgc66e6jdfmx8RTMLaoQ L7OPpMcXFEbqGBNhqV8FubA6Q4KXMavfFOgJutKc2+uUIVwhF5ad4z3Dx4e5Z42WC7oV2u/btdvk kCj/ikOqKqPwThOrZtkWXsNyO7miEx9nXpjqna5iVioHkTF0MExQUUe/TKRWEWipnHOaOT6mrHe+ tCTcm+e2JzJuCkvncj65bWlcxstmhD7tNtqJNS/m6Jvsrb1wgJlHM01GDGCiWRf8HsQ+QQVYGRBq vrHBttWz6/gIIWn2fgHSZ/12Z5J9DLLyodNM8Nt+IqXIQZ6EfUUWpvnQIdXJqGk0nj57Q9pkWErP z030899dUJLsMNPNGEKztRD9mUC3R1rBfpGlnB8fSQeTaoLB3dUUPF5Nq94a/JJufls9ED4PlfCa zC7bZf4xqRYAgxVgA/9Ans4XPySfOlaZS16bY1mg9f9KW8+KMrKF4VxOpH+g9LoHMImuE/UMNVng 2VuZQiZJZGzgypXk4nEz1dme+nVPcORmqmcXO+6qJUN5n7XBT+Fe94PosiWnj3gbqTPmOhKRCf19 FVFA372sBf1x4N8ATOTseYuRmkpn98ZcGzlHeEPcF2nAqqLIzN9noKnJMj5wy/t5wzzehImkW+z0 l2obBdczKXq+3FF1s0JTajTLk71wV4HK3XR1J6MsuYSBQJh4k4BCqslA90Mz4XGmpnES7PSMuuLb /2icyWzCGPNpt3md70UbH23YCeF/m/nsCw1tueiOEHOwtxjIG1fedxs6T69JpIMHDQorn88/orli quVfRfJ4SMucUsn8S2XgfOKdWBrGyZJ05g3pEZJ88cmkPCbXOBY/j8Hr6af7RDz6tPfH7mKCVduX la8+zc57B5fsNk9TVXKt21c4Oml39pr5CLNmzl/oNIjTduJouaBBNoV1R4njaN2wnkDmwdraJdzn RkyEglOFVtX/ogb1R8GD33wwiaFfjWgSavchSiYJI4hvmjeQkez/vZW7wx8i8WJO81PDpkU75vio CjTeZg9npxDwGrLi1fIXO8+j8/tV/uQMirAVobnth4EqRDOS1TYY9VymqbcEEywk5OGHpddRb1rz 8yZQ1Z277kEoqxDo6UIVSlhF2YRinMaliV3uXEbmtBbYqWo81c24p//usEYFpHY7xjMjZ5beoKad e6WdPIlPOr5GGyxaMfF58Lz2t9T3g0r9AqCfq7WUhsMUxpk09L9kjYYqgJwzLtwaSURQt6Opbadc bYGLavIsi6YM03rUeeYAf+L2kfg2tjxWIRXllN7ZfjX4UcJgyLn/yxii1CjNUbeOR/31A96D3dKx BKGvyHlCPmteRitI61dARvq9c1WwmFFEWgtB6ZHDtRInwM+CznGjPD0jVU80gr0O01ws9eydxIuz zuVE6+pKAmDFNop20Rth4reXrmNpTKYH33G7afW8Z9ICMmIiM7GyREPoUHcvlp6hgh6VxXPvad2+ 4z2mzYtnmpLeJ5wOXlSMImIa/87m9/rfElJfSPHqQYfQIJlgfoHMTQTxBu9ABGfU2TVa75JL72jv /Nr9Rn2iL9XGK2XwjsEgEglFIHBs3bXPIUajv0j2As26K3t5FpGqHN4ul8Iuu/Let2NZ/DWvf+lt PA+zjoUu7cIf36XFnfSLUpOzGJgl+5inThrRb3tqGQLbjAComVYp/mnFBzNKTQGYH2YRdgPAhvCb H3rDDuHGWKORFMOosSKFbMAeRnr8sGAzel0iYhgcIOR/HdcFMnN+8HsFoICB18QG5m0CMtmf+2S8 cyeL21FLwpJXtkJy9vsbmwtWas4U+S+qyYr0K38U2XyOzkNC1QxZdlf9qlYdBcvq/liKI9MqXJIX 776vHLZqEdLLW04jUsb9IHfXaH7png1jAB5YPuiTbah0P5Ym9FPvclbeHXsUZ36xY+j9lqpCWn5W o2hsuGOqRnPbBxCuE/RqggnVbdnHLEE9RnBOpa/bT8z6ZOCq87cvBwQnYZiJY7YMFbKWKyLxX8S7 njOiNqBsD8E2eQi5NegRkr9ePAc6WvfdQFUJVqdfBril8cTLm6r01vX2SWf5Ss63Onh1JyFmVT2m erdhP73zJg0LRlZvd8oaVzEN0JWp1wl0Cd2jJyoom3olYkgqWa5PezL8ryo5MecBcTh6TLIs7OlN 9XiRfZHMJOSw3jeugfb2PwukV6wo2J3f9S38pK6PI/ttzosR0rcG/Pcy3wwNKpeqx9XKMRKvB1eD bQJ+6xtxXm6C6JrdoWoSFM6l/FIyT7bBEKzYi7Sn79/fODV1oiznTzbTlPu3WWwrS9a6W3eftiNJ cmtDKknYwxRyGpJouJXhN4zPNR42grDMqJ0ZHnR/aGkRkJcLuthMvjGiUOYGAN29zMmYfYSB3WhC wgo3ZLz5BYPJ3QuxI7rxsTGrAUUzu9nh/Phd80qyWs87hECLTHzQariLMo2SN6VvLDd0Gnu8xF4g fZzHjAMcjXSRkddzm52nMklUIwjp/gzW3qE7+mbO45KrzJiz2rrZBgtS/kU9ByYSKQha8YmCyEkL tXi3/ASdz9B2BDS06QNF37ujRwaqVF13PWEs6vyp2nAMfpumxenkf6IC0AA2koPvrJh47qXd4mXd 0NHce+emHGmc6+GEUGhbDdqY77xvS1XQ0QcYknHc28Q7mymcPQplz9S0e4OfiaSNLAWLzzCMNE5R tUTaX2FByOxt713djqUTiq8UVNzPtTecGFdT54vBLFeBjOQsp1Er8K0ZUw3aj0JFaz5tn2jCqznU ibQMMdEPwQtExM1/GFNd8myx81KmZmWvPOv4BUVgZ4v8kuyX8oXfvmk4vT6aK+3w+iJK2l1MhHAG 4pGZZDSHUYjsP4LDzQ5MM88lNlsWML9xHwp3ks37wze1yzAYqK6cc4P5AO7T8upeQsvLFKjNlCCy rvHeMiMIPoMTCiNmIxg6g0L1Xe8przN6w5l5FsbPqFREhhsTrootAmV3dISgbESqaf4nqs9iUm/z FicIYcQlM+HwH5JprnM9nbCLvzdvrlXHHiUkRQZyyj+vWIwalrtxzB/F9cMLl6Ilf0C6+eNfPMpk 986SaAgREKtXdT4ny0HGszBDAE6aP/yS6qp4/CBg7m86chi++mdFQoBlFq+I719fBm+MWiTLEr3T yDZOoKDWzXPM96nbsjVJCIi2MVq321gWuGw2bf+htXLMGYEprtaw4PfdlUr6MSJTxkEsybboVN1U GcXQewZv/4PAMAcWirO1EIdV6WSOx6DL1IUfwI9R4pEkV44aCcACMbfG+N2kTy9ZJ5OR3FzUiPi/ BLTJ+WQKHrvvO+O67DKQhpQwmq1WHzk1Ui0OOwhG0RCn8DzbnYXpE1/11pxWOJ2Pg3thhMKV3JA7 w6NGJogGU/QSjV7Nhzmir3IItyUtCarHj4zru15WAu4qyXoIPImLhg81qOJWOl/2Mgcklz3oPzKM HgCmVgmGqsjJpOnpIO6hCTGKy3WMDBgiy9T2b65MSa5YIdffQhQn/5P4P3/aXZ0NFH6z6ZBlqcBL 2qWc4P26km/r3qdTrOXafv81AuPVk7iv7+2Ib7LT+Ae6N4BU2wjV7/C+NtYcgo96ilITsnHP/8C7 CxrohcjdAcvWN2y1M+cqhzpA15mmTYaFLj9lYdlf+Fb5QqHqxzEgcBqQYpgeM/vGLiRslIIgUrPs NGQQmviW78yjycTSK+3IKb9J9hoj+/vXjpq/0btVbCZLVy60rlskbPfv4tB0fmBbz7Akru1iZuZO FBUxIHzc1iXVQDfeR/NZ6sN7g1PKZuC2PRX9WgY/tkP160U+ygX0vB2z/nPJisAwh4zkN5O6ZvhM dpaVyHybI/QJnDmaGC/UYgWf7WLeXgI8NBcs4OQvHKRO+QDvFaIOyVC2c3ZdhrYl5l5r2Yt2w+FZ /QJSoO8GAwRQxUG/iLnR8FkfgpI46fFNGDRyZhuedvUjkFsZgel045nmHIlPr72bU8FEDFkzBQBF QltwUzy1isD2Fvb/i4UVXFO+sy2M+UgVQ4dfrNa5ZiYES4JdZTlU23O128VFFR0Ccd1CQS5gwM4o hgsa04u26Gl6O3ErNg4oodx2Mz99AdxTvdN6Um0CJJg3waUkq/h4i1TSOslh53JWbLcKs4iQJsBw gMKwPrZAewthive6/YIOlFtdgRi97Qt+rYs4k7Z6GzFk0QdomGfC24J3fiUnFSn3ow3a1guBk0sQ q7IKOYDP/aE5PfKaR5lOKKxz2u9uRYiDpv8GistMrvq+e8pcB2U6j232L95njKRL3fMlPYZIv2Uv HGwRbrPfFSeUI7LkTXdUbDKj0dr58my1B9KWvBYwNodSkQ25lXCferv/apOnHsTpmh68hBM4379s Az8lbWXh7FLtZUk4wm06XVxAqojYq5VBgsFNnslV1Ak0vFpxEEE4NhhR4xalLesSCHouhE19ckYD BhZO5PsVKPNk5/3BxjS4tE57cUOOsqqon7l8HfvfRSrrAMfrMfiJIbREBdkxRq281OJ4uRubDh2H yzQpC/pSxfK3VcWHRCGgFnUW80vsfZ/MONG6S1sg58gwKXRTJWDV0EiogCbLGJzMTGJyCYYcnIi1 APDze5Cw1fwclZQmJcJZl0buRuYhYlbjjOE6foQKJzDuxtdF7Gwyg1R/I/vsV/mW0Ojtn2N+HZGI 5LOlWL6fJ4uslAIIlxb5CW7yrajssNpGmUMjpOUY9JQ7N4jMswS05tSU7xKh6tNMk0j1CJKtjaLf Tps7Iw43VuIiJBrw9ahV6dzE/BCq+3aGTd/l29xr76CqwZ8kjOdi+ZQRjkKy203PA01lMgk4vPhS UbXm7Usjbj1DNkq7FhS0Zmr2Jbd2nE25vHQOVG/Z9eS23EZivKHxYXJE+pMm/RuwL1Hoh+Usz5bo xXf4ZLASXjE4M1oMMeXdvd917B1VFkjeGe4zPzBbBtSl/h++EgcUjnc0nTHu1slp4qbyRirCHuJX Bz7KOIm5mM5O7aD/xtTqHLtF7wBKz8lWmP1/rlI5zaEaRkz1V4ZCHknEW8/t/UB/pIsvQxRtxgvS 4ri3jX55RhXQDRnyHCA4rS8g0qGMJVcv0HlVjU/KyJnLExlWXMbkjtlybcQ7rNJSU5PoYQ1UZlkk iKROEjF3xY9fUubZmjJ4jD0kpVT4EVYcZmJ1OOZ5FL1uWhuSJ0GvgdN6/YdkAX8LHQGf3z4BfPgY JEej/P8u0p25vmyB66X4QW/R6k3qfw5p+6jOII7qTC+/kIwkU04qYRsNsisXdYVTWyAyJJtraOZ/ AEKhd7/6x1aJXVCgNTeOXne9/rRRbn5SF2P0lSUU/59c5ynaIdZ7YCbw7I4UulfOPWBXhWH9Jgd7 PVm0Ga+c377ZrfOvshsGvAMJKre5QMIVP44tOgg39+gDnV1Ziba3WLF1oEX2mgJ7b2L404e+AP92 dq219+1pV4voIAIxX/wiJUBJLkDMRBv924IrwaXWgv1NgRy+/0DHFt7iCIRTxp2FbLjM+1BSTtgO WVqSlTdgpcNWKYUj3qAuoqHtl6VQ5NFSrP/WeqtoW9bcp40MZWPL5IAlSau0s3mG+tPt6QueQ0n4 4z529AgAKj+znyDzv59bZ5qndQjS48nai4BBPR/y74VckGvtEScfbf+JRmFgqo4L7NzYgV2vG88I nj3F6PZpurgTnz9xbnyAjeglXXFK/01e3EzR2En47ED6s37jAHkiTbwOGUYl1ITtw65kC6vmxBix AZ5ZowBRLoEclCYUuzT9xWp+noTcFgRn1wzBtigH6515x5xSVXUx4QMiW3NiBK/qSp+5LUThF18K WVXw1IOpk6oTd0W0hrGuFQ76IRzX9O07ScSIfEJmlNXQKJ8THQXejiYj8Xwkr0diSqQjIB6G5nQL udIegJ3GIKYgeoTn28/SQhdngq0GXg5osAKwXRZlhz/2zTTdcSrh3MXPmdJbesgu5R5jhfQ8P2An 2ha5bBix0wtzGwMiBM/XkeRf7GDG43vJ7rALU4bYCwztYyGZHxxX6brFiz7/zo0fY8IS8jMJ50Nk 6ZqtK26NyR+y1k8vKEtzSsyFiAgM4hvTm8/kYeam3a27J8Rf2SMkdS3WC3BFXA+L2PImJgV9MViA 5xTo8rHJMjDqalsFls1h+KZ+Itxc+2ndsVX31ks1ypv/9c4baIgrQfmi2HQU/uF3c8w3F6RFPOms Yr8FqhBRq2vupvHxOzo7IPB97LHsx6esC7awhti4YNvZ0rgxrmDjYKTkh4VYpHs31F1wNPgUV/xz Bor23BPs40VpFPXJ133JHZAIeRVti+LdUr5hpdpJBxwlWKOtPSUXK4FnsxLAGyoQacY/13ffvXtB lm7p9lJ+n+S+e29xprhCIO9CyoWaRi3HY/PFwq7rJRgGM5LWipU7azHshx+KQMCRcGA7OA47D4FX 8FfJeX4iTsn7ZyUjMD4hlLH9yfa70hpNbG9Fylm023nQlnrqNnY620UQz9uflj80BgjJeYtwEN/h m1NTgdAgj+pL6GeqJmESVvmxWKScew7qMtP7AhkxugcsKGPFPNKiAo3d9KKcsmwkteHr+3VO2sqo nSDlmLyaIAavKe4EwUE12Wl3QmGAcYXHacTZt4/c8bZi87ZtoBhTLn2v9/au4CQLD09R8TDvvhK8 4IWJEqWYk6R2ZiA6gEHJsXHjFuRdJV639RFFTd5jxq7LculHCCAwriCbAMVlg1aNmkY0TBxF6wIL Nbi+RD9betNr/vuMYKr6uPXpo0Pa8xSylt1poWAo59Yz1qdUnraVOsTxdcuBd8m6N8wD7rLPFmQ1 n+tst+ylfsR7rYHOVRO76xGkJ2GeLWaI725QyDYvT8T15BE4L+4gVN/20uJLzJZVLXhJS7DURAeX ZU9Yczs6tlVBiAdhRd56vQtNkIRYIkAWAI5okD0f3+u8QA2U5H27/ZK/hds85Ojr/h2MdCkBhiwV brQk6vZhTGdNrSWcUYw0KqdHurNfLVAKJnhO9dTcu6A0Uo6v3qAKUCPjkJk3xUk+rOwUBByF0r9e IxXN4Tx/XQmHcQVzHl9J9btu334U/HnJ+pud5aZnZ/s3XwPUQ+auNY4kREl14Oa6WBreEXcHC+hI s10h84aY6a0qUmLoIIO94XAE7Vo1OSMtK/tX+shHWCblBtgef/K/oJ5I/cYdFGEPPw1hxTs51esx h7hOqkndvbeZvhqTClvExO6nkESt7pV7yVcrA0aT1BwtFQ0L1+3nt0hP271WdVPw0wNIO1m+giH0 qPHgv1XPa7ccsYI8CTW4iRTGEe4P2IQZ+0VQJQDYeaZ+mOmTBJXFyh2AuavqTc56W+HJV0iexy2J koVMhf5iniwSBZudODPUU2U74rc4J/D77JGWhNMaH9szpSHigKP/imG7GZgvebuxvUavEoONqVSn bIwU5w4v11PYuLkjKQkv7kYkZplXIW7w6lqecqY5iarzJoGE4/ojsdXvy0SPY/43GNw2VUywf+95 rceg7E2wMmVyiyIuZdEznBSzb387Fel/UvmIxAnWvpQkHFQ+P/SluyZQ3/F2O2BegDLXKQn2/x0X O9TZhtXSQ8DRELJOYK4AElMjHI8Wn76QMsUMNlE9MFgMdrfo+NxDWToI3y7+oJH7YmtwMnQPFzrj 30i76iIdjkO8XC7jZho7GUw+bsedSQGNbh0ebfi+8kQINRuXMPr0PFlxGPCJZOlKimBWeZ7jqw7U GAI4ex3nhMQfHgaDuufSSue+7bSHwwiiJPgdPm06TvdXfr9OHRVpVN+egzCq76kd5uYvNb2ZKuqX E9lMcJ2Jm7XoY5FYEqQ1zzxCS0EkZld1XdLVuLAQD6PJmHf397p8KAPGCvA7Hj44kqAY7+5o1fSC fNw0iw4bw3V9CHJ035BlYFv3GHyvttJPxaI5+rOXT+AnoXPGhNtxaL2xf3BkO6/3IwF0SPNo1/Tq FhOnEBN2J7280JD+ws2xcBSJpZnWWWe9gJcyFeRB8SzQReLGINrx5BO2OZbGDS9br341VxWqpp09 R2/nJqn3btjxALgFW6K48bVkRMumCrAV5dTy2MngKnooDpc/u1xYTJF8SdJh6/tmlPetUa6yoPmd zlum9aXe2Wy1zFvsU2nHxTwSF8O/dqhEEpDqoTA/M2gSJnzQLsw9uGwQjBjOZ0f3hGJ8FKH+lbB2 s0D6ARVb+fD+/6BNyWCPoepqo9W8VJZ6EqRJoMWq7bZMXNE1ub8aZ9FlnypqRZk8bzG+jETu08Kl 01q6gyP4JkHQ+jS5Qe67HxAu8/nAukrleY9D3zG2W7cw6fXwxqAqFpNwMAHKxbnuoLHJkclyAAoF 2n0b42ABv/j9pLPAg/aW1mtBS+YK8oT71RU+9U6x0qsdDjLxIFhI7mpjq6IKQLfbA3seH2xMP+vW 025py7tnmdUfsq2jVoMdiGx/wRbL0lx1KkS0j110QpZH1T5gIrJBoAPwIB8ZHn/0xP0x0dPhWCrz hSAi2VnjDmN6sO1IhN709RtUzL4rVelggldC2lXvTqbmgBEN2K7Epf7BO22GpHOVLCA3HSPiPp28 6cEISJu3h9aKaS86H7GZgdpkk+TEqblM+QzAByFCkC5+2//lR3vsE5ghscVhvfwskcUVlCFFBavf PwHF5kP73kgPyhTf/7jlGFhOQc9Rpiuln0WzzpOHUi6gt8lHLZ35UeT6w/Z4D2XCj2eAWigCa1GA d/WQR7Q9wZBLi6Eoz6QN5ibMg3alzjs6XRRen/z9IStJsN3elCdr36gAJ9Z+beicLS2Ykpa9Vfv3 2QDmrdQKND4cjdD3QvQWlvW8R6hwHjXDyAfmtI2gyC/SvvvBt6AsUFEgKFzz31GwhK89Vz5lgE85 toloL7HmfmmRQcIgTyRVCPwgmljvkzJPCT5wT0191yWMZsk1hLyFZLto1n2Oep3toYxToISYBAxx GUgNQcocM0nsJfMW7kxceHQN5iO5U9BkJTjxUnMwGbGBfcfnNBISxkGJ86s4R8bTijAQB30ljVFB 88JPNjvBOofw5LCWqzPBg/MluxCVu9j6Sl92k44NkJA0/ai/OMzZXuvQRp4eXsTZu3V3vUTJY0N5 drFYRVG3FsGtDuTuRM/tR686ARiSz6KG4or0QTRqoggrkTbGd7Sxq3lhtQOUldZ7vN55XL9Ftzpm T3paU20YYy0hObCBALFuhrPRH0hz1wdJjk9GV/FEqsD6R/GiBlJ4+Q9xkcPhVsbRF4OKIAEgr1qT HIe3S75l0HziQTd1pmFvHE4uS3zZlQ9K8xT5pi0SnDRhNWtZ8d2u0pfQkkW2EwFXIzkC8+aSkd2G xeLtCp+6jgp6Hzn9Ims+6os59QM93AaDrb0mdOyae7uAOkA/toKO4l5vYwKe3fGlsyHjyjT1Dd0W s5kptaCwrLhs2gKQGk9qj/OwXl9D3y6NhqpDPPCINnAVfhzF0E5V+zYDD10lSFA8KIoslvCoWtP2 FYzoh8/8S6vjWKYv5csh8MbX/fCF9JraEmxE9oiX1Na7S+xnfBuPJxQwCJDJWBdtGH9J8GXdNCXD f+beISEqDG+aSKVsj2533HPxLdSURnsCdntMCcZTN0W0Q2HdceW4qjL29YBhS/801nNSO0l6qPMZ Cd6Y0E5ozsQIz2iYEniuveFhjAwy4OmqNS9Uf3RLhDM21y2Freg/alLhIikF0jYYUrAGtvErzqi2 c/IRGpfuWmCkOsP/Ho/x7RkIaFZd1DT5jU+sQhqRpucpvxBMRGbKlkYCx5EhvoZSVZpUXpkuyQZh Juy5B6MK5f8KsM+yQWQqzLME7ejuO0wujgUjbaiFRnVx4GRa31LEQ8nvsvNq7cBKpOUNjzJjhMMm RHHPT3paeIpiTWeBxiGI19WXVTqP+7xkSxCHPtc9np3xQEspYai3SzAg0YEkVw+8NUDdSWOisVq3 loDMYy5BS0nq9ZgykcwmF2gVwB64K2kiGJaYN6jY8rpExbzJdwQ1FmCsjhoFHqfDSwYkWHd0jpUk 7rOrwvp6NxbD0Qvl0LthJlAOnPBQtAtiRLZ+PJ1Gc5oEPP/3r7tHuQ5jjV5ZkNrBNebvH/009/Fd sqKjhgJ3ZCIMOq4U6IMpDBdxNbwWte9o7Dqcvfym4VEMJY2Qe7YhdTXnJ8DeFMgv+gFa+9wv/2zX hfQuW2jI5cY82tOQU6ImWIM3IXPv/TNQccqNs32tPBrm/p+71MiCmX7f/DiEIAn1XtFWvDluqVXb JeYsysMM5QqmAiC0zKUUS88SI4tfZcl42O2lOesWPlv7R6kahsGyEjIUNdbggswLv36lJLMLN12x jxl+Lbsslp6HZtBM8RJ0uvqoyMFtf+qahG1A8pBlL6hiTFKzeHaMmVC1PiDw5cX4E+qOKdYG6VoU WmPA3S1bfHidJ/nCuyqaJufdPste/fwTezmKmFdX7Sy1mDQTkdcQAS1s7vci6crADV6duUoIy5p6 LdXRsgUhDWNwtj9R96Z0wSsdU0TJQTioSCsVms9pmXtd+xoyvpgZchGcuvGg/fH/n6K7jH2PyygU vzd8PrL4Bu3gOVA72kkAcGLTfBnqzPBl71pIXXbgS4okD78N1rVeJ1Ux9TT35uKZuQ5Af0vikV0J /9JlTs36x3DlVttqcffIMrcUuuhU10tUbUPinfpC8NmuTttpRv4IkaPKZg/tjI2ygAIzJGjq68UR actUkP2ywWnl6Rf303cLMz9ks12B6njerPGqUCRFlnVzmGZMEiCYZ22FcxO+isJUzGQh8DQ+Hxur llvdphYtdXOKn4ScGk1T0IZMHh6hLlDH3sSZvt8sz07PYwlES56NFZ+aA4S0SITYZkwtTsBAIoP+ ptzS2fDHskB2eYlGjlGkM4zc8sacYP0VbpwZbEoHtmQe6bza4LXpBA3D8p533TqO/pSC82jdCG1p zzVzmrUv44QA/8zLya6phDrvjyQDVNYoc7vdMmD15EOvrgUGHDWNyRWuyY7oRFbc+pEX45iHCxbE WfivJc+w4q8O9LNsSd1+zRyjrWtPNBOQ9ylbPJ6PNVljWiTbAvzSzUK3MnN9wuKEPt5Kq8B5exR1 NDHcv9w0eXg3+S3te4lIaEaiXGpyBHeY+HjEJRBJ2WM72MBET347Ubb6+pdAA75ZOcPuyYipAkS6 1ODjgheNm04l8HwklC6SHX03VNXhoBpLiK4Q73kIyM87U6Psk3GX4VCAr9NGvmxupBvuBt+f9i2H 2XKec8wufI8eR06g//6B0/BvTgo093WGZc69YeRWrLSbtGKiFxyPihL+TQ0shISjWQjMpcZ60ijQ cU7C2MR6T5fNmLaoY7X0ZrjzxvqOcVqbcfUk1YUe91bTNP1HWOEhWwMbR1IAOxfVfdkIWrlHUX7b g7pzgk8E7Oao/peedbRxenS+obVSJxKTCysCZRf8CfNcaGMMrEDmq6Tb1DTEyUWwEVOo7/vP9Hwd bpkOk7EtFDHPIYIrzE7sbqc2/p6g7H06FGXKtOBhoNY+3wytGvVf6j9ntrBkZh0zUzepcFKgt6Sn CV7psdfOFP54cELwyzh0d65i2XKSMX3hkny46uza5rHY7QS2ESY+i9HU5+axqbCKURttw5j6g1kY KxKV68OvddV56iHHCD+ivYlVYvZHsivWUUXBSNkr38zOESJNWsg99CjxQtRkfNHfLa7hA6fZbje2 DAynVdIdj7GsK36de00HkouIu/wzlNbZFfIEflA+i4YH3iDnJcCttuBMiW2Lt7xhxkRmYDpguxbh qWjZusgjtC42lAgag6aVyIU8k0OhOipuW4DBGcgT8U0VRcy4MaCsi3Qla2ak3biy72DujYUIAyF+ DYKiNbrXfYrB4WVjw9RAx/vmeCzs5QlAjCPayumUg5RuTLXzx6k54vdfcqZwtHxN5+X28uomuq/S Bsh2S2hQDXQ/On6rh4Zf58l6rNxouYCbBo8DnYgp/FXK06kG0W4VJ033jcDZ3i4VDTx6OK+ncfgt qWL2GZp9NIUok4XjaDi03sA7WfUFzzMgxSuprz8z0z9GawDZst0vCqc9oZ816Ne2hW2IcgkyKoDS 8lly+fEBqAzM204UQwsCR+qtxjBFUPRWeeo+/vTAndmnHT5QYZSXbBA1BS3HxdfUWMNzAROLkyfR tvDMjL5g8e+68gbSr7W6No1SIjpynyVaYyvTUnJmrYAu6a2xrbdQDQDCc9aTPqfdWkMzwQJ327Lw Eq/BShIcoSL/BcEU77DIKqS9p+ePEVTzVc5Hv8nckr3bRYm/OsHkIWIOfi6UV767TRzb55Cjtb2Z 3n0mAPdSuJI2w3PVgs4DxpzfZJA1R8EKOmhFe+QuhNVOaCXyxbmC3iFEvSIZncIcah6GavXVy2Bv O9DzY6j/52IHlWt03aCR1/UeIMObDy9Z5wgAqAliS4bcrjBGaCQ+IvWjoSVPoEAPebrgZL+tSFOU L/tatkmzFsIGqu9/PAGor8XFVS34+/SKVPucS17v3wFQolW/RaM9s8PVcsTmfF9DbdA4CHH5l5h9 sOh7Qo0xA+f8znxpbRcbvHUlIvOw0dgNx2u0ML7ut4nuZCdi8Y7WOOIPcMKuU6zPVwq+UZw241Zp Ioa5MmKkjaN5z5afYvUEHdfc1dfUimyidAKZUwMbJCq3DbQhJMY/u0XV88uPOJdLdkQ8Yg3b5Abh YB0gSgmX/eZYorVcDhGcuoM6xWh3uyVjZe8Gr+DE2DwNFnDiqC6xOUgTdhyzRSQT7g6P7qrzxVtx mGOdxNX+hT831mbV4gblj4dfTaTIIOLYgsze1URWq+dQX7uSWiF+J6WhpPeTTMJw58OrNUJA4m+B mFRzL0pxRkywhq4S6DCT8PDqmh/LiDX4DBrS2UDDz3BA0mPTQeWqO019Yh9xFL2GJP1v3/zC8ZHO Fslu3Hm/FeJqgnNt56LjxT0Mopeqj4iQrPcY35JTyskE6REEAXVGGYVTtxFP4E+N7+Ykv1YRcXtp hxjqO1b2uDOxY/sDkJMJWiCZ2xpMOzaHPMAcf/7f4TYMpl4jol66vF4EMvaetYU1CfRHHqtnNZxr +RxNR/vyDW4QRwMnvulbazbbUkr4gJyDENu9mgFhNMf1kPg1RlWwsnidPqewZxLB3kY+Pz39v8WK X/HofxwPVsaQcb1nzdkqB7oupLx69NEibeVuxYtntUOkjv9GGfnp8uJfNpfSCckfiXRKItOXwyF3 IWwtw6wJcytK7lJsOnv6qtDcq+3V36b3r4+xQc5nvZKNFGOcfiYo6/uksKtLjMEQVWlOmP7f4taC FHMBnxZV8EFlwtu1cJce6GVdr+pAZJaPNykLI6tEzvV6r2ipJNjLOJdL+5PGbrHyaujqbIroO/jC mbzKe5OD2gOxRUjUqzDIPDOFy+i4Z6Zx5PxwzNxZAoTuxnaJ4dtUtgXxfnIKWI/Ui/dw6vvkmAIL C63hLbcfEj3bekF/tPkVAtwifZ0v/K4vRucBmjPgi6+/1w9Kbck8/TTS3KCWRK3duDYmz5tnMpLl vQyCEk74cCWumjmre8x5fJ70vCnI/xVMH+J/H6QgcLUmg9v10Y7XFUipbmec5OE9fuZRQiHCYuey S0++CpBwET6vmpS+m8vw9dMUwcB0MDqZi2B4VmkBBERAfuqQCObEN5qXi6h3Zzr0iAwEqRkbatjd whGkBZrJlLyckLjuSGkJXo5ilfUuMm74iivQKmoVmWrehiX6mpwzkl+yCiogjDaLATBfF9nw3Op+ xYs3hhPrfb4jO1NYxr5Ze5DVt/PlPj4VR8xjzL1D7+FeoihtWP6OfG98TgxOAcCyi2R/d/764L0P TfGuZDjw63UzBHFTi74L2ylMv6MGBREhNxdixT2WFxYQX0PGXO5fi2X/Qutu5maQl2HsCCzni7ia 0//c9ZRG0XDKFloxPzbETWTKR8UVlHx3BdSfpzbqFW0TIRCpk/6nFey56TfDg+bjIsUOGfzdC2ic Xtnn/gRlfod3uk+qc6uInvOrefsbslXhQVKnpfbhX90oxZr/sp80ExTMbRxxtnYBaIunQeWFBbli AULBt3kY8fnD+G/vrY1AIDE7UTzWHiHa6SdZqWj3LtRZhiuAU5v81yQxLWs3r9FxIQBFLmRu9NSg z/HOj+JyjN8gtHRK8PyTAgZVr2OJ26RCWzr+agusJcu4uWqPXSfrXGIq2zoOOHAKg52QD9hoItuF 6tL9gzAIJdnVVG9ny4AgsleQzczE74s06KzfOlPU36TKhr/U84C67AP+VTA/XT+wTkeV1zyvISMD Wz0fKdPX6lls2/mxsD5kGEcvsP9uY21VR8VZJL2y2xywVSfCm3MmxYSHiKRqnonWhADEzSAP7LBX 51GfDnYbtPMZrqCOauJiA0FTrnBCECWcZzOtQJ3taMWeRatNJgKWZMDUg7q7XhN5Ew1e7ZmWNpHf ChoZvhmHv7dlDAkLmiijj9pBZ2Q2FUXLvPBYleFUkC+ZjL4zfjLCV/6560/pKrLxG4LWQUpqHW7B s+jwa1riKjp7l+9Pq0zJDlcOUS7mMOrt49dEfWeuP1g/MRBbP470z4iTnYxyrF3Iu+zefn9j3Roa idh0E44iA7WKZFSlj2LtJ9yXSoY410sw7Zp2aao1EAZtzvH9mcuGyk+QvPl/XBQSOxNRlvFj0mex s0+tYpCSye6i46mSj7H6ySQc0yaNnrqE/ddnPinDIKoLUGEumkm0YSv0XAj0rxEnU5gj42e/7t3n 7/XcitXv+MBt1f2UaBive69jsXPE5ZqCbRyPLgYP8+5VF+o35Htm5buE9Sl9DMZk7KYuE/ob9lSR MgWTpG6qNAARMPNo+fq7n7VHUUAfzOqrBm/EiygHwItfM5KbY567Lxg+qo1JHztqkouF7Xfo/+lr qddi8y9setaGT8bKrZYlgRGCH1Bj81DDRaCDZgQkS8bZ5cCARPoiADorgbQAKDitFgcbluX5FTFR rqBDpFahleV+r/GwGT3aHB0ysXQO3g5V+FlCWl65VMbl0f7xuNQRPsqv014Q+e7JVaHo6qedjogk i5Hlj0BKThTarO8TIqDO72gsnNfZCQq7AJ4jrtBrmRtwhNtam790f6ZBcF0kDdLWwJftJFRqH6KP 4huYBRBeZ2zDNQODar/fNmtkA4bC4zNZjuR4tHsB1DY6kQ3g3/TSXKcA9lA4xmMkrkbhBDi/16wi DsYbDi55i5bqSA5x5rwtGE6bTk6JEN6m8e9tdCfz7ZKworE3Rra4RuJYavkLJBUVkB5lYbi1xxwB CkBa0y+Ne52iomitXE7C9DQs6/PSIxBfAUlantwU6a8k/39IIh3RaimSNbjoEoMcCQu1JSLGk/4g XILGN+3NJg+oupOq1I5dWKgXeKlMjnBO0zzGAnzUxMMJlavbhKMNIPi5QvW7n+3ctOaCpAIp1KYv Jrh2NE/vpfU6Ug4AjhKdREPN0E8gUdi5nBdCHpV+pyVtFj2/KUD4l7uWe74htjh03nCJ4XcQBccd XdodFcoS4P4sApAwm6lBlSHpnn3eiI4Mis3a5Y8MvEdHxSRdP617LSjbixdSkr7w8x3BQV59phfT xxS2Hsm+lPyvcnQC4yR8DuqcXqBRNGp7j2jj/SjM0M1ROGPoO+aMjAGHTmBA9alFij8znYxQUJgw OiURYtmXTk3fSuyPPZMq9PP1PVGoN46zEFELJZDkMJZ+2NF6dijaYGqIO2e7qO4akeybcIsT/+5o jmQ6G7faQ/I9WFYFgIilMhCkr8XeIGmGbvI6SbPJCDgS+/7P06hjstezuLW6kTPHO9gT2KWauX25 yrkGEMv5XbhXd18Dce+MQ43X1iYBuXudehKGPh+IKlcF07Xw59ps/Gi0lv3UfrGwnJmsUN1Zia4e yfhvy36M2Q70hvFTDSwABpTH0k3fdAb5VtmXlvaXD4d9yMruaoSWkbIEawVxSMAhNtNdGIKzp8tJ BiF0DW8Ka2sbELwXm2qq5jMuZHzeirqv3lnP1UpACt2z3LIKWcvDF1BhKhAdjxppLSwICX/eHWik KmsPJZWkoWYWcX60HZYhBxW6ArI2vddn5tXHrRgiqeYkRLd2zbPjrA40eknIMnUuSmoXWFwL8IGi 9xxPjyIkBLWoXCDVuDJmSwpJRXGlcO8U2vqp+UscR9PAFS01nmiG2AJhzK1/1VwFAlnJnl4ep7n9 NgOmHqvEtmI05+unKCn0fiGc6vZxjGtwlDk1V02zDjdGxCDwmWxd7JVRewOqvZGRgnL63Cu7Ikkl zTzrcQj7p2dlwPotg4N+xmhiZq59POVKiTucg6Xcqew8LQJXFcDUqVV3Kxn6NAFFLNK2QIQWVukA WRnXOrY7KKqz7h7ngGARps/aydSoX4NgrWjEwBOyQu0UsJ3xU1XdbxNk25wsLZV8LEYm5PaDXQG3 yZgJG/xrYyC/+LL7r4kWtFwyYpq/7ruzDYZY3FnG9dcCeT+lmA+IhsWzalwUQ8AjRp91i2R+9uGH TGiO4ox+dr6/DyQiJWCh+8HXvuAEbj4C9mI1KHW7PFmYA0/NfBn3/Du0jBICRS7q5JNfeR9gjZDP mu05JrjwoBZU/MAH6WdofKFMxJBPqwjUyRYZw3ySn5t/d325UmJ7DeXDEWNeyBYlS4TAu3UQKJwA j1ij7/3H8yvY/b/ZBn7kbt7soOFGvtYKFhEaZR1GItXibA5Wp7bs/OcQo0QBxZA4QP9EbsdxGNIh JaMRkEn9UUEtuz+ojNgU9ZLJqdRa2MRYNjrsj3acujQfkb9/H4dxRqHBITXnSJiYVIo/nZZ+vju0 cSedDwQN0rcRqvkJKAmKZ5oklkreJn9SSacYPEItJigxkU8uIdJ+MZiBVkhHHhWxrsJFN0I98nib ThxIQgt7d7a/NTlNmEWacZ3Ew8V1DLMfmYtsK69m6Ke2Nwe42kghdNsKnYDA/Aw02+BmMdHcWJvU bRCxhCFS9f9Fs2fUvNKw1v1ZqatJM4I9ajycLbvhCqg3OmyYPYrmT4tPA3yknFVT3JlUhc/M4BPi WnDnLcs2+tukEzETzapKo6yYJNfGe93t6o31M1VhnYY2myIDKFR5p3fk2EJXqauenX9kJw5ZuS9h yNDA0WB8XTKdjPR50EMdVQTzG+CKJOqHdU3MUHFvj/xaVdQhjUOBHRciF8I2OwkbruCRhfRA9axd cRBDEmy9IS1cp7b+28B91nLWS550SEOiQ47qbcX33/+IsLkYA8AxkQ70BdcXrJgACx8+XRKqJ0JV ovr1ctIX/NhM3OJXf3dCDgOCz4BRCI8/38X04p/WqH+BfHJDaIUu+5BKhnhiLf2ah32EtmQvDh9K DZ1da9EIpIPRPbYDhgCk/9C6tVAuRJbMAT3Qe7cviG5/2zTjG9eOtbb5S1byX/nvBCSf9B99Zwof g7WaZJXS0o/Y/iegGgwGPVuAvBAjqwcOYscqu8t0aX/QbnVKKSfv9iQYFsoPUMZYkGOx1zVzXASa ASR1ABhsoso7G9VvDtCa4gL9BGFYRBYV/po6PVPFJOzVQVrcVGj9a492gFsi3QmMOU6pKBg/V40V lJJome/YefOzNJKCB00ViXGeJNEBSlsyJHLOqanBLuip2GrKbnAoWfDTDecNy5mz30S49vr/Kq3K sAAHpDG7EB1hr1HyFR7IyXN6cM43Hgy9oR2eTt64bsAgRkT0GUIXrAnvb5GSzOcvUiyOT/vGLVo1 9gyV4XA8R+Ra8L4bArsul0TOiZ8yNOvM9SnFYRbDUifSic17FBIjvux13xfjll2Om3f+vPus0atY niS/sNG4zzeKyKNu5gNvdc3j+QX9uRy75A8pIYx2MQN6bwlA6WbTjkuqFVPYjEDegbb6Ym5y7K4f pVLN2p+kvAIMFh/O0BrNsVdArU/gYclgxy5lDhg57MF+lcuzei8953vH3m4Ytzccvt5V6pUaTOt8 6MxyJAjYflDGq6MNHtvyIj5AbXK25nAOaLXpK3MAkW8/YGASzriewx2yFIoRy32HmPg8RRXv5mgB wHR4v2ot3hgcmUPZo57EEuuC1bwxygxEbTtSFyLlssMFUbuJa15iRZC2WjBkZDO3FcgN62eCL8cQ 7/ovKU77I8EJCFQAGCZbAOokLWpQHmuGt7k4YyvVBuLTmA8VPHJbtM8GsZbK640ZGBIhe+KJJgDF AyRN6CcANk4vBsAIT3D908TsWVZ5zXBtY5aSHHfen3mWVkim4a0EthRYF8TB30QR4wLSm+q6sAQD ZYjxSnyTENzduzSd6+7C7FPiGV8ke9CXSmhzhmPrqt40elw2leQQlOH2Hjpi5sN4DxntaUbpzSZt oRupF2S7UCz5K8VU3CAFjoLlXRZYrh3p8810fCB7FZLbyDyMc0zJI8f/RainxaSONem2Niem6jEe gKks4plSH6sUrj0kXf+U3uaqSpQleBXNKr5UaVmH1g93yJJXTn97nzJkvVOkFPKUCs4tOMZTFNra 6+N7eYH345FaFqPsqJSQtWzsF0L1UNYx04oP0FmKTgw+Mw/WiyAWw+ayRAkNkvAOL5tlTCO4Go7O WZedmxyKWbn6g/rWt+gDNi1fn9agW4wszvnpRb+0YijFY2d4BJkvxrdX7XRKyejgmoDi3Cfu4uhN gIkEDS0NmfUxu2nCiA0b+/MIVFxMDmhW1tPzdPD99cSkeIw/ZKHw9ImWdOsMhxOeAqclpayEIRSc USJQAjWQhWOy5gjZ8LGZHWeAs92u+zKNC9L161yUpWDyb03+Zd8eGkc6gB0jlgwq2xzWrDW6LuBn OAi4RmqDet8AU/8t5Jq5YP3M0KCMGOoGR5C9vPrnk3nTZ7/eG/Uh+CE0d9Hv9s4ZYd4qP3Au7R8R 3KEsWcMp2MoVmueWDe6vxypMphYzg6IXZlLjIE6G4HG9oc0zpyE59ujVL5fqkwHKyQnmFdQUkBML HO62ktjrvpqEGlc06NMy0RAyMlUYzXb1wMNtMI7wa6bk/xJp8/nXJll4EPdQ4HZ5X17OPsKr0hW/ a5WS/J1ZPRUM9Bwc7T0LqgzpVO1rFtBjLoRBfu5U4fkYjUpczapsm3sTxKVDOv+84U97LASLv1hT szJRu7Oc0MLgjHUHk5O8HTH/sn7p4YWkBzaO4UsrTJVjKeEFdbf1vEam5XW8JEDEoRlyyPDrY9+C 9bfPs3cXcD/oh8QATQfJ2FTRzzgT5hvQj+DoaX8vEv51J4D3e0JdjHxokCP1aDXUfvsSVQwrlzW4 F98RjXyb9ZfHlSodWXbre6ZH4/mXC5OzcW6l83EEtwyZbPlxSkBtmea32nlx7P0irM5EtJjCU3kd I9If89F+Ro3oL78U2MmQX12GTG1wLGHC+3zoKVpsrDXkwULTn42xizXSMIRLGvqFT7o4LvLFuahz UvD2ZuPJGCD6ISI3yljOhbkQ2xShBBHyyYlEsDvRAxNe5I0OXBYiYe6q1lDWGvxcKNduzCb78vp3 3xIWmD4N7CGhV9QT6aIDEThwxLrGGvQiEPmkgJ7xuzMXmWElPlRyOY64l631dgfR8N1cHZ60cHk4 yMw6F8ANYUS7ZUeEiHLPoHlpyLrPZS4624aFRLgdZA/sYas+oAj7aXd8/4Mzf3k+54kXo1NakANI KlM3obQerutPem4XD36SdI3+0cGi3ZHGM4/WLUSmcbz6dqusl5O85OosCfzN2QwutT11i6Hac0p8 0G9XN402wbIrpeRqqhVuQAl/cKKprszyKLE7EleRhdyO3H8eJwtd8ICQPxUOR5JQc8dgwrc+aBuA sl4BvPyGrl/dHQqmCupNj6TfZDRaefA/7+zhamG34vDYau8zbGBOTUKmohq8zglmRg48rhmbn6kx vGcSHq/dc05gsyWrbPt2PE6jIYHXI+aOb0knDt60GdvB1bioIWwWHpngArfMQHIC3znMW1Ma+aeR tGgZ59ZCAw4xfh+R6ucJgTnTnpgE4a0eZ36/RxqWLmI3+F8BoT/ygTJ98JrysWGepGk1gaYqvO1D nh/WDwweKcCrWnyG/L4PJvWpPWI9eZYxa0QuTveM2S5VUpUD53iBFJNiMQPWsqEOLw3hSYT1eCPb 6lbULw9oZFV1mkn3DmeIb9nZCo+TxqHQSbmXXrvs9qCQmOW7u4ccdzWdbJljj7Z+EFTSNaZOxNjd 493U/bTdy3a+CcH4JMzfeud/AYQz+ff68ppU5oYstQ47tntHqZi44dD8fKSfmkddKy6vtPE1JNVZ t7W1yvs+6KRMjD20ZsiiGSE7PKU+IV6G39neI76PPXHKzPrh2a1JjXtMaHprOJ+2fhOLpXf0y/PJ iDL9QGyaG8aNuuEdtwXc6g/5vOQSTDWH8GuKD89PGYDpmwQ0w/3fEfzcvAZ85PwtDkQlwZhnqp4K ODYIP3Fs2mXmpuVn3GaN0qN/pDai/rMlCetbqBKWy1LP4NxUeBjVdQv/MAdOg+AHMK3Clqy0vgUq utztd9ylWTraxYuBHsjtw+g7Uf0cI+dfahA1aXkfxzBDDUMG8Z7G+KvaUVe9yb62aVQJ4To6hGok 2bTv/8x3oFeAFqOm0iyLOh0XoZaNNofkmFCf20SzMjkVJXDWmSRBqdP2K1ij4fWD03ZHqY4x4Mlu T6SOkLM49b9CWNa9fAdOv3tFOP+/CW5e+Ice+opRFqbB0oL/PK1asmujC80Y/0pkyCkKzpKP9yfT ea6TRArizD0prJ93R9Gj8RhH4O4Zsy53MEWhNdzKn2jOSEIgHfBh7HRfM/Ywur1qmcz1bvKzypKr Tw9Ird2qPy8Y4RoRqueZYNqStgRhdmNckGdH/ivrX8/junhKTeQ+STgcPn+1L8J90exNeDq2VhR/ Yab7fXJwOrAqrUS+GC5e+L9x3Ggz2Hq31LdM/M3VQvbunJ5bCsHQ0/qZAh9qmJO9YHN4+hHiDcDC uThs2j2k3wDb1D2JJczvhzPAzBqxdVSOkfQRRaxuXzDnoAo27iQpJoZKaIcs8vqBGO0oh1BwoxTq s0CoCPgtLvReSVlNxfVaMYIYTzrJHUk/83AfesYCxXdLGGTh9yW155svT4audOGyFxVdLh4mXUf4 AL2BoaUdXCuhnqGo71kLhIDda9nvEs6z5YHFZ4Zjfx9t111LK6tL0p7MYSPrnHvYh4lWdPbA8K8+ g8Z3Rtp9mjH4R382KlBkZIAW5r/qUFJzjrnl7wSq6nnTuacCODF/JgtmrCUXmCBWkLAbl7Ivu9BD CSMPk2BvoLx+vRZyK5u1MZT76cHVnmZ+vOTw9WSyJlTgelqu7clj7xc4t7e5rlNq5Vtj3J0cBk51 A5oXjweNud6Fodh3CAYaUHD4VsEzW7RZksplYl/DcWu/p1tAatVCY4UTiUuch3Kprb7V/jOfDdR9 4ArrdKkSc9l2xcpR1FgdEnXqMiHT86ai7zD9/vBhsuqg6vnomg/tHumfHW94X++zX7fo1GOu1tB8 GI+nQIPMj4eZHYyvSXTldCeZduq6UqQMyFNT7HyngzyIsDL/YvI16LpPWwEN8mClfokGJvawrePs uTgi+XVNYmv3H80UgmxHxgRzmKfCh7Z6m8vupRe+cHqBdLun9JJpsm1p/QMKpo1Y3qaHZZ1j10TM x6++i5jVsDtVsIj9dFEmXNaP2CwKd/ARPXMrbmD3mw1uHgj9kpPAfpI5ICMT5Rg2yDPt/oSJmW90 P64cIDjnBcf3q1Gtlpg1U4nlwp1WJRTNeORCm5Lyx/9TiNSS5zW5ZWkFxotuW8W0uMKgt0K8ZgVI B5Jxiq0n5DjDoen6cBS5SZaOg++UmO1vjp5BBTvIQ4PWhwUFAX3O0uqsciBc6jV86jDS4oejYwqy K8ovFcLpBwNMZ+MMTyI2IgYkxbhgSC1UhoOvnNYv780iNn1DyGX9jv0M9glC/hONW8c0hYK0/q3N 2cxzYw6XUKBFXWtL5mSzuvOD8Leiijvy+70pM0jjdldVR75m9a7H7BxtDe6Y6VL88w6yprQUOqd3 iBjJhdR5guH3gG9GY/XQ2jPl6uYQewumeNS985KleNHO0Z72pu4gFcfnnR8TgkMCvSBSaM8n9KFG 9Dr2uJp8QrVr6gnvGJMlUe+jKqIs/orEeMdeGg+DOfrk/tu+qQE9spjbplrvNmPCxXb9MiWLu7Iz Jmtd2Mz9K9GEXb8Fd+4w2qmroem+7v/RCDEMfEFxS8ny4VDXrejJ1oPHOP7zoOhy2BJetm1mHfad UW+mJ5bCv481yesNn0nQtcjvyWNTyYnVmpmhb3XIW5kRDB/d4lhHGk5RroCUbKXv4F8ud6ZpOv5b c3nEMZAMAZmGJqg8CMC8KoSQqAWFQOoOQvxuOn08lHuxgRTTGDh3kAYk6+iE2P76jiguz8NzQWpn uogyvUX6FUpgkiL37T7MctqrGK+WKXaqZGvY4NpEAcNXP1eDrNgY42q4c7VjOADeE1f+emAWE6n1 Im4fIX6PxApWBKpsMWcGciTzgHLsUy24qhBiZ7joSAmDIu6rsOLNFJoB3ck0MM0vNni36w6et7Nx EtOIzvzCZ/GUDHnEjNoGIuiGQFXwGPBmo/lZTueeh5ndZ3ZKAzXpbW1yXDhiGrDTEMO1vwHGcFyN IVLpSmfl7G8xJQ22oTDCvcxTjn4kv7IdeHUxvuRcQ9IcjuIQsGPUJ63RvEWUZJJ0vQJGMXSlun/j 2F7ZB1jwxnlRY/ZPBGYM3zX/3eRmll1AyU5hU0h3TonDh+RtHKSpxvAS7qKz+gtfx4B1v2gwKW7p jTLnlYMCW3bnSkFQ2BpiKYbvKAZ2RCS7zMUBbmximbctxqZGNHdfIkN+knSlJGJDlDaUj/mESfaf DB8Qm1RHC4+wiJKxEu232F+tSDQBVfrQ6Z+JiE+3Lvk10j3ZuiWMt/Bnm2yssTc/f1XgQkQG5tFt vWGZgoPxblsHAY2u+TzF6AmANa3fYICoItkeopGUta6HvcnGmwcBdyS01Cchad72SQqQgQ2O8yNp BVjUTIV2OF5AdbGl6Q8s5vHk3CdI/y1CzoLsuMP+8qWGlGd1e66Kf4iY50cgbPy521iypL1zbYSw Nnafh3rR/RdanOoU+9WDTn/CZv8hgowQtLD8WZPwjcVHzd3GAgh0dXs/nYXQCC0jIeWrHr2xYLhC FaIJ7eW32dXUjW4k7VvQUwD7hLloAdy7Y8C4sqiMW9zuVLZ/+4YuhYG3DJSvfDuwLlgNfOV4htEN XzvqOx00cjKMMJmzhGKGlOX3v+WlY69D6LCeHiIYqck+pqojPoBsBHDgKhTh4V8hAXPXms1aU9Ad ce3skgdcv7hPPWWv2/GQ3g44Vc+j9rw4xGrfO3eajr4oT6C+y1ca+YjbG+i//jeBd9lReirF8tLk QDnT02Dl1HdVi1ySsWSANcpaZi4eFC9O6AZ15pi6Fc7YO2k+Gq4FC8WzPCycLaZoYZZH0FkSN6I5 Vkq4LZiDkPOgKxwfY3WTdead8w0Qegw/8OvtCsB9TqMLqFSnfMKlk7oMhXaxQj9Hm29ZwvSg1RoI KA/rf8zFqCxmF4ffaeZdwNPwb4ihFCcsKeYd2M3/mIUFHPGZ9Y0tr712QBEZvz7OooKieDcNbRB4 v+d4PCpGeMugIDQbZENHHhi+m0zVoirJZm5VONq9jfUqWXwJCv39ImDkMEf8wou/ytJiRXPN9S4/ TdVayhqru/bSpzJ+1zPAiMT28WA1guSBaPjL8XwnyzF2Ktwd79ZbUbQrAPXyK8nJhCSE3u3vOP8/ cnUDBYMLfBCCninhpXUUKIcsW+l5QkhENdYgtQoBDSw/1QYLP7bBMCefihXoC/y/XnE8coVP+kCB NTPh8A9wtTwuEjg+MTbBYB20kg4r4O9htEe05E/hyqjzuBCq3rk0FD1SHkGf0OcpvWCqRspkCBRD QHWo/Z9icg/Mr0YsOoledlgeUqIeeacjhKpFcH+lS39oUccGOi9TiGQoR8Fc/xpy10fzcVkM99Fh BzT3nOLemzBGVozr+0U+taJ9YNX+8g5pJ4FeGrMfkGU1+fmr3L9XhZ9I6WQxzbVRR6FbArs6vUzv T3JAxRYMlrWefob5vDj0LpZfoRSjyW1dvxdK342SWVC8rb+k/2pZ/3Ulpv2K7TrrmsMTC41JhHOG NE9kU7bY9EV8XR/JXC9KuHWnBSyD874cialFth1nPQeVn+gyk+738Zj/SAOa2QGS5Sf/143LeJf8 YoenPkesldVSVIHCMIfXZRJCTzrD+YlaQeOtWUUpLMx1RFO57eQV5XAPp5u/kMG+0FPHMwuSYTss W5IyvKeHq+NQTozNWtuZ7kq5a7G+Z5zWnr3RzqCy1syHDa8y6nvysRXsBrRxDsY/71SjKis9xrPA pBJY1JWhuZgnk4gR/nwWSzCx4qBl3rGXAOdRLxR6QBp6EKi8DpRJG0yy1xKmJP+uBPt9jVzXlrkx ifv+VQ7aXeP+CN5bIjMd6yHbcFG7/iRYHDnddgO0kaO1dlap0ezkDk2q/kZV3RZzIHJeUa788U3w TYj5yAWruyMw5/1t37yJPyMd5A20Zh62j0TesQh2ruOGrk2cibu3sYDmLmu1mBAZO1b7cQQGlgC1 bBBX/0175fn6/asgPfH1XQsns4q8DnzQfPiHBzAIQATAQxgmyP/SGVSfpj7vHB1SH+j3cbmWfz1Y cc8Z2BLNkK1qPoi+PECEoZ0N1FKwOJQrk+h8p5Ku/Y02zyfHl6oR9jh+iuWXp43UQuXGCQB7E8rq oZct3VukYNIJUMH6Q/LNBXdUdf9aIOrjX+bLiudNvVl+jBfuszPZIiRc3DR+cUBoAijLvRgxZHfm ++hK/otsolu6HtW7BLzLWjNae/dmCxhuXoH1Gh/vMSIRUtqmIN9fEwTufKEka8Skn+Ixg43eGob0 h8kmZ1Rm8SIVdtzUQYTVHD99h8719l60YDkkk8HmUPeUb8kamjlNl5GPwRzhgQU1ZwtBidhtLrJU maM4ZmimX3jp5ykaYyDfbVy2WyARVot/SNISG/d03wukZJwhj3Ii+tKHOogis4tnVg68nCpSP5nT 4/uXPIo+RoyMbZR+/+gHSgrU5AuJB4bBzU5ppF6kHC8zzmJwzeAuK5kZKeP6O6a8+we3hR227+LN fTV1bc4pqzWaFVXoHpWSeDfN+XTE9aZkImDkCaBDY6DsRdR56eCkaCDRejRMHcNd1dUKsMy00l83 ogRjzORN3I8B9BiJj6p6zAObUfEiDfx24tEE+8vhELHQlSDpupGE7tmmPHibHM1qlwfP/wLZb6xC FBCf4SADt4ALcSr5K0AmcumMQ/kp2wRzG+rSyrOd8fz9tOT19AAtuNrIVLfUXNIEjFSRSiZLg7wi jdrKUZTnjtwjTT8ZAwucQv/CFOQGz9pheE6egi6wBk9ucCukf1PxlfyKz1D5DOweQCIjqxmcpgz5 O/+ij3WxLrJTMnokX+pdEjv5A9JspLfmD04xDFO7/fisrmp+oPsO9ZCdwvLN/fwp/gE9VVpZ3f3u mYtz/xaYWivP+VlMWKXaNFUGBou/sFixQZlF153hixGbLu9im9lAf424EU//0yfEVQboS8fBxy4X kZFl53hFSaIDxs4NMITjEbiBju9jJkoE5T3gZl7jcxzHug/AGnnhaLx85JznLbV0PFswZfvZbZvX lNIQtQommIqQZuFhQKppi2OSD0p1nm3iG9XwknvPLU6g5OfDzep6q80MvbR5/ftePLnJsdCKQfRY rZ4zJFWBmBAVOazYr8ozkwboSfYxoZBM5w3wTqhVIjLj/OQeEMbAVDAHeihK7sDcO05FAs0RisSD 68JA0HnRQI2KyS9PFJOf4G1sxYWr6hQRsfTkHbN6werrLrMp1tsCrUmhex+luKZsWuPMdiX+9dFO GHj2rYZX0haZzR344+lK2HuNZlAoAtjgEQ0QPIl6VbPWJiJ2dGoOw2y53cMiyo5aIwqzs6eE1C6o KGZVikYrAV8jT1sBVsy6ugqkv8EVEKpZDvHjvfmGDSGKbQ23ESj7nrDX9JO1UORodBMUgx8XIe/H MSIR86QZiJrpfX9Gqy4Lc30UCcJMCrM6bTACFJPJcnHb4WNU6cdctKVdPkhWnE98VB4SUwlU6GDK hL/wRcWkjKKE9JG8ACJi8t97bRY9nvWQSrQwBlTf2Xm2NW+p03ED6IXLzz0pCDqBX2zfA+dm3CiA 2CSxyxscUVA2oG/ZWWo4HnixKYfRJDZFZNoY41G6jolXr1J19VIkyzVU2zDLQeSObqnL31K80urB fuEjMJ3jHRObBzi9+SqFBKOsSOd7gThdAKxK9K+VjKbiTyquewiqnFQ4pDj2fVQlBK9ftta3ffs7 sZBX7i87Bz2IHgKg6lgpEn0DmwRLqKDLmsOYAyDIGPaa+jtmIvjb5pFrmIWVOhd1NJpxJMT0XawX NYzVDukdnfqAsWtK3J0HXAqmQ4o2NnIiirMQnsaRMlzWR4weOLLnBnqfViZt33EFBKklnEGGwEIp +WaeKwE4zoGwPswCGHmqqtRb2G/DCQD7zM/1jxnH5xv6HmapereS8R6sFaOty1J+8HLnVoG/4QSD q4ez+QFq9YpNS2JXzSjQkB0sxZh3VC9L3grdlkt5SfIsAHi8tMDZGQCcYUKuFjtHI31tTrrQ5Inm W1iiUEHHmi8CD/yCF/28QIeCQgBFwQ7li45ix3CiiYnElJEC1deeNEXKJMsLD0ZIbQ3sakDtWacR Q+hQbFFzTFyyl6CL93J5BWtocPD+UZHKCgFuAPgNXXLTqXIgvwautSCUTWlfzmZDar8lxLQO5XKD H3rUu+sGxDgfvJFxzI+VNqVPRjsr0a5cJlRsIYpOaEq23hwKAZ0QrP4Svs5eQos/zGMYj6TfR/5/ uUgN7IGVrhR1cFbZbZwTf8txfIWbFffKMxtrhy3CmgxS2HUQ+k4Up/4Qxr8knX3qnhxA9mQtZeIR N19m1GM2ybAOXStCjrSGrGFywZ2yye+KiIcVVo49EcIRdGeLpYYdPIlf/FWRP7FVFRMbtCPwr9Kr 2573xU2l9neeMCKG4fKl07yK0KXb5IgKkYbfX97X2v+22Br/d+xmD1i/beUR6XOYdpqtkDX28UBD 7qrkOPBEOTqKAGEyu0sT43bUN2V4UwV8B1diCckbvwZvLJo9so8Fbqc8QLYQPxD95M40VKREAl3w J/DhhJDzvHFBb7+tanKPjMSegJ2gu/PAwcDNmbkAaYh3Bi7D5uR7d1MYY88kRRzxSk7zq+9JVGxJ Xos8VXz8HerPmrEJ5XVMcfRSZoN1e5KWTwmsYeijPZgIVPhISPYTdy/IQ0DkNEALoy2VCl7tctyt ON90oYneA/venBdklNGH7RFJPehPa+bYCD7HwrjoPBJzTcZ9c4RwhXONBjW4tHD19k4KqReG2qlV cNJSwkPEz58vb5+vY28yHe3HRmQaQZWPAYnmSKU/aFuRpAlfdT4BUbsy5pHaGgYsFUuI8yxg9ZwO kDSgGIuZfn7aWRr9pGWPRdaqMMxqEyv9VYEWVEMBxQBq8nchU8uYxeJ3XlrBfB5KpKukBmxjzAqL 4Ysszrv6Jhudbi9mZVlSfy9HPbeuIee6sj0R55dLvvj9tH2JHnbQdow6GPe45VHMNu7EGL9cZq3n KrcUXrnzZLbGVGobvJSMRK/TA65XeNrMqAMy1Bnh236jRLduRotZ9nMat9UaaUfhyqGdQ7Kfu1sK xBpLWZZDH0isF3TBslj0UjzGIUNRVBaJzApOcbVxSAaq950IyNi8rTwSTsNTg8HekRgNnrpEh1RY Qe4o7b+Gkb2xd2AlHf0xxWW2h9kz3G0YQdJSX4fJvFnzirKMHGaKURcBl3ebiaGTV/6Wmb10tdBv ghPdYPK1OC0+pTKQjYXamCA/VM2ZfbgrffmitjzCZxmTsx/pz8/eO6U8DopFAsO6kaLv0/w7/1tl Bh83es4fX/bmlI9mJLHboiZaaIRNB3Sbax98dRDA+7fqNG3hKn+yHJbLpVFRN6Rb8nyvtOkSXlVQ kvDS8UQjgGypGx7pOFroB9cQ7NytnQ3E83H9cNoQ4w6VmF66flsjgt0rqdklOMPl/c9YEGY7xn76 SKvq6FAM37wFDauH46QIggcVRdGTYR4tsuD+8+2iV/8IE2CENNyNf+QMZW3mQPdaq7ja81Y137pL 62eVwZq3J1q5zWJUPjVxYZlKP4JhWg2WXlHXZj2J49DmWyFfUt8+gyiuRdK/dcHSmH1Y7Yk4kOUV GHkderJPajYUUSEdsG4TnApXn1fvZWYNNkt016klTizeihSN8fgwhA1JLZfmweHbzFIBcIht8uA4 IXWTfiL3WAxaBhRyFAazpS+OPAVd2vHT9AO1rYpc02N7o1/9WSBZ4amt21GLFAydZvAE7tmOnXk1 Kh1XIs+WXlZofAQlqbSlhKqNCvcyPQdoySMZtwju4vTZ/0wpszUDfpFBJ+q8oJAF45pZt8tKBohM Ui4KvLtY3pAnzXwx2lBpZyxUDS6v9pqX/AugAD7E+ZZTyXg1S3gR9rQV8OfX5FhJPCoGmePJ+Ef+ fyDDSCJxy2RJ/xV1fu2KIo62Q1He3Oo4gR2vEcxAXJCO9E5ZO2PVdJcBQuA12uqNylH9kOI/wyHW jQJDGL0RmhRY+1gaYP8xjhWI22bJ2+8RJd98cWALonW3SOn3IUYC2EzIIXW5KcxjYoMNHBm4j6K6 x9Rpsh3gLnmxvy/ASRrgyORWqR8lV7cw0b9EDLYN5QoE1aABRstIUu++IF3qRmjypTlBWDdG34zE AL2du0oBUlu38FHUxz5nzXnrVccglImANnb15VJupn3+hZJTagDGjotdMcshfIxVZ86fvOR44wn6 Mniy1GhutvVtk+LdqxBhfsHZs2EIvPgsqQoU1RqBF55ZPaLF0IIRStAFPBVqZ7QiDEHQF2w9ZF82 1M0VhNongdW8ByDSoEgJ4rSOkucUta5qqzdIxn9B/Gtl9nyLPm+XBDG2OVRyhphAqMlvxVZbQPsn H/jQzMwQbGcvBmSVYJ0yyDFFy7e81d80FA+x5HkrJgvu1GrbK+YYSWdXSMn0NE0HyFFVDT8r+ohJ YSfQvk82u9KqD2yxFUt0su1V37tJvC3brCYC8OURDhY9dURRfirRqnzLHhhUdmeYF+g7ey6YX3B+ A6K8zlHiLRlLg2ML34jhA8laxxY9Iy89p105AQDL7pabnmzJ/45e0Nr2xCNZEIlCPXej7AzfmVRg tsWtxMfPUjYttwjcSntstv570aLhHN1OzrcUe9fq2EMKlxohvTHyn8otcyqavee1ey5t+NXrW34l SQ69Ao+WZ5D96Qma9uPf2Dpfzah2ZWJlfAiM2sTkkba3tP4KxaoebPU18866Osh2wH0R6CVFS2E0 yzgJCYz3iUbsxkyrL9cC4saV3lmHueDfMhVUNSm8cTyxE+9fMhviwMSaSsAT5uQuWgtE5Oz7CmYF BVQysHWcpEL6oGGiMBZ3N5u1VQWcgQqBdc6BDPZuyWRExZY8rc8NoiVDvx+F/ZQ9y+Bxw9bY0+Vn y9uWr+T1iQSE/bjBzFaQSldMmvwZsUZZQFoNXjuPpQbrJyuqEtQs5vQ+f3kBihFW1eoIq7tl87IB VgAT7IqLkA0fCy+etb/ckRn6djLwPDGucVhVUTD/sGFolW5AbJHSro+WbRz8yuPBB1AEACMAy2e6 hcUekT5530TVimsi3TCPSGHlqJSyHb7LagW2SVUQBOocTTyjhqayQyEsYnJufts98SLDxFfxmf+X xZMjPvisjpMkcfrJbEbMyI1E8+LqLDPaLfAPExJlApWyEQo6rF1+ZxIlMLLdAxO+v26NDxKOPLLG GeFO6YROQ1zuZU+Qmc8wW6dhk5JDHeKoQLbdRfgUaY5Mi3OyjGzvbVFURyHEfw+IDyzCF88lFacT wJq5tYqqVq5mRN6AdFICfuh4V/kSmbrOYyK2+oWlNEcaiRxXzIc9eoi+htnCovx1GuhiB7MWunBE V8MfoUtBMdDi0uGg/uPqz7HOgNHgv0NZbdYLprSog3MaiBLW/dNGQ444OwhKlExGWOCYbPTljQaP SoFFvDRNY/0PkUZ8MmYKYm6hZ4K5lxRcXJrG4/8U5g/i0M+pRjBv95iEAKt21B0pGR7BWpq6HNpx Mzf4Onlh5CdaRl402juXYncQkdWg8lt2hGdG0Svq2RD9H0XkRltofA7vcX51e40jNqVHpHixncrC YSiWkj2QeNxx/tIxkQQu3LkTUt03Phtf4jEWzYgFwXZLkdxlAxPdVJDiidAV8GDnq+9wZgHT8pKD 8DpqVnoQ6MWMkclDDTuNXj7LJ8789u+LJFbbgfcRSIs7aS6g9QqOhQLt3+1j7wyLgG3QqChtxrXv ZuxVmhU50WSZ4qmmDdV1XRKgs71NvaOCaapTji4SIg5ixBc7yfaVfAa1iqrWzfGeWlusH8ayvzkg jxL9B78MDVwpgdHKt4c83gWzHCRkWM6Sog3US3ahC4TNg4BuZ8kJ2bOx+Ktayy1SZovssXgivy6N dTMGxyoErI/fWFAwfUbWZ+bLsz0BsEKHhrucXrozhsTTbl2vhgUEGZ30wM/llZ4PHD+D46CaQji+ oKcMhaP4sVu+5WuSwlxySd30mPIFLuGqJqPoi0RQu4mCaj4FkdBk5ehdFPI4kOWD307kW3FyCutT IrrymYkWZBjt0uvX5ZkGJYgvXK50eamQ1lZNpUCFYD7kGZWjpXxcUzX/YHYE6tLtDfzgzn8WCu6B +21V1CghcJeGMYn0T7jsdZOTztubksOG1JP2Ulme4xAdApbfVssAX2EPTjd+Zr1YdnMsZaidbwD6 Bz69cazC1is1qiGt43yFwGmj98KbSPNPk262EoiIOZvowp9MfavZZ7eB7P85esetYPSiUePNNRtC gGBvoUVUL/NJt+Gi7UNI8BHCCoTSz4JwTAcwr7FoVOxbfxMxuoeBmKyEnYFe819w2dP89tjTyxY9 YWn3jnE0MZXAPDSJfBc3WvF83iXsWx6l+i8p6HiU6Fh712Phmn3EhiuakncKDXcrxDkvexa92w0U SlvKPBcWlb3bTKlHCdcnt9JrDCr/7oPcNFKR5W7OLIzjVAH1B5N3XneGf8vMUscHt8SJIz+ZFKam RQ1BHsPlxSkCzmDk10NTVT19SXZBeEwQ6GSaKbHnX3ULy9PO23sS9RdvC8L7LNDrVWqjgs+qxYfS YlJmJgwcvWEGR3CGF2w93uYCcLx9rL4sWXvlrGpLUoegFiC3Dd9b9IJs6OoEB1qBQT/RbHROWkL8 EYBojy1DP0Fm+52d26wpPZzfzB940H872yaxuJJPmfOEkZV53y3YwEvKcmfTecaD4wUUq1ME5joG i+4tQkDVjbAiezJF7DHPNJzoN9lFgtk5Jneqbas5YuQLa64cntb+HaDBhisyxbquqj5iopHHhFPM BwdH8pkHOQvvLwPqaZb0lI9opaOKc5EN7bQ4a5t3l1ZAWMZqAYXWZTAgiUExNRz1AIoh6U/zJdEv WRDX9o9mKNSZEb1rLE35ihFz8HJ8Wu3m3B+ui6i+x1mfbrIzWd49wImulEadTd0mwB/5vq85sewV 20D/729JvWa544CbpuTnLBbmuWee1ptBAwHkRB9o9ivP78MhlSUs1dbWUfEYHQrdnFkAi4pgZE+i WtCHSIM9FkWjJnV+4BKXqp5Vh8KuGnwKt+0mSqZZxrdcYllxr3Rf4qaGDWZd9vgSUODFVDw3a/04 X/Ook4/OxtEk4cguGHagdUWtgPzvaLeXKhgoxTlMMot1RTJ2FlvorKmqxlEJFNkrDAf/wNuLUSkN 7mAlPN8bpXcT6Suw9HfxPDHLBqsmRDWTTzAgDanRMs2xTcD/HQQQq38GOAF8cVVQPUtO2yeTIZ/M ffPgkszbv9VcFF3/cPkaBUeKHVY8kRz1uIZehDGVAPnTCqIZRdv+QH+Cs9oxN3BgG6vEDPw7D7/n zFwPKTAlHoaeLMdylUuvOkEBYp5sRue9GOvXURF9JNmPIUKcQrpOAdDW+Gp1VKr3cLvZHtJwhU8o 58Cq+YEHcxdk3Rgm2GbdPi/eeNsYKFkagGdISmEeNttm7sAZYr5BH1sZ40hmuYS3sipAbjppMx9i U5a13IXnLJfKtJ59d8FwDcw78SA/tabCYsnRpz9MMQKz8XF6RDHJXGQpt++0+tA202faMTHupMXE IvxPYlSRm8dqTc0Aaoflcw4rXiQZoz8VypSzMUnaeqtqZPME1xz5Hj/R2fTvtObh07W2x5NMMKoV iYQ0FdD776850uqlZiHLYh5wy8laPgCXTq+Dl0s7quY6gN7EKts+la3iSNtAPyRuz/YyHjXhQ0/m BdwBT5JqxyWBkr9P/whbc36C19NUWR10y0DqK6TobHuSWmduri7IowuWn4T/JQQR+qAKpgASJrYh dpcRlbf98lcpU5UeOEAfgt2e3No1IqxfdeOR8egqMrR3G4rJ54xWDLq/T5TKwdr56aydFyy2E9Eu fGf10VP2kHza1hez7tJsbJIDrY0e7X2oLSxI0xDv1EKruHu/l9oPWEtm3xVlNDnZQBqduiwAZ/Uk SMkAEIZKo9AAF1QYVFbdoVDuXYJy8iOyrX8I+T5pdk/eZ2APZNbtZoUkThc6eY+y3FK9z4tMJ9V/ MEE2Aeno01P95NZuKN8cXWzdlZjolMkyqawWJgFQLNF+O8T1oPhj6ELFOhuXiOu5Hr9En8Rxm5JN 79gKUlQniT/AHVcBPaE5RR8iK3usEwAWP/q1t2owTr+8FgmNzmEv1Rn5mYLH1OwOvCPkrqgzQjHQ 1l373xHyu/xqcAX7TwYMpYzhN/YSywxP0JoRdP8j1dVf9yNg0bctHrQBgRCn2+CxsWR6WeGLDevr EJE8g4RSsAnBQRvAlX7Gy10kJxJgIjYAQv46+d6caoExel2zKp3b115MPGt7DoisIxZ0WZuSA0Hx lcq5VjE40Z7IPCQcLrYYVL6WL1xugbnpPOLH5QcmkSJIJLzBJQINSjjf7p9Cp/LeUDrdDzyWtUOa ZMOJtTvOphWGic/V+rlRfU+EswmYaRAYj+p13c+OYip+KMRf+Km6Q9qBExbb3MVeakXYAdVJ7TkH QowVd03oXjLIhsb7xrcleC/thU6pnqiAFIUJrPs1C2QhwcQJheh0Mvb0E6ZyIR4KivXu1ZwCri9S ct7psNYCM+3EDTTbm1FPqpsWZGdoY5Cr392edLqUcMjcQgJQkd1AvsPf/0F0uRlwZRKFpHmFnJDn fy2kIiG3JuZSlMX+qZq+FF43tfXuzt9RTW2y2Q4N0vr4r0dwJO8kZFQpCOOndtw4JRXczXYNwEB0 75kYx2pqJvjxO/bHf7gTArKSe5uNRp8YsHNrk6IdCQ/wUb2Dga3GfhIJRfCrD1AcR4VpFvLf2Mpm NRLEbEdi3wKY9a4rzBTjERzdaZW8oRBRRJWrPnrBLNLqGytZYPU2Z9GMhBz9IGITVzoLLCNOjSSy O5iZRyNOO1kfMV/Dz28q8PBz/f8Wlp71oGKgFjIoHDAYNMg+L//vzSgmz8xHAxkBpYG0aOq+evNQ V/vix5ijPRXAkhfH3s/+k5cgy4x566vQf9ANMLSiGhvk05qddLpIsaXPo2i1KEasubD3ny6JYSvP 9x1hcAJrSK3YaExvAxIpyvAfhKC0hdikgGY3UXHwnuJsG8oTfZulwprXkilc6r5orIZ65qN0bVIK +efSw7ncMzWDhVuiVCC60eLNQZ+m0uKcpAm8zyWRVH9lS4ND5qsOIZ2IcaJ4jdy1mZYwLwjNbErR pG1ShbQ0+dZTJJRECsbRHvYY5dmFyRPcHMvnEFjRA2DYeo8z1B9tSiOfWlBFDe5gZuyrC+WOrg8M hRTlJFbVU+h2fsoSVhK425O1MsriLJIRh+LFrBwSFhP4VInQ/2iMEA4DjtGuLJCiVE9fbXvgkoFI uiUI7TK+USu1aIABhj+nEYrtwfJZejWC0PEwQuxEM1nPSRQyGC2uLtQztnuUTSQ0KYriGfJtApMM iIMW4FOifsXiOCzd6L0ENhV39KDYqzJ8HAXRBofm5Mu2CXJvMBMGM1SkRCxuX3X9up5Nsr3rUzQQ x5NzEP70R1+JZApLW6Q6/XrKiyUEldnoO+wuW8v91c/hfvzE28EYFcySzwUBvy+qbt3ELR7rJSRz KQJgR8S6cxnSHEusTUlp1ieA1fwdu0uwPNaal4spLTZFfnrRm3zl3SPSOhwSt/YGzTbBl6DlQqYw 7W4EIp+YrZ+W4Esq2vhCTwXikSGm8YCToaET/SAUsr6QRu3RHSx2nVPqmxCmZCnHXSMfXFGqo1R/ fQKwvjz4Uzmx3BKLjM28KyyvWqWai2nfSmFd06f9cIZZWiW7YgBLM7EuemSk5V7gI2zJl7215pgx Ac560IkAXoTa7v0odgept4IoSl0XzXvFudv55g5g4ZaS8Ey8Sfocdx7jtFjmSkTPsS5qSyHVLqNf SuqkVYV+zaxuowgfQQx2X8KKLQLr8vhKPi9XhNXxm4P15s25OEEoz05UPn6L4xQHHVjuj2WZDFRC xaTFUs8b85Yq+MTj3OGWiDqO5sUs4Dj5I9XYOcvUHxQ5K/pFQT4vvW1CaoAu26QxaO7cSt34Gbnv dIn2o9x7rGsxa9HlZoyIhDAM1rvxy3xQHcGLwpnc4YyaAH/ixSm8zydm2Bg0QKFU/eGbHQykXkWD 4Ll2wj8/YMgKK8m7JeQ9YP8P2H0xpNEXHOY8l2osgkGzzotbK8C+eVczu3CKajQbWn6+df7REt0Z v5eQLlXjf1mLH6Z3xhgu1d+URSg0otGsEopOxmq1bcrwmccLhTfkIwaRnTFIgS8p93dZyphDngHY Xdcyqf9uF6Q5jHLX5DHsancx3trlIsLLL3z/D5P8TvvoMOtNIQxTmv/NmZNfxTc+zZ8+Yoo+Ar3C KpZ/LQquDHF7Nb53Uzq7L0cwZUAgI0yavLoV7unvZ6MxCw1jyaW7rgPK5VUvWbcGYngd/DA2E7RD 8dsZTqFC3lPFqsnHijRM8kRH509eCjLNZ6TOl1COwq8t2VJ/yg9Xy6C8y9riN2SDl1o0ZZTiWCcp 8mAuAtP5pkUh1X4uOmM9azOrnd7onWtwkCHhD/zj86Z84bKXpCP1iCCQoJ6QlxUuiYjCv5hozVxJ 6ZEkGpGfAmhncDhyDVympL8GYG761SCXo2iRfrKaXSETwv6YXHkSodubOsPeK9BXckZ6Mp+FlSsA UqPW4ais/1+QffKYc+h9jHy4rSj9RO4bn59vcth0wfkITpIB9UMpOUvqKwp3Vfw8qpt23hPPxNGx 5QzdAHmFwKysRzhWFEjHnw4LrAys6R/Y/aktfII5lUIeePAZYNkC647QrqS2LkNVWkF1E0Ahdyoy 3+mE8UmfoL4empH2VkGcLBU3TLbzUIT03U+3nS7ztjnvXHDahbdRgYD/ZsqYYrmyuMb4ZMQMKgXM 8ramSLMjjTinDoJh4v2lAdondJ1u/MF02a2ILq45nExLyisjITzFb13OuX4MJyqnMLjxEAuOF0CS db09nQAeiXRxfoEU+9NSuEMyaMEkA09FcD6v3D7up+2tAABYvXSFmZMzrO34aoZ45p2fusIczBLF un9zy2iMTuzXiOPTOs7/Fg1e9r9QNwDDz/xMYLgu/0qeO2TExFyxRyP0u8m8UYDh7UOfz0yVLobh C8RztS6NNchrs5daMZY7zZGJd/8XaKJiAfA27r2kW0O9n0ZwrJaso6BOp8L252p03Sp5P2jlxa/W QCaar8I/71JgyYtxdlOKwtzIBGy70hU3w+uni45X7//DuT3TOCsBL7rXkbZM5Iy1d1qfwr7GBKK+ B+WXK5MGSOd1TPbBQJ/IfY87byip4v1qrOcB8zmfzTA5lqHxvtcHkxyEHdPRp/vCAlmHw8O9u016 /KjGG1k8GmPSjERCKi9D3DSWnzwj61djW/1OG+X+W0SoVtPvWkU9PsOY/qGqqfWBJZnsWOVo8/U2 RwdetUNEq4N8hidxCK1XcWeNfunJdCxCaqHSaNaL+8ULXjj8OQxNm0T6brZLdtj5HVNbwMcPgKkd 8IuXnUxXnU2pvH062Lfzq8x35ybl+uDQLuqY6XwE4VVoNGSmYOLitnMvN0h+NH0OG6bWnmt6XEpQ 2MUmY61gDgAu3/2/2bJi6yEimu1/5WGSRpLBvuv2XJK9vBfzl1XAr/AIjzQsnyGIcYNjlsmLPQ/F 2+Ir8CcAdcGy+mOOlN8Mcw06y5raxHJxWLg6RHgD0VW2w7dXBUyHRGRWuIhByFcxPEQO/Z/7XYwc S2TzXoenntwKYt0lFCMiHUA86zkc5dzG9KIshC2Qo1Ld7+evz0Kx1tCyyFBhXNyYsb7FjdkmYBTZ u+KN4WDHnsiGAcSY92VogLM4A3H1w10KfEGpzeT+FjOQV0dziTajr7qFA+M9uWzOtkOsGeqHjk5n ZsZ3zlz2FWdNBPYoFbUnYXV5XT9zXjiU61R0f0aAHyHcb+h6m22bCqqVb6mehGRpcULKkDxyX/iv wayfIE504hTYUzHYsOp3KJ4eerErpXgSS6JO8Bc+cUps9uzwP8Bl7suddY33uqJ99/FJ/2y4/KHz 0qGpEYhnLndu1ZsDxEimug/zPVMf6H8RO7rYjVByd4k6UCikOJp7XcZTVSkYNKCUUC2joCDLDMm3 K7Kc9dEm7CvvNEEKXyddQxUzRe1xTGDrn8TeNIId+aaF/dJ31JUZ3VnNfvP2SP2pxGd30TpXqNMv sTL9/olxxh30A4dyZl0hUnKnc3hIEQw3f6AEixFzrOmeckXUr8ubkb74pcYaHDkxiELZ43/bMeq6 uO54Kj3xrJcTWZQUWlQU1kzEZ4r40osEIP1M7KLGlTiGtf4W/vXkb6ue5ZxEWOXjmLIh/diIqHCj 3doncFpA0pxj0tw4SdgzstGJayeO0MGoFydnr9s3/bXVRsifYtmHTXmlXT41rm8fHcr4sTNKgikw 0aRdRR1GtXaSnz9s6fCHGuxSh52RgwXMeg2xNcVa6UTI7wSToh2aw099OMgnNxwigW3pkfjwDmb+ tqrvy2XaW3AJCOJ4UBZU7cwwZ56UAYN23APFURzaKlyeST2GyDPs/Pmi3OfFTRHTDquetdARIHP+ 0Dtoy84zicNMAs5qEdE7EwpVaC5Jb4yB1ijhMn7Hz8/nstETW+N82Wed9AcSxvo7vfvIwwgnuz9Y hQgtbCGnPGY9VzTm1hbddBTEQUWYBIoxnJAAfr1ACLcyyQ99TGO69lqQDoYMjFs6o+SXdADJiIz/ P8X36F1a0K2YVwoDPQW4LphHsoUGQ8CAMDWdBjr8kkh7bsrvkVV2Qcn/KlmgH0+xz+pQLbAusoHd qdyhIHOwzvrRffsiNOZh41cqW6cYGOAC+mDtX6wLtXF3pQQ05M01MkoB6ByXIHhTVNmKDk0b0qUk b41IahpNvMGVqC7OUQwVEIM4YKR0ClesidgxIMR0tVNTyPHEXkHvp6NDlrYPz8YLH6b6S+XAJJ51 Q6zMQufBBEH19QdE9TdXzszG/raVpGV9COLOuu3PTq2vfN77gXSp6rcl5ZKp88IMlt4u1xyJZ2ca Rxw8uMxTOLfZruGZyxZFlBFvrfHpx3GAN1b0Nelq/xOLHTwbojaTMI78LWVyHSVkXUaw17S8uQ6Q 3tiYiCfPiLWm6XlWJzAc/xyR9rbSCVpjZ1fHeQ0oI4Pi4XI1Dx8Flq7/D+Wp2NKbFiB+dMMTu8uR Op5h1rUcmQk37XjFxMvvaolysTxRBMUpYjD1Kpu54LLKvo2k9VfQORx9JDfsbb1cKW5hSyy6iW2j inhQoQdj1n0Ypyw6azSE1qkJ2xMdyelJB5v86bGJqrWWSLgEhbRz74wFU56mbF9zzGH18q58wwda rLsC6CRP4gUAd/hKUmAbX/N8A3n3wKWaY9dgkYJ5OfcSwbS8iTL946B85eCupKK+4XwwrNIBz/q7 tfhy9t5c2Jft4LNg8Knm3KuLaik1knLUQoOix/5CV2P5fsSet7fN7CGzvUJkxZiNldt53u2J5Ie3 1QTO0WIOvr7BBMGGVyaGG6OB89dHiclBoipI7Pkn3LhkWUvfzxLMwm5BwcxCJLnKHOls5Yw1L7O0 iAyVAT8lcagvfwD8quYzZ99hayWi2++ebGrNeOKPd0um1LcZMoywOzQH3yyeXKafJ0ViAAJ4iAEk K4sjmkuC+7AArKAAVZxHdtYn9tvbNogg82LPkDrZXC72MU2m9Hw92bqwnGHPofkZPsLT88bNYTZy wjAGnPLlr1ueNxJXtiNtLFBGvX+74BX60hmnPPvjrhQqHIhBgtPvx4+X5yT2wq46AlPjw9eFEjY5 HOqdTM+YxMTJj9+ecHwzM3ntuNk+GTRrg+yeUQ9BRL8+ALHpuYsUaZzcYvn04IwIlXavAYdy4PPD xZV4dVYYT3R9DnIfW85sEnTM6SAK6xt0blSMu0nxHGI37eVepL4Lvt7W+Esl7rbUF0J5K/3NvXpt TzoYImxH4ugW9nHFt8akhdCgnWep7pwMdCTMoBaqL5X8mKlPRviHMCw9d+jwnm+UrKZu/74ie/St 5KvnmwKMsuAjBANJM/nvqC/mUl7c6BCOqcbCizwBb/jox9CXAaOEjsXFWkO9MhL53mwBMmKarReT BvGpgGcUGLVaIZMRCLPlmygcId3X8hyPcWav94ORhMngxng89U07BUbJZpAbq1DeTfpmhCpGKfjO P4FdUPU9zG7LXmJkSIdxUbVfrm5O9+Tygy3PyxIvd6DCYuN52tgSa2zPSzBhBGInqx0t+hgPpzwb iKypu+JzADYQPP/nLFiIKMfYZWIxKjitbfUv4MkSeI7EejDBluoZhKCLPG0dTGbkFPdY13DDMnR2 FV+zPTSFCIed8SAH+JWug+3tdsK2Nl/Oj8jBZs2MO/Bv7sjd8jmSvwkQpkF6jsYiSDfJuCaugirs g0aXzNTCYr72YyTsnCTRxBb8fqNOALIQXs58nUDhnFxNGAEvKTH49xHgT6LIV2Tx5AVyIzauhzDD UwVqWIoAhuI+UJ/M+yZtilzDMyM4CZja+SpxxzigcYeYJw6GfbalWmKcamr4gdWIxJjuUYpiU+nu nLEvQO63XzCkV4J3itIM9NWq/U00fL9q2X4XlV9JVuHpxpJF7eC/vO3uvnJI25SSRhFDRzLoWLb1 ozophLzERPz8GCEvi8Ac9yT7SqoGh1T5SWSr9/OThoVyx5Mf2aa6NZP/1KeDI89rKUNTaUiglKxg doP+TvHw/2IY12CHSixK+00a0uhmsi28/ClawXBjfi4WWKeb9NWj58pNPBTIOx7FyDR34pa8Ivk4 tmWRSnnGHxc4LWV4xTEmN1Tcnb7dZRrfCWBxAymkpNlPyde4ypzolCUo7YeSU8UosJEht/S9mJAX z8u09DqfuTxd3ZurFbb0chSy/JLx487aUnrDMmZ5Fc4lLYpmtHgWT1DGpD9C5omdRo9EZqd/2hPU 7p/Inp1d9eAlV3v2617hau2jq4Vf+Vf2jfr2NRF43c47jtFjcP9j+A2jExjj95a8WXVXGAM37FtD ZEQpGR2VQVybFvF2ZwMAWKdLo73C5w7n4kY9wM/fAI50IRoxNaPr7ekHJhQ7msbKFuu8Zt1RDqEZ EyMb+7FCLHCqTJ7IZEga8q5RRoHC8cy6ztioMPom+BN5U3H5e1w7hrjvEKbQrHOT9/c3RS3X4vl2 ZjkBHtN4Fx2tcuN9J83VFxle/EQyv3z7Sf7Tbh5NN3VuYPjBJVFlT6Q1kj5PCEsyjiD2vIkV1+am 8QS4zt4FHFt1whykUdgnoS0+nX3C23LxqFbmwlDMIz8PTQVCzydocQC0sUFJcDupS4csbzVKuH6s QNeAgCy2+nhnhyLsm7DR1G23m16crg4AksI+xbpeCcCNJU9MpOzeGXfiKGNVh5mIILiZK7a1xmUA gQdgo8GgUBLm9j6qdlZtiPeBH/1eHY5Lk6RxbaSnnDQuelDcbqDssMiO/Oot9EwzWGfei6S0njtC 7i6UoZKc0IZsyW8WM1R3lmWPrdabxs7eH9zc42mazwX5Nrvta+WFZiIlHJIS1wbeaFp8gbN+/JtO gEn7Q/tIZYYtATl9gK2WJu+Tc6bXc1DC00285cSksrzq4bAmmt1WtQ1ttcZNcJvZZTYcTytlnjaW WYW9xbe3fcQkrGBGJlnzyCw94RfrKO0QXHNJeeNLXYYH4ZFDjZ8GlWFwTCidnZkkng8pqu697Rju EIEsqst67XXjKn4FnhIS3DkBR+PcceF1ayfYL3gpawLF7iavsrfikmphvfFyGS1n4WElCFNY16hW ByDg/vbW7sSSFfgv3eSpB2hWLq7jZXcy/scb0+sSpWiEkWkHUAYdBQVdZqYNwwJ/4EbXhOFaVfCb /s7iXymY7a8Ksg4kiDTM6z/v348LzGnckYDJbcguPKQsTDWZWSOFZlLtF1qgNbLtpFW+tS3C0nAe ZIeZQTaFsXXJJbZpF24jjEOgHnvNkyjqZIkqe+Yr7+uIHnCDOGStIyGGKqwf7kgegHaaGlbtZw+u 6M4UG32NENbrnXS1PJQnXqMTRGzAUBHvAAw6aPtg8IZAtFJxncX4hmtH+0gBCf6yt5JEXuffrT+p UBoljH/JJJ/IaD2Ua6yEoytvLP6j72Z2mMNzOffXeF238Jo8zQdDUPbkIlLQyY0wcxoJlWh5pN21 wS8zbvXTkc+Sz3SaAwZb6k7I9WhvhxirtZDbwHWldx6pXfuqxYqbgn7l1/1WGND85Q032CfviZqd qnrGyhdg9pkwY8lNkbXcDSldRKmY4nSCAkicWfHzFeMRADqWsrmM/J7pEKiK0JG1QPazD7CA4uph MUxcLDapPCHRkhqdD61z/KTFV68thwIX3fUra0JAt0Ds7/0Q88VIGXJCiSxmZCokXzRPIeIqN1sN Y0hc7i63xgUTlf5NzOnzc3KY9kpO9BLK++HLqZzzNT+SdV8EG8CGhOWX3pigqwPWsl1Ov0GVPZz6 jUlD5N65KdrXQLuoHfmRpQuqP6txV0Z5BLY36TZ1pUgky3E3sFT2KdxUV8i3Vk5JOZ7LmZ7YZMuf qL+J8BP4Wh3/Deoagp1q4JhqKGpaUTkBpnAiKcrLMfugnYYjjzZ/H3RgIklUraNG++zgTxl8K9YE v5RbydAOOgB56OVuo7Z+sBPQQmZTs2HSEYUwk4JzfUdAbqAdx7OHqVJt0Hxm/5IeuPJBlb+6cvkc p7Yl1fOwgX9B8wo1QkNfjQPQYmGK0/oARqDUoSXRrGXQb6EBeW/jGDiJh1C2bejS23dVpmc4sX9x 8eTw3e5aVpiq400iIRNlSa5b9pLlnOkaZSB2efvWNFpyvrk+C6UcZOd75GOl/nGAR3W9QswfZhVJ S6S1OSMQ2lN0WdjtXqOvcRhUGtn/VMWyw5soPfO1pfuyC0QMRBDrSsu3vBhETkpN6FlJFxAJGQCX Yxdw65lc/HBcFdoqFavGk7doMCRFMDk5obw6qW+J7mOoTvz9fvJuNmcvN++R/KCtNdUk4BL8aDow ztomdFJXY8myDuHPEm88KPdYBNlkr3XRZAJFAPqpDi96wet+FR+n9RXqM8/8pzto984gRkbFRxZ5 bNGP5KtqWqO1sz37phFH7d/tPyPyITQ18MdmTYpSJQBJ4PDbIxdA7l9T4FY7F+BVWzjCtizhFyfH HsRPIWIolyXTTYhePjxAyV5g79DLRTb9MuEFh3fiSXOc1jU9NSXI6XFeFKgIdL5tBSiWelg3M1tO mGJUBrjLsbGgC1NsSGKOlGZbXVX6E2h1Irng3woU53JhJvflduApMiD8aBwfenfqxPIzOptUrWjY ZVFd+/z+fO1agw8y8xQk4AzwGG9NjM/cULFLJdaJHuxjAEs8XK6tp142CprbchXFCl6SzWwtOfB2 hcQTKBCSNNKu7tnrM57GR8zQef0hRxxDZYVXongD7eYKHj177gAQEdrTpPzkBdYLS367KzOWPDYA 0h2hyHUtP8kFCBQniYuHK4pItOu//L1wGG+8RQs8FSecysoXTFppn2Arr4T/YkD98+lw0ENnROpt YI3nLZw44UbfLIAIs//+IDAums2sYHnGSC31FK5a57KAQvajLB6ezX6x9RLN+kL94bRZL5ur993W paXgZSgcajQsmcbYhdjZoq0V9vcF+eGd6cTfDqxxTwvSNXpffZlOE65XgzepW6TmnYpmpIjWaLEO a2amc25TsnG1N4bBi4ZyV5fwN9gCw93Xn4h7sadEzoxSHTVW1a2PhEap7mVVveF19iPFV4ImrizW eUDWyGHeRLUYHy6bmYgNCMXtXHnnYG/Qk+lBXqHNmeMpShaYkVVXzssA0tjgOqi24vCvEk1o/jOI WNpm8Xn2G/TQuEauuAOfY2h5TP+2mE5iWz0zS5uWAW+YTOOnWO2bE02qG3mTeKIvwbd3jJnC7N+n FIM6Qj5L+7V0Pn2fpsZL6BIe5oSsBhBEhaRFfy2cl7Jf9E+pLEOYEhBljgS8QT+EBG5Sirot3+ev 9AwaVDPOJi67SJ1IXdTgm3oiOHxsA3hDDpKPXfLZuyQJ0jFD1shuhf+YO4LahsFguLZmaDgIluV9 fNeToRG7wE8SUkYrz8omlxrNJ44xIbgUHKXMaflSIKJszv0i/bH7qjokMIqF2L+5UeGZH0DZ+Cle skqufZh1gfZGsFrZjh5tBKIjK4cxqFwwiNSZG/IME3VVtQg6HAlEvkdkpVT8+nF9M65lCgJa2/os LDGXjypSgIS4ycdIkAGruPvpIEXR70vx4KJ6HILGr9vxM2RSoC5zgQnwzoU6O7aVXjP3Q/uAZeFi Xld2xQEevp7FCHMmzTb+YxXtRo3Bc59KC6/RBRLA9vtA3vc57h22uaLSEFRy6Dif7DLbsHGpqHT1 FcM9g0P6Pgm73CMlIF17Fio20mKcgpUqAb8WUDciOFRBJ4YteandLkZGJqExfcS5YBXgGILYnGGP koliGhKKy8u0VyLL5WBMWSa7GpyyFxaDshvSe7uidk69fjlroHEWResWTnbeilNAMiWi0zn9Zzfp 0YXOfCVPoCNd5F8hoRbag48aBL1jTHPCmtlLuYYLHgfVF+k8sWhpZhgNeQXPConhzOQt4NL1CKrl po/QthcOqoxxQ+LPN62Toh50kOjPNQDrrmm87c+DLH+52IJiwe5WkB28tzjH1j6DlfV0TJdp5dfr ajhq8A/xlASBnjvyK4PKOh2+FgD0rGsqa2Kg4SpJ6ZoV8+6OHsc4nWRmoz4I4Px/L6/mtLNc/k7V +H2H+pI0A64N7m8Ndn+lJ8Ho9wE8uC1N6kv0QzsCM0icgepcONg4qqEspTYFg95CFuYUacH2N9u1 Fo9Ac15OyrvgCdoxUzjkn0PL7bZVcBlkPhGJv7w0+1bMV6wlA4uc9/DqRJVh0FkerfokuLMILX4g N4GgCH3pcLw8YLTprWei+gwOB9X/W9OZK7R4u/RDHT0zleEiievnQjVdrEzJnNCfROOpG6MJA0ly 3Y7nQxu8jD8sAsDrMklndzEd7R90mLqPislWg35MLQ/mgpNhQpzOoGQftKnqt1OUPJwD7GhWXlv8 /aHX7NAGqEveRks8ep50kHA+o88B2yzFzjSjT3l4aXKu01LaC7gTFJZMuAdTVwZ3jswnfMznEI83 sT/GagpAPzn8N7d9BkCY5mU3u7zVkJ+oXNSk5ztdxOyUngmAy+sXlt6YeoOAvexMt+37tG7gv2ux BHdMJIgJtW8WbhgwDHnQlvCjIHl9bnMpr8nG5RrxkUq6TU4CmPvptYRr0Sf9XjOJaZOymeUFwUHQ G4mQdvXnPNKdCFq4AdwqRi2RNRra3Cv4EkVXpRWK7DvcUCcL0MwnKhml0vD/EllLS+jaECHRAIx3 bzpWV9KqU+AYiAzWYtSwntYog0TZEBw9KWFBSbT3wTEpoeqAQWMXE02mIK90mwKKykxncVaxP0UO dxLZeKy1U5DKA7PMpxYW8EXrmvL86MwTkye/UH1Tq29hbbC/wwoot4QKYU2onzB26mcg0HT7uby4 AbJEjeSHI9XAEYInIXy2yf0rctVT62Y0QNe5LZE6MMTeDa2Mg1pPgGtnrNp7x6FwYRTf6Vox1g4A 18yqE93yDG9lvOt8jrBs+C7fs/epGcEKb9g2O8aT20bpWGc6Mq4pZc50epX5HbgxOv4Sx3Kw9w3X hChdPCchyucwm2T+s198mxtFl+YFumIb/j+uvx7hYuzE7VLeU6zARygOfcC8XVXX8lJg5arvvLub 0ra1xh1jOaDPyzVjkBoS/RaE1Io6eH67UxH3PlNZyFDFRtD8lQlwMHZpVm6fqw5sLrPICtW9zETx UTFHH7OAX0+N6+X8Gl7OWAO0zfPOUFl9JzGyGdJ+vL+eS7YAenqFpr3aKbaTZBOPXj0u2e9MX+GY uNgZ7vd7ippk/lHSgZHLJtwtQFBYfQ1eEDMIJCm7ix9giCU/t7B3fQKJaBIgInTuNlpvfK59c+EJ 2Pu9WfElUJg4WSFc24/5mCbKxlZWAuPvB7K8wD926Uy947REmc9cxZ81qaeK5Is+rEt+OAw8vkIC jjhYIStoBRRYYl8mNyE8IrkteHYPdDA49PjpOR+VKG8GWCnLhozMYQCj8NRfLTrmNBFq9lAVdjHY LXr/t9injXwIfP0J8IAAwsKHEHDus5gyUZyX/5eOM8bTh8U15BO9mhZHJ9nnon7dQ1H5cmyi+M/m EWq+LI9lpJjveFkFJT/gRDE1mwJsFrEKz4gelNacuPmme6HNx1GZ2Foxg4i9ATgggmcIkomkWBgH lo8JJemusYh85sC0ejuuo/AUJFH2jO0vkS3/YxXCEhnKr4v8qJwd5cPWUVHo1DGUg8Ex+JOI9XQe DRFHAIOJ76MqmVcLM4jRu7d+M9uWyqwidibkz/c4Jmvf4LuGWZ78p3xYX17l4HW2G+OBibCmlqBU SU8LDeMRoyd7QMieriJSAqnRhmwEOEoc9v3wCJwH51mPlCfVKPCNrho7lPhKxy35PKRVG2ZOxSfr eeAECQv1d3ITv4UyV59v991fzkXp4niE1ck8HuBUIgRBelcVlRNMnxzAb2S3/Al3f3xyuAXdbzJd FE3fOx662PN79Gam/ZmV4NmXzLGpw+yaGo+bH59G6SkbchAqd+SUSIxN6at+e27uLHlaGFeeMVor 4WgL+1EJF/z7OMXRFlg0R3V6X8H0Ti64GlBTm7VANEkSI5In4x639pSxjERS7aPR4jKrRTraS6z0 gSI1IfPlzReLXKqkC2OfIIcqAMa6Oje2TeHnhHj3bhbP/iFub1LSgj81RVpjs7cDYJXprSd1qCPL gUw15fRYyfjPpFVFKxQtq6LwBwWYvlVyYPwLRM4Ih3aQNsBCC69KyaBbrqeVuObNLSpFGVDqlyC5 24CvXz9j9/mhWjzcOVC5dGYfAwkZNOjRYMZSiIUbAfP+DOAukWtUjo2Fa/O8W1kEXTTdi4d+ZKNV +wpnvFKWDQUWkf2ImnrzUxKKo8Rde7l5705X5THCGwfaN+MCe656b/Uv999pvMowxjrfvfP4ytNT gbZbBCGTZzhGb0WSRHcFVDwRuEDewcmqOc9oJRiT+nKaXmPEhUxwOE4jdHpALCuIMp5pXjJkSmob 1KhZPy/h8v8/PLTHihJot04QOlCVax5ZapFzSmC9F1Wr9VtPV66/gvyrfhdQ7K2+9HhgQiNVhsQw I7IdeTIMiVcxKo8qP+aKD9ak8J6aTKKPW2xJrYF/8OaPTFBPpji8z6KlYIF90/hLW3ojm4+WMZQn eDUmc0c7WIO0qQWnV0xlwPysB+eA5VRcxe72DtJNKBjrqpswlZxOfsk779ZMNrYyTFIPUHN8Y5Qc CdcQVzVwmNbj2xLPizrQAHGbDJxZpR51BMdz8S09VQMsWVin+/vqK2R8rMx5vt6gy1Lodmxy40Qv HFpuvdhD14GTSrDwuzq8sKTVRJov9P0fPVE7mWYm6q+ucGrFFCm+cPd1BgeGQ8HkWzeqMtC5Hzyn vRRzHKRVV50cWXg607EfrGngUc+1LndNsba+Ah/l7VGlxSqBvvp2fCsE2MLQn5fG4hOynhd/ROxv CZ8lTvWhYw9gZU0PD/7g1b35kRxUqQa57CaCKn16e20alw9jj2XXTCia6FqndTAfxT7p3VpVu1Kv 5LJRvetyHX5vhTfPQun5UhAjvlG+I6+gIyUFJMhG8wFwtEqgwCWyYHq68TkQvGCF2pLBc5welymD ZgB8zXawhePikXd5sK/KVGf7aH+aeWsraaXkKhfAGPjiqIn6dDEuySFaOC9eddPbxLagsGtZmKFE vntlVY6B5lf2YFyRkh952z5Lg9IK2uW5FLC2rz4zvm9YY9zTEwH+b9NqIVIkTmgeiV0uMes8NN7c PsrQN2fkKo/z9K+UTW5n1yGbTAihwCNTJn5/AycNAanzB5DmdS97LL1UNuLs/7gf+uZ6CX00Rxyh OPbKjt6MOGzIHxBeahWTXM42ssVaxOkRe5cmfFOYePcJ+FVFGUg0duqsdAQ6uGmc04+jh8Q8JCXK n0btxoWHSws/udF405e7+ESic05hRlQOxt0yUMFX3tTTgR0wPPKZk15pKcfKlYY+OLPUOFaHiP6J DWOCuf8y7noS9nxjGW6j20JnYmhM+XiRFG1Eotw5guj9bxsLfusajw/KodGVHJBPvxqIOQb0eEdE kbSXEN6zQ2jSXLTAJ/lUdRwnCnhRzv2ENVDeA6P3tX5v1w3qjs0BuDPjE9EDCiisLhnvH51cfE5O Yszz/5t8d6fE5fmVtNwrvF5pAbTlRK7YQ9wCamjwopZRWhannvlKewuVglH0TtVgNagGwc5GU7jk 4/hLFAwKx5o5voQFKhziPfttL30cHaZsfT/LwKHd7bcKi4TNJcr+59GVe+YCmwLyvww7iGr4OiP/ ndQGRh4MUMV4m4Zn9+ZMmS1s/gyrRT1RJWXmyD5xJHwZ24OC181eJkl/czUMlVpHCLabC5CdTnf3 vg4JtpzG0XTgS/OPikuvOeBVNvSAbAznLpD+dQ7QWviE0MosIWMdKIproM+bUmH4s4BdPOv6zyS7 mYOWDeuynQgM9DxlTG6kR+g/LFLQ/Z4wGZ4SdC2rYXgttY0LvtYtn2XoqfQ69M5tESrynuZMT0yI 4tPrhflOspUfZuJyhENjyRt8uIWyrDy0nJB64yTx9pYGwJuaaNfOLSh8jahwazij6mfq3gOdw4hV vU0OXEpMdTteQ2BPAB6sUp4y+tbEjRQE9E9kLTgfHRSF4QOnls8ZGGuTiQsFV8QUzR/Omg5jZLo9 tbQdlhUpHZB5plFd1Kbjjm7nyJu2R/1zSnzQDOhnfpEbcASfZxao4poGpjahBWUkrTSmOtv98sz6 MsSPffecDcpHzfi1/d8tKDsMUsy0ObRE2518XBqVLtVhROFo7B5uXIOICKFbSd9Kl11YsSUlYCjn yDCufvbrbz4fZTktG3dWmXfBBI2xYwIuRmTNMGC7IgjqvE9Ulc0ZM5aPH/63UHqqub0jrf18Dmjw q93/KISjFLTF+3nk2DXxRqR+0ASpydpQR++5QFtuAGLNfcQtSVPEA0zXv3bDgwRO3iqgBqM1SiO1 4iPzan5aD12EEzcn6ebDamvbs+vAdquqNZgCxILLWgihO3o9BmDLblkK/wSghfLWkgygU7/RiOrk 4Mw/YvDv0277KjHyf+EFk+GM3StTi0F591u+OzNvdi29ZZi/J0WpPm7z2riRlopSk5m5L9461wNE GPusw1uvmO9UOw8I+Hzt1I4GqKU/HYKSShOyuaOWZr4n8RQOyVCQ7UnutsByDDF5zEK5pUEMjbYN a97YSdMIQcw6ZcseKzbT4oJyJn1gPmNu10k7dGGmTf5WvdslgGtvwrGdBPOxAFSXdpHfKxa7Yg3z UxXUqoBl1dwS2nYPiz3ir+iNV4r4SuyhlXaTS+0S6qjy4qycROVrjFi45CR6/gkVNIV+ZWkhS3A0 6wvY1umJ+TfXN7XN9ugdawWcJG3Yv/wHyr2tI8dj2KbHCJVkHA2wpN+fjeEFKaUZ9q6CXH6w56u4 XnCo8n3QMjMzcZPQES34DkqRAj5gZr4E4PmRhQaqrG8PxeSFNZ3fUNQINlk//2wuN/KtyaJxiAZq eAN57oI3vcvUTYt8PGZvOWq1kvTi1+iWEAHDA8LdcKoTCtRavaGzucPLRLBhQxgr9J+1DcUa855T 3+g0g6y/cZdSnwsT4gEvzt9sKfW/B6qc0teiF1hMvufZtfl/GJ0U9A5zDk7Zyh3T0tt8zucEhqMT tIsh6AAoryC/yR3wzT48LuIGS7ZDUJln71zxgZxxdYHPfdsup8yalUc7o9Eh9dWKxielmsT3sqwh It4IiZqBzBWK2yEmd8FHyphvr2/DW9QHuTBdMYrTUwvpeulFOih867PhXWzflkmu7gZIo94PiYDM ANjM5bosZGTRbtTnq5rotPSjRiL4R35GvyAK55+c8lCyRpVxm4jXv6mAbDb58V9+9FArA91T3AMa eLSM4BXu+kkj2qhi876C7JKcMa9RAHqV0kaqYWxcueT8iPshHtdaYiwCtEeu4tXWMwuLwPf63CTN Ih35tdisrVhDH27G5QtUGFEnaLE3w6gDebo1XFxkPjxzfEX7vFyR00e/OXr7F100cnWOxCLhwjZb 1QTBE5w1mUW6kY0DmGWbVwXdhF8ZYyn/zuybh1mmFAXvr1RjNv1ZS8xLZT8kgxTYzB41nkwo82oU Y4x+ceXuHLdgSaKLEUCcEtPWwSMxITz58C2D4dUy/P3oxKXsmHysnQ2Fchiu9qcxpCXdM9CdA+mE pmJEV5zJU9I8bHGQ53ZDhSbabEw/CrCWi6wflNLCI4iM/Ol+14FfYbd2ufFMceTMfV+UIvG04qMn ZlI4J37pV0UzpnTEpE+VeRysSTNMivBGiRCjBzXoFlqizakToZBH5SEuGKOcGB7IzYWrQb3gsUJr qViX/gzFKyQ6xQTEbbnBxt+a4djjbLSZO54kR0eRCgaLTE+J/2Kkey3W1gwhQNom6yaZehr/+Ojx J7kyX9P/ieZNLzS3FiXzW+2tz343C5gPlNe5iWCJZK3qrvw2J1hVvibhLONX+DVmmOT8dQ5pBFYf vuBW1wNUzCref8tKobRIGuqaTM9+Wm96LMX2GWrjfILqMpLTLNA/CJDw1lUgKuiqLhPFXzXGV7v1 1Z7p8kH920UGraPXyWo+iwITnOWh7ZRs0vDWrUSrh3zqT2GOJL4IddRafl7/Z0Hh9P4JbQfA/zCK kxruxK7KMdEXVpi+QQoq0heZKxsWn3uHdj5AmWUht8JT68OxopFaFOh5BGMMv76K1vvJOELWG9cc avhc17u3hnqOpM/OX+Vvj2NV8Otykkab6xLn6mTRUVmr6jwxftgF0Tt/4VGtw9Pg63ppNACFAZLW aDCk3N4Sp30WA/gZ1HJSadazVTPZJHNgrkc1r3OyRzJB9MeqtLQwEiygEPx/sfOkA4+o2S8KjLC7 e9MtyU6u2GuetxYDZY5KcSN9RXKxB7i4lglTaYNry+mCptJcHS0a71JaU72hvZc9Q//im/n84d8S feGPCerfWyzBbeEc5calqBnkZ83LzuRFdOzurJWKpawvhIMgkpuQG+Fl+TmfHqlkvGsiZYBoNJLU q9Oaa1T0SkVsjQjLEYq0wTF01mEMEX62Vu1yLj7drTb2Y9JL5/TUuxxaKKVsMg9wPanNtxXhZN2K u7U0EtRq4hrftdJAviP0xWs+Ul3U8XeZxyu2/wkJtU2q9NRY6lynpDO5eYgB/G0wnoDf5UT5lFyN gOBJXB/CHXhDlB99+ZnNp9p1YSkQqXapfjfqmZGpfA97BZU93iIbvqf5C+e/UksFCI0hj99DTkXI 5cLuO1J6NNKSX5uLd+Y9BylpLBeUsENUZS8SujbLA6rSzqHqpmd4cs6OrRexVx43NirtdZ65yW/o 6EvUWYJBBoaSP3Ouuvsrzj7faJMk1jZSF6nkBEjJeDZGIlu28m8fImLwzvp00fKwqWyoxyMmUqI1 RD3LFZLMLzSWndsHnHrgrpdAZ/5iJ2Qvx5HNfyk+Y19IzjpF9W5ChVrf1khnfdxscc/6mxL4/X6T MTV0Pvg/eOXBZ04YGBrBWxm3nAGAEK8b76UIXr7+hc8I8CE+OYNYR9t4l37GqGle9vpdMQuAHrNQ SvflPTNqNozzcRyVrxykV+2y/9XJlHPhNP85C/dJmkk4g1MrPrR9d70gyUdvXPdx43RG+ib90YGg B7Hm24P8Lf9AMyKH3cDHn/DAGY4lsAIWEjTSx0H+gVjbsvIiP0TdQ4n4sWdf3OsmvJ8woD8REoJX T18wSiwu+GXYD4exAeGmCa5AA1Z5EHvCM7JTN+E1+xNutnkS0kASY+wQhn9w7RTG+RQ7f3epvQq8 xru3NQcFbaK1+8CYR5702ElbLyfLRIkNC+2oGUVX9Yc/AAn6x4DnPsWFvDnWUbWcd8AXLEk8S5Dt Jf/OrJfBWAFrHYoCG4NZngMuR5DPmnipOzfmhv9GsR/EIvtpPMdUMGUHfqPgEiweRI0CKn8Be8BG xOJSzG6J5xTe9vnZJ3ngPFc1PqG8Q6KLgDyghdAHsp+UsinaSxfL9jRFJOihzDo/BuSJbBYP4OUy Zbeo+jzDg9t4DFlcVcCZnqMn+2ZPml3Ay4DoA7wYyvEqDEDnaGEQGivyinh9E836vcgTw6jh8NZP SWSYePmgMBMXb71D65wWtzaMHv1OeXrXjSrx/YjvPEEekPcsUJwVKzRaT5Lhqw8bkRyipQqSqIpw RRBWRJrVj2Y15RWACkiS4aX3tfqGeXyzVUP88syjCd+xXKyBXrMTG0boLFXitgyigaEsrWUYyY2I 5BMX35g3SrfuIdq7tST/rlkm5CnP+RHJdrY7PvinT82N0DzvI39T6F5xEw6TAlkDlp8LFJ8dBh0E haWpYuRvNhERauWUlIlZxXmWuUrY/niMecTUJmTdLFKY2YGjhK6F1AbfjufXUsdbWytSmyIsowfz 1AGQJ3iiKxauDz9x+qgzcDyJWrkkknqQ3DfNC4IXnKR7MCOOitJ5ZfkFS+YfoO6OnNl9eHrbDXLG Dfg5tH6EHo29JJiMvUezpSEtRNuWMRlwtQ1dAwA+cAtSCRkGnq5t00J4aUagGe36JSRUMzJeny4C UMfluUj4xpU2ypTEbnn8EZJ4ifXhKW6dL4h/FNqlxOwZrjKsUW5qg9CDX3lR3RTlY7fnFucHOqSz 2N6cgzLutPbahTer0+WVZ98IbnMBtkInS3C+MqdIi8qvfXVk9SWutL4XrGvywzJyX/jzmXxJqOFl QZd02TWfrlfkjwx+7AeEMThFRFnlTPvUeqAffe7ifKAdXB4GF9mzihCFT/ga73qTAsvYOwbnFMWb bYT2SGN51e5G/nsKxso3hFCzhfdBe9L7lrE0eUr1/a3f9LEdULVRXYgOrBYVf/nexqnwaNGfxT/v on8lt7JeQ/vEKE7IYy6+Uy+XEOKIYICh+7+XBA1HjEmmhd7ZXloMffwbVCZtKfbfgf0PM6TmY4dw aute1w4OUaj42pHdPUIrWqs5HCa4a8525B7XyBDxt06PFQXLot7dPm8yWWPNECeHpDPZTXeSZ43P 5PoGBD/Juqp+r+NdK7LPpiO5/6slfb/2+lFtyzdvP1zN5TNn+hC8uiHSFRB+q0mbYULrbKFc/yn2 RE50yBkpCAIKM2K6gq2n89csfnlWcACa/TSbMupvXGRHCKFfbh6n5aRn9N9ZCze6U0W0QN4/y3ny ZJMp4yw2sAsHhKgvAY6EE9O9KCMFT2UYmvoPB+Yt1V33r535LSTLDnKzgxONsxAamAxOHcJVeIK+ 71Q/2MpzmUET8w9Yo0Q2huO2j0Tj25tPhHqG/RNrh8WnMQi5gyygp8Xtk+6XF6pg4xJ4hM0i9UJF LTcEXyFhkPo38iRp50Fz2MiuUPwyRKWp6QvkkI9fjX6VIIB8sbuc4Vov050QEAEOhnJq77bjMa0C 5ETMIY4wW1G+4lGPXT8UpH5Ed3iQsH+XUimT/Zr9gp/8658Q5lsWAE4/PgPQQ8IOhK9aTx/C+/S6 feKXFq9zmNIcYyyZaW5bbhGj4m06MI/sIIwWJXZC7h/rjoD6EzFbBxsBSWfagxHECPh8W7IUdVcp MjwgLRuoRK2dXTAnxM80sxM9oblZZdT2DkH/1Xh/wwfgUL8Zv9L4R5gZYhBsUGe24xcYaXNlVecu ddkjOfcv0dtl6JBg6PjZXNaxqjLGaLI5T666FtgN4l6wWinY8xF/m+8UJM5GyhGca3uZ1Q7zCyRr 1cOkA+K1xI53mLXyI24AAOh5HTWKObXsHcHd4Vw6fsOFcKP6CwwTJWl/Syj1pnswJgrfDEnmZ05M mWF8JyNBMSycE3s5Mpw//ZMgPw5leXB5Wa+GWK9TRlnryjmTxOENOGJ75UBDkxzg6xdExQdi1dVo B8pnxEBljFF7TarUJNuHnaZfRk7NZI/4sdDTmvLOKBp4lx6OaCy6rMR1YaTR9r0co/F7gF4pz6dF Ja4ZAFqE8GchnTweX1k3KhiJXaEag1G9tgGpuliyhjhyR6T8JoXwOmXDBVM9SchRwKcTf4wi8SV2 ydCtTGydd34WjNDb8GOfia/p5wmvopeKyOhDzGls4CT1G97oR/UmTznclN+HShA9iV9BAxYRFc8C bfFctoWNFx72J3so9s9IJxRkkNLSsKQwVp9WkMyNc/eauthoTXBX8VWcwhBs8vQx2GZ14YGBc2tJ AAG8OkjRdvpZScM+y+wO3rq3ySIf74ZH5Ichv1XlK+a33TgFwiLWe2G6PzpOILkTfVFjW024Bwal s4myjZLQBYbPvopZk5WRxKIOo6yV5ExfT6Oz1PMVjQGuIYEcKiQlyu/z+hdn1Cs+KVUe4IvjTJYe syRG8hq5eF9YGAr7ACKGo0t1kg/bFKY1OLZMh7HIfQcAgM2/fWY6hhjMJq2fLDfXBxfDf4z248FR vMYf3X8zlmD5aobIHrZ0KTge4VZv0JXkEh39Q63CVcVKtT+fhROvadGWoy7N88WYSk65jagPYMPP yIA30V34MnnOiOfNbb2aSvMrg1g03lxY8y76rnksReLY06sNk7oo8BoBL6tamUYx6voLiDOY6AOK f8upIu52zQ5q1T7yrETtSAzYUj9283cfbWx1eFF+++LxWvJ5YmgKnwtu+toyGzesmkIGJwVdybXN /EhBuVasWojycyi+A8+onPpsv+Tfr3ZDYaTFJS50//VQulQtmBaydDHKZCR/B1iWThUQ6OMXGkLC 5ivAy7eRDjrlz8MzKDd3vs+K3V74dDxoO4U7/b3QsGomazGMde89lKUqTID0xL4szfu8TArElcoN kp1ftS/+fT6gJ61Qr/THsYTvJANR/ldjx0O6CJiCqGm38AW5PO3QcUAK0+wGh7E+cQdZUpdnqiyv ReDHhoeKbiWRXVCygZ1AG3qmjl1hd9e3ZEfpKokGpur9BW/IS65/drId5axUmV9JQkxh7qfmNefD MdmX2ksWrIWXAC7O/UQpmwzepzcfo3aXg+PAWlaNcIoGjbg8sSiDV2HzWgoZgPtsff3L4KxSS9c2 bSzNkfB7wWK/wzlQHwl2JwZ6PV90odZVIeKRCqoV23pZuiGQ8RRBKRN81L5xF9W7P4ZzN9JvVdqh jzcGl72FUSPQukIyzy1aoom9XzNaasVdFnmdQod0qgiWWPjeUfT2Hlg+l2E/zDatpAXMFFmQ4frB tg8GG1/rmSKJrIY2e3KC/JGWcX9b+fZyseu4qPl3UDgzUbTt/Xw7Vqu5nQ8rOZc3/QYEPXY3o+/j 5U6D++QF/AY3mDQmUWR4wQSjPt1fAFVC1Pttn/d+qEx2hOMtd4u+kVUg0o4fr22YuBGLlQ3q8Cln 5D3RmtXQVRfYo9ZFZ2/thzmfydcUCuC9EwFmc63QvkmKI7HobNDcOFYESHNxPxGG7cLAa3Y7rVhT 0i/ZEA3ZYdsds7XsAXtLa1PvI1nhn6AAvUTwj174EqIcBaTq2kcm1vXgPSYvxj+0Kh5e2u8kglqk 7r+OyrYX828xCV7f1mjTr8muaxOpwJ/Ym8b/gq6MZiUUCcOjNDuDtIMxBS3tQ4XRs4kyLfmJU90o z13t/NSBNA78EfoCVbFVXFIQWUgORUQJChyASvh1QYYPOgukVkhQM+JGBPd8MSjqXbq/w0jb8B5f EUw+GsbL33j6Vf1QCbdIroaQnsBhC/Li4aGqmJjjGROMupV7Mx1Eyg1vS6mkYJC3tXsb3WokVf46 oUdJqGBt/MgfCsh6pvPcCThZT/DOzl1dCawHvumMzVXquXu7WKKf42Ri2w3E9TdnvMEHiKuxKjIh XAsKHW/3XSMjNZ8nvxB8MtMKD2iDgaMYg0dioCkzCPW64263PqL+p9kwhaHdQPizcW2pgUzlR773 i/TJy6kxNcnsxoR5VeBDU+Ble7owOsr4KdkE6uaSqBhk7BI/5D7V6IwGGC2Ryqty8gGYwELI3XiB dYnOlNOge/eQ9cXJpX5yfKDNyy4i98XJjkx0pEFDVf9YpTQoZCCsR5pSyR9Bdlp2nkbVpyNcG31e yxC1/tWqNEuyQ/cOTV0cBWXkT/s5wRQXuspwmm/xJPAbcV7QfETdFFDWF4o+aTayxvj8Y3Vlk0wm M+SlvquRxmaAZLHMSF+Alj+vFaL5Bs+G5igffu9vz2WpswZw/qkbn+2A8gwRN0l4/N9q/AJe9cL0 ORB/IdIW7h6bVpzQ1306LWugFl5kaS7fHkVDXPi8ReQBu96Xa9r50NG6oP3jdg40M7gWvSFQOUCB Sh6kM3hHtTaHPJ4yjw7WlrgAszkjVXT6SBizDBuMBzdnJ1dtSFMf4z//ozK3XJTbpjXDtUhqchE8 +mn4x6JlwrN5LSKnoE6/blawLVoO4i1Cc1VFiZTnp4zdv2YN2fqY/frhGpmyG9V/q0tW/vhtjx9g DnGP+At0slv3CHxyJqpnrfyDwEgOr37zUiVD3SDxpETRd/O2mvrxmpvos4jF9m4TPojialSiz4LD 3JKXZFGdmmOLxgTEN4EGOAz4e0eo924kGqosTmH/pp/FjY0+q+0Db24PtEE7NaMnD3/hCdkeOzB1 FJN9RZjaBgiDO1j5clfD2eqdJ2/Ixe7iJlumFJ5A3t9E/HVZk9iSW+1FzbaA+245c5mmBFIVveTY 7cbyKSO3IpqyeKbCrquX17MdN4CkHPE7TgBLnSrH4FDgtkkm8dM2ImrNmvj+9YvpZcC7XiDzlbtw 4QRiJSvNfXORwGx2zPo7OHlHARj8bRA5SbIa/I+H7U6xDe66t0L9VpSaMpnhsNAsz3prruQRGQCA f2sHxxkRBMHAz3ALPAO0pf6iD9FzXkyZVeYI5l+prXz80Wk1vFyEXbf5AyiZ1BxhQmPcFH5TCQfK 2XhzG3RvDtp1NdibOGT/rhivse2k1gJ4g0qZ3sj+ewXpPuURBLy7jh6GAkOJRGyJzWUSDJOeTnbF rbweqVaqw96XHuYUxDCqRnt8Ka2K0q1x+cWBgR3ZswbONjuOK6HjxxHqVfj3S8/c44nPjvnsjnEC KDCHJpkaQZT/h33+wg2zbb6HNbCZ+ikG7f3aHMiFJKXjGpEPKO3hqQnG3S4uHgxQp5t4kUI0TCSv DbEh2vyB90OnS+N72NpEieRo2Urh6zbh0MAO1rAgPjoAOlTzYW3ANx9DsT/f2qHvPfc0v3gMG5EX ulfP4pFVn1VsK0TXNvOPMmGHWMSW+lobLOC3HMtxUt2/HjmMZYSzgf3/bau0fO/XsqLy59KKGcuB b9HihhPTx2NWL2eqGUqrp5lQnS2m51DG4DDkTtt1Q8UWnOCC81g1O1nvSQ7j75J5QXwDaW/tBiSY vzx9W+dWVjZgcyAk2gYCQWOjC/yhIIragxRPnF0tI2nVx4Ti2LQys4MuMzKLY8V3I9BIXMUjd159 /wEY45pSLq0sElJ4qzm7Q6Twec89Jj4cQQdk/rSXvblmFFwHEgv/Ab2QeEuz2cMGLSY/+kWrRShz Utfw2EUacIp5PfUhskyO4HIu9OUnOfElgknMgWTZ9omVqm689z/ocyNQCJo6p04R1KZ4M02AKtLt FQQoGHOqfjhO5RUjPyq60gsh6Y6RQKhefzxi+0wtqevVeRVBb1g7VzP3tC7OjywNxQNZX6ZhRKZ1 qG8GS2qMjYLTYGdXMvdDmml3zF6EF7F5VcqPS2aK1KMySWpXwt3HpzCUmFs5yPr4Sn/nxs5IB1Wv VdU/OkygIhoGdAkoQ8PAzsGSKbkb5OmgP+zBTEWErFQLi/9NjvUe/rPf4gLvRjrdZGLkuUfuDGdU AQYM0kLryY4masVGXhBik58qf1xe3VyKne0gTYAogDZTqcAsg/keE2pxpLrcEBEgshQjfVpsiXVE 1Fagmr2/FHQhkR4yOh0VQUp8QLg8GJ4Teq6JhITTyV18q8Ton9QQQx6gcc+XiHChuvD/Qf6aIWh6 LWzsvew7lMlw18jhlpSon5VNGU5EqqsRF+tkzeCsW7LxL9rXbQbZ17wNbQ5EHuQO5B1T64QmETAI g+GXc/aIHx5mms68Pa39L2WGiC5mUNQJumDMES9t7AJ+UjY0zkDLZmV8tuZE4/wZ+7/2vOp3kRpp Cdn+X+L+6TS6BNpIQe7csqvm8ioYi4ZSm1W8fZSz6M710a67VJFaFCWxeRsjuBOnAGXGgW7fRkHD dRhiVWgYiAsebYpEvDWJxG/hJ2kbuhPe4Z1xg4szkoRIFMJaE3HqCsgYWlKHCPenMa4YPEssKqOB McOiAU26sNqFDJG8ybvFzRjwr5dygTNMXd7T62NN5ATaxRX9FtjR5TKOpWQ6QhqnBIos33HlNiOc HUas0UTmF8p2enxqeGAgC/ME6c9bhal89NU4dwDR2YCYGEr4nZGNfXxZV+zGhao+Kc5w+fwJkbF9 TwJlLy1TxfhV6fVSmvWOgX1xYFgZ8i/MCpZVb/N+8Pud0FlOWz4HdkE/ivNNMkeZe3wLV4lZaQyH pZZe8SMVkeTnSGfS8NMrIbcGVd+7OK5X8odW1IuAkpR3phNRce76vLd3+UyVmJ56xOjFJCHNNSHl P63WuF2S+VQ2ssodzfcWsXxi1xrxW+xvdX/Hh1xWdcjYLcQphaZXmOu5KX5p2kn0n7oJwWxO1ck9 CC3JD4rtNU3aPf6E2CzYbQXpjuAhlpPs7o1BGRicVSGT5BXYNYXf5Ecg23TIShGcW3sfVFiwX+4Q jEWS+X7SUP3Kr9SJkOP+2Ilw0RZg4jn3PIubTAMcTqsODTKT1TVTi3tRqczbfJH6Ypy5B/Va+EiM hclviY91KkMhK4tqIf4ZZ6+jvf3ZpBzg2Hu2t1fgY5OkIA+cCmuBLNzfv3y1ccc131jXSIT8GTL7 nd1MgqUIsax/2mLm2kaQnYZygjIa08+Xyyc88TE7sR48iX2V/UT7GtHu1JJOx7xQHD/bVtdkXFJ5 4cQM/qEjLGjp2CCZ+i6/9x+SqjE47GaJ2o+Ni7NwPG3ohwdY7jrFQJVCokFD+SR72M/sOMpfj11H lz6d3ny5U3tiWrCzds6inm0h9oOr9uiiLYJHXSuWDkvSWWB1qtAqdZDQVVqMhHlgsXDy2WsCXsYC DbMg7jVTsE5xoTPjELq3TqYeA5pp74VVmLM49QRGX0X4ODiwh2SBm5eIExTXNb1FsyTmwkRhQCDd rhnOfIV89KHLaoabiH5uhJwv//L1oOTxtGpmsaictBx3JIoByPVlsbUSIXKSCDUF1aVo46xRbTm5 9bxhOf9E/iPSaqHtNwslNRfbC+vd54wVomMIuQR8QvPHAZk3mz1x1hwJNUCiZdUzuGCheeRfhv6j QOv0URxa12/w/YlYpNjTjzKZ/bNBeJpxl2nRMa/g/GxWgjQRQoc+jNIdsxChKTHTACPvJDUhF/cx 20TRc1p44qhHsk9vOd4L+sMa5Jm8eW+7moZlYIyuMbdNjLRkWVK9JEFLjI6ecfwpEmi6JgBRHyp4 W+MGZFYg6y4UW5VxhYwFFgzlHA87byuwBRubrl4i4Uf2vmBO4g+K7SGCcL4ZrWpxLzOtATJhc//2 KNoBm1Hr0COFtAnjCqZpcxgcgSiMWVVGfoOzFZSrTbLpgXhWPiBA8eqfdYSdGeaw/ueR043i9C5t 6akpcavCxpN/vfmlf0D55VDX8sBVpuTVzqJdO7PpCK2RFnJb2TV/05IvZVLlKnrutgf2EPTnKw7u cjPmTRjQrVNZPxeSZCOQokGnuMVgYTEQ7qHIUhvOudanokm1J4J1v5TXxX2BPhxDziYTDociMxd5 PSamCWCQa94U7uXL0zk3LRp+uT2EmFy9CFixiY5Ig24KsQFpOGhrWOOX5Yg/qFWHrj/ll5xhqhz4 N1kQ+c3AwrtbIpoOTSO+iyexq+wcI/DNed/nYmvapto2vEN514zdG/xHtH7sicrqOnE1BT8NU3li MMRXgTAp0F9zx37Tth+QY08dLpZZMecYEDd9qUvM3Hdnqed5aY9a8UOxDnofm2xrJtE6D857fLwm mEy3p4GLo5RFvA/+RUoBUZh7VO3gr4jxrqU88Zrb7Xzoj2r4iHPBvlJx4JDD64Xx+iutyeBDojh/ uOIUFLCaVeneuT898Gg2GyUKaFRTteLP1lIMpfFZ8R/sqIzw9Q5PAIQ/CoHKn4iViYqG0ukIIdV0 wWD7Z10zx66Wfz6ZgEuv49Wivmd8ki5GFekbfoW9CqhW2logiwfj0LvOE79b7hzoBm6h7fMm4XJE nkMmYLxzUbu7KwXeQElWlMLrAKYq28hpGFqJLT80jB0h4BaVsFXn84wX+6EsPK/eneGhm1f8YSsl 645uskHphlmjY8Z3dFkJ1147vKk0B1bEi6Dsz7J3iGpPz6AJ1xLNl/iB2lQp4Qh9rmRr+ivsnXAM BXQcLqJcjnsxCG50/VnVAqhlDfZn7acVo55ezTIinICOuycMTvVliA6L3hmJwOP9wuZIP7UVfJOL 6mTNsemDZYk8RO8e8eXkYb7MSnwjfZHHy2CVkxtxOFXKmFWHcdrRN6VLlJfwI72xBcLJPbgG2IsT 0dlaZeF5KLwJG/bEVj+QD7KsRrI5A6QBjih5Bni4MeNBuDtB7ry/LmhgEqIAPLhJ8ScQxYHsQDmZ TdxHiQ+tSvU+uv0U8UTYdn9VvCa3zZIbbF/Cb92xBDjfU5BkvC1pQ1cxUnbrAxt8FikXNNh7Hpl8 2KNkjHNO3GKUEnAs4IHPRFeVzGFfgbsq/NCGkxWwK/RlwJOn3SX7Ov78km99BrrfPkpZU2DONr6K KdOSyL5d2ImwafqY6EH3gWOw9dgQVx+hsgxLf7Hkj07q+gdXOAvrv2A3un5QJxK34TF41soDt3U1 oxzAQJ5cioWNVB4/MFQ5TkRoWC2tqnwpYgMF5QT71dwK1s0NG2lSS6TEs30NnYFrjBDHzhi1ZiHP cR1AAax549LHfXciTLAvoNQ0v5w20XxCRDR8CRTvNYllkIVYIYHcYR6kJ1I1pFlUd/fczlI0RJQO lbsEJQC/UlKrY29SIDBHsv1mxyJ35XfnIIDyxVUrVYz+TMKchqnx5RmZPH5r5taoTv8CgSXbNp3p wRCMcqCYQ5EeAsuZW1PLGPOpLc3evNxNjObF1XLLfMCGRNr3aL+C+DiIh5ZEhGrhm12rrrjP9Np9 60FEOIrX9+vIR+MOzqFFCjG76CQvmaGJVg0gnQbdIryJspdtxt5+4/kiV3h9TiNcog3aTV1PJ9jD E1ZKdCvmNapE4Nw3c6GBpEuOWYsBTEn8wgqk0SJFaA1cQeirLyN8ftRmkhcAK4ux87CHDswMEaMU lvHE7bXhzmPr/nVUxMKGqMKBfjR7WAPrYKZT8cgDknmTmupVXN1Yeo/VouwtCUqPCDu9Ogqzw/d/ uINUvVfc6rNO+ApAf21adRlsWd5mcy1afaZDsx3McrfDUig9BnJ3t91HFCH8tx3v9WXSSlDEg1Lv THdkrELBsGUeC8L+DEC21MV1INDsBNFXxTbwzH7t+ciLBw0LTiPqA5YoT7uTOIa3SnkiVTRrfrm9 mhAb5BdurlJsA3aMrD4DWCmxhXcKslFyj0z7OAnGOApMlilo5Qc0KP3to6TukzWgzrGqxnp8p1A2 p8YX+6d0o5/W/RLb6S/j4Nl25xlCZk2oCuTqrUWNx5H2RaR8kNKrQEEn2KYQGVYV/liwypvsnDTO qCA1n7TlQ5D0zgTfJrt0gCGMuaa4RKnuR0VEeBmbIzcag01UK1jIPfqCOFwZXC8lnFHh/xSzzZeQ Mf5Q9c3u21A6iPVw2XvBxo6V5i9xtvYULOcNV2oEjySTqsdZPq9G48B/Fsp6vzEbEAdvl3IP4r5n IXPHN7UvYNKUiYUr7Z6x7kUhzUDh5+CBKmysBVl1wi4kUOWFCMWcxPA0yLm1cslSbSIlLPyOJFYH 6Iwrbv8lhWOsKN15BV46gWTkYFfr7EgUZ0GGFjm33i6MygcEXOaq5ALgT3d6w5sIJxDyvawRy9zL tUvvxbwc9yXUa7OhHqQvuHi+AM+2M9vXTU12804irHfT6tpZmxVG10kX+g7ic1nh83VX1+yv8TzJ ibnfrGHXnhdEAZXk0UPTQb/sn61eHd2AX10kq5zlEp1oHc3AiorM+O2/DzF8v3KMIPoMQpDKiKKl mdCnA6Za6J07mYf2K4517U5qPicKgSaYn0S+m5ThKV/JumwNna6XOfM3YNUWvwYdVCiMSpdt/16x EnVUbUozUWYrIhQzMgciyvAdWRe0eVI/oIbwsFcJ0rj4Nnd0DeCw5YjmUTle5FTbm0YAUn7Z4cA5 TItPz78q54j5GrVmzwQzUqbEFmbKwIRqXk8/qeErKRulDkD9u/R3WwRNCh4PRuros2BsTEKGHhXH nqvgELlxQGb+sg+02wehuQOGHf32iRtyJK52aEv0jVfEsbGOxnh34mRzAGgPkZNrVpPPkzLWQTuN hZzKvtDMc02jdlXT+4C6d3DonH9JoN8YrP3BYXPnPJib5aPdYTH0N0JiLPG1aDVSSCmB9qWAJxz3 7WbUBW6lPmj+hRpJ9DxGm77pV/3Qfl6K3dM5JI5FBAZFAM7uXKGjK7SVXorSfOYo7LBbrFYZZk8U w4adpPlloXikpOMJq+9LEKtwaxrNJGcQR+qAJX7L1mOmha0u8QZugS2aqpltBf6v0alk3gL8+vU5 8ABdS5Yp3iaqSytmXRzUlQvOcPtJapH2Axhk2TObRUbi73PtCk4Ws+fzlvkG8MKKFzvG2UH+0+GC DbxC6lTJGqBX6EFUGYWQeaJHOsHW3geI0t7Em4vK7Ub9rscVt31GknViF8PZHdqwKr8YHdDxsRqo q2BGUSZrewQyCyGml7AqdTQeIQQLEiA491M5TgMGjJyNxzDovh/4haM3KLaIIbjXtHgsHcuNL2N6 +2FoVVAZXv+eGsZE0nADo9hwQ3sg/0EEi6YIi/4gPTsiIfpikKXGx0U7N/kQY86iQm3fQx8aez5l QIIFcgtVMgig6iVp8D99Shk1+n/Jhhh6hVq89xnvJyOWUBwerCegV6O2ECl6IUrmK9jPu/Dqd53q agaZ16idI8nTfCQ63sO7nce29+qz7WJ/VsNW39zJG4XgiCGoW7DU0STlVN32Hg7k/QnRDQQ6oso8 L+x9zYFhK6aw4C6xAD6nGE1xLxPU2nanhgIjwGz1vz5P5e80EgpCFy+11wA1Lc+XsZsQS1LAd/ts cvQ5s4D93/AOy3meFgNk8YVvR3QPJXqwP+R+sWNFE69pJot41WW4WBfo4b/Uel9U3WzETPI5hqmw C+EnJ4Fwfb5UWSwrb0b0OpmUmNNjiHjQuTv9slFoNbBS6pbQfOw9Jtjh3qs8gNxXkMfhnt454SH1 DxJO0XU0C8D3Uyb5rnc+U7pvV+xc6F1qLSiK4XEFXdr+0BzikxB+rztcVGEH747HNC33ZsQafcIq ED0jDlxyz38I/5/GvcUvKF9za6a2QzO+AY0LRiVq0sPDLkzcN23c+OipTy5f+YyXXsBtuh0YocHK hHVjUkpkk3wBIZnSjhucsscMzDRrD+2+QjB4JOa5o2o0JVQlqoeM2rVvpnOxPuwsMKj8K/AG2wrC uq23wEGJctCfxUX/y8YdRyzYwjhJ1PPSs2Oa7TF0/cEmeflOb2A3kCgnUMUnJQKOS+oGGBS9zNwn b2yXwj5FJHDgMtlsmKTvaX1QzvaxwskT1dCU8vzTbqS+Pqoesw0uNuAJZ45qwwlPBQEwsRWhs4Ra BoqsjRKd++7dlSx47ViFmMuqpzvFJ4z1+AJrb/gjEbTk21dn8ymeDhkMaSni0+X+mf8baVpvBTgh WijRT9RKaLZvXX7zf2fXhOJdR1qqwIr9p6S4d31mA/7F831t02yQWJnO8xY1q8ycmJJD7KAFcDb3 mcyibu4Eo9DTRkLxaStF8PBb6OyMm0VxlxaSfsZoxziVsNEz/OszwobiZ0dyEWTkQAIvpQ6UCj0Y ag2/3BKjMIS5Ybe/UnEHvdk+GewizgJlKpnBGo6UxzyjE/NO8XoZtrWXdMpT/LTBCc7rg7PBx4fd nDr26v15WAbhwuESgDiCMp4diqC/X7k+r61tEgU+T6PUk+CMrzpVYhxDggXHtTDeHW4lF1qnrSfM VXL7r+5Dun+dUmE1uwJYEYOJI2TylkHeI+WDAfmquiGZZ6LunGSofE6X794lnezGMLg+finLtLgW XYq/GuiwR4iOu8B3iWnAp8k1ikOSPmjEv1vaWsdWzyc72+6sHmW2hoBrgu8UHsV4QxSinQ4aO2MR V37qEM6SE/kfuKbk4mzzlZfAiS1ss3gL4kzqOcUDQP9PBfpKmOLBrY9jpx4wJi5JG6dUKXmfiP8X 4c4Djoizml6zlPJy0j2x0fTfWZAvnEFPByFe9kIGMJlv4LIGnchOmOUEv5CeI5E+igvKorCPfPXT V2Q5D+nd+jzy3jiY3gK9Izy/iVV/hU+YVP3ks24hTFaclHHUb0lNgBbRqOzsNYEBTrOgMLMFFkQE cqfyj+7gr7w/73h52BmmJ3zi1+fSXwDrJPa7XaMIAYD9Zg15qudFqiYIBVMFF6XzNoNlhM+6LZS5 mj1WDazY5E7oefXdNSy9+VI8DyZ7LT5FIg3BaJVFo6YP4ipe3xh10WlJhgkeZPaWsgBWbD2QoE8h AjjvALfBBczuMUCxW1coEihctLzFmuHTvi9Ix8U548xIUdvOXYPr1yrWgacYb4XSV/LWR3DPkFRY AEPuxX5HDCgIBVE4R/eWJRqHejS/invUfp49g0OwDDyUNuwYE3FyjVMlpbxTZWBQ7zU5j3mkhc2f /qSJdd2DaKFvR32HMJz3vB8tmdb3qEbLtY+lb9yVEj0wELhPRGDvmStoNzPDo7041J0c5W8XGKA1 vgSrrJF45bMku+zgnjV2eKHZHSoSK4KVrxFz6qHo+EYt7ThphJe/0vzc56aDN/IvWnNUTFN5Xudm bhqgqabtrr918YwLWhCbYG0aQh5TAWuXAtMnqgYtUqeUxS9RuICsBS8ljOweRses7qJUk/Nt0Urq g4il8bR5QiqSHSG5n81/5Xd2PSCgR927EJD8BG+1IP+2PM0jfZi6Ig6QVb5zEiUAHD81njKXPpsz GfYzPkwQM7TRZlvlt9PVd2C6mkPi1GuFOGRZTGFyp4CNrVtLy4LFuzMVHMo6Je4fRVY+jw068ugs KawXhp71cHVtDZoqbzUE3RL8Glldl67Ot5thpi1egNCHHr8MNXmMexBEAQIkFmolKOivyDEkR6Xs 3S/0NuRA7Jg+7isdRzuA52ZprAu0YUV6Bzsz3T+X3xSp0OJaBsnwglPfkvBk3yBMU8IbM4tPnvro swA1khkbNLGEdHfU6czLsI7LoXfZ3fbozChR7+FP1J3MI42rebZziT+Q+pbSXP1L5FJRNd3AYxbF FqUofnZ1Or85X1oUqXCboUsVcK8i0J4b6SQM8XAYzlugr44Diii/pr3wCQl1f1GANT/NVeR3oy8T aXJJhPvkgOKD1TFIgm6am5AhovsfaORWsX+Nrpz0vTFazWnAJ8KVdH1FQQLTeClM6/SuD0eC6DZk l691Lm4PVhXW3iShK2GTBrVD7zT/p+IAEgFHwHYefQgpbaSnZp1zWEbQWDPZlMQqKxEwxFgcTj6y F0Ogrg3OHo/jOFITbVpK9RSKlMTZ2mIcYiEnwmyuYH5nwgsS4JnnSceG8H1blEJNYKWyPOdvsXFQ 2F5J6TMUt6f56b5XBLl8OAPTa9xx8hfA3Zi8CfDNd81T+KXgtG/dawzToFL9zJjpqH7di2eBM9Rp ubqdH5lvonN4JQdgQfa0DbtRLfaB8TAtLj/jHV4EE0Z1KWFiJawr1yUFGwDzXtZ6sdkBS1gT5H5n J8w8JEftgi6IlzVm+wMwY0upRGtbrB4gAv39RWlQkqsAB2/SfQVv2h62jCpiG4KXPCclL63lib+R iU2WtrrKzja3DivMv3flOiMuJX0BqP2aMH+Awd1KIlgn/b7lye+ByFcGN3NX7Hv9GrZF3XIVmwaV sK4OisERe+hhHZo55pZVYcy1BTLf9dq51TH/szgAtv3RZmTgn7ruHhSPm39+Js2eS7gRNseylq0c X/N6CvnY4gRDTE+ayoDcPjg4kmj4+okhpMgckipgz0Z+EeRbqPUNWnEKYlGw/4/hcY2hmO4+X5mv K4L6bvLluJ2HaI15+Kw24psctfoU93/YDNg/rukNBmFa12Wh85H6kAnBs/BfMgjFd8pRhiVmHwka gPs0AoYh0ov4wI5uztJaUgJmYztNWwcOyalxnZjkU5FTL5qE+ZK68SbRQ/4eFsLigMGkZX6NPmgN k6JiVRXOqRTjVN4exsPOFl/tdJ7dASQlNApaawob7ZMwblQFNLiUgm6b0iE+LrWYfmXHauLp3EVh VmpWaANluguACNZcfXa1zWnJ3Ia91jcf0rQzuFtBOpqW/GfrC6IEvmT+EH4U5Gnurg4g3+MAzoKy awiQUD6gmHg0Fe4PUbLfTrHI2+Zy5YS0Gi2JGWaXB/dHiKlwrnjZjsLKhWCMmvZsYnUXWg+kG7iT CZ279u+4ltYsLjf0os8XnTdPaVehOEZHvZQVtD4NnpXDR7qrGzozPnK2L9GEAf0C/WUWVKC+Mo1W qI/Zfj1FDKtw18bB9JM+BMBTMHxaQ4es6jSid1VNfPJTxc8aiWVYTbdqLaFWH5PGdYanH7yoFQqn lpNbldCbtl9Ttg+mCzYraPwYiMe39JP7syPh9+N7eP9LGsG/I0QsFU6qVe2cD4BBDEDAiuVmL3Wk ekKNF2eQZWcL11ARgobeRZnv3lcc9JbqTZnG2pAvqzvwAdDUd5B/uMERdX+GBProOhjoUXu8oe8y dD8yiRipR13Jts+CWZgh4CQgd1eRMMU847WicCwwqoE1iQTledTYq8MEu49zgnuyfiPmEmVGh/t+ 7zZqHaE8Pp5EhwxQuSDRGxtXkoDftRasRpg1mftjy4aLjoXSuAHq4AHNr/BhRBDikn4hDPcH2pAq Pd4HyRRaODaUt+t3FoNpk1aej2I0r16NihwRZNTJ4ZWI+5J0emV6Z1C/6FsdrLfyDVCKOz8c33TJ KbTzSQc7ttP8fcLSkboQIiUD8xO+WjIyL/I8zvLG6bZ93roNT00W7UHdcddpw6Kh03/J2yTihiWJ WUmLT1NC6x76GDh490QNLSFCLWfh1gitD4/26S6K2erz46Y4NSWGJ9VAauQxm+N1OUeCCnoZnlqK +FLGdBZtMu8wzf+p1TFiuigSleYn5VEv4dWsZSqDjA4cBj3Kjf76Pw9NLDNFT4Kv96GeLpjOJUut c4AOSmdm1UkChoM7SbA5FvvBMXw9S9yid7FgZifst9WXS7cMgKsu8v5u6SdJ/y3h04aSXKD7cVNH Y7zgL0IdRIt3L/npkp5HgRu1c6RllUsbw0TsP4tC0V+xEv12UUmkctwz/gcX8Lkczw2fGgM6NRxC xYSJ85+tGaJGc/pBSI10+F4Sq1cFcNdDfsR8T3bqATzwGHAsedUZmZqSFayZoRI9Qekj+8FbOIlE /aVegOYgTcHUsjhoVbySGVS8FGbQzx00mXosQyQd6N6qRGlPBaEVMsM37vtv2btVYuvIfR5hR20I yVrW27gvV4UGWm9+SkN3s4EJxLnYbBsJQpO6+MJmd5nlVP7nH6oAb9MPLfHOXDMQyhPFYfSYRxWB Om/Vanb7DY98h3rCAdgcvZcOkXiydfY9wezOOWGHZT/QXNWVt52DScKO9i0e2mUmrHC2Lm7TVkWm bnPgzZC51SJMUJ18owxoZI4GkPZfYN8Vu6Se0Y/4g9rn75QGgove3eLDN546dTHPBCkdj5rNcuTY S10uqPi93UN+FAUj3H0iMQwFwTPzoLZVjG60qlioTZDG1MEpecCJtO8VTGCGKFNx78Ao04diIlnm xzDxeXgLfq2Jd6DtqO7wrJWRIHC/ClosS77/g+olkbd6qhWmUdVmbmswzbtt9IAGb6fIbUVr3YQR DTaAMvtNHTh/dzxJw4lxx5BqilEn7ZNjtkUWRES9hZfF98pjal+7S/YDWn/vq16n450kHNDw6Uhn LzbQ//pw0/aH4ApQIMZGNz3WgTfIx/1ubBeWXq+L2XCccJ069t4iGmXCkWEDoG21Y+7/J9yq5Pyz 7NaZfnc7O5P6eoGly12fODiPWp91fqsfYTkD716ucNJ6t+J0UJ7zJbnvnCapQHKWnkb99EYVsX0s MWFwUXnb8h47HpRWWgTqUWHUmGu9GrbLULLjoL3UZKWkMOBZomnHL5HAINiBJSixqVmNoHzUU5iw HePh3lOjcbZ+/PEFOypBCnW54Q49ylIhkTFn0pt8YVJYwrdt9cXjoMTfpjxQ3/5DE0EG16w9KUwE tYLgMaWfTAhSu6zK6taWYxux/eTCaMgW/gYMTlvWgvYc1H6apcWtu+cD2ma3su8Knm+yDgDxpgjZ ei6DeMOFLV5+1+iCCK9fMUZHFGhV0iijeKB/YBq3lXBKKVd5t69tds73BlfqBUlv9Etj20mxJ04S a34RHyYZ6rqxOGLLyXeP28flCU6iybx4w8EnFMGfuOAT3SvNJ0oAYzJPq2Qeh4aOhgoM6hBcsUjo Hg3TIgF7uvz704bjzYwuS5LkqLTaEn4MpkufexP2bmnyPa1J6D5pfUAqptv5JgSerJ6Ba9Tfh58l QWHelp6jTXYOxUVYvUpLogaeBV0VhHuQtrPZBAS8rtJuxHc+/8mLIPff2WUR19jiGP9VEuAzF8nm JxQBaqtWEDkZ/jwKMs8W09Zd3QYuY3u1Omn7IxUgmonV2HCGLCxXjbGaT9KnG9snupfBCiBxNSiT f8LnjbWos7aLwNbVZ3ZXpZWZTPf9aSbfMxjNg/MXz1GJBkawOQ2R8JbwiWOyDx9JZrC+Wolqi8N9 w+91HAhwQc+V1kNpEqRS0RKdVqCMaiC8oV2gCoHnvMgmul6I56DZHs1CxlCckvL5Qlqk9l2bq7ow v31O9yAZfIw52jk0NWE1gsnU6wCaT3YEtfTU2uzh82vu1vg8Z6bNAAxsnTe1L/1VktChPFv7UPtE JSrVYTe56+npcxORgLG6fOaYY1JsIbvHahpvZyUPwz5ZWhow6DR+ejzTe+46tT1PEHKQ9Y+Pi43j QLA7jo7ZFbSFJ1B3pJ9PtnOyA2MqM5iZXBdKB8yFhrTCbEEx/HcDtAGezYFfLwInQaDj8ddrMyzR BwzXNs5P6qV38fR5OhBFZuY16e1JJBDyKMP9Z6PqdIRq2GhNw8s49W4ywgJ2CJxXbAKtkVckRMPu uhveLT9FnySVccRP/AzifCsa8N1BJJBR9Ial/RUy2xR6Yvjh5UhQjFLLugvUJBApeq5303MTeP0k 0L6vHRkspLl1vd1pWgzU1wiUTa8pSoU/6C9zHY4Mwm8ptbsaP5fmVV4SAH2vR7sBEuuUCSfEVzHb Wx5FT849nfqJYMLKRcc7nyyYnsBVNyROgCRa3kFGom+1Z5YnFm4zeQVnOSVCGwL/Ku1VHK+TDUB7 wFIYmgveWRI7MaeF/gbaGkXs0ZgA67feZ9zkWeY9d+psJWMSS4I3iHGzNa8VKih55OKRjF6WZdIv LkoIaHtRJCm8fKx5+HJZdC1JW2ZGE2mK9Wvb9voI1tY5sn5JwKoyJoPqaRCrcvZ/pKIQEtNmDWvH KlZsKMxCyBIZVZbwzTwNZLSiJzlnHM0/B0TMlP8TyreSjtWp14a7s8PcaLKU8b9qWKqT1F95vF9r uBc7W0KIn/5Z0uw/ufu9D1vW9qV1w+SkAzx+AMOEL3zJLM6k6BiXxqL/aiUprhdNlbCMNXPL86JS 9EfQgRQMAPk+32MwLwOYg86rXsnki6itCRR+9Wq8XvBu1DvSGSQk0SoPL9KOdfw/VcLqG5uq2z2v S4/DoA7Reg4YiRQXxPm4BuX7wOTaqGZG3F/ifZZ74/ipsdZnJnXD0+cGEWC4aEyCPv2tAZ/RrGCC aFQhzs7B7j25520TG7Ldxan/aS1yZFG/RHl+K85WWoJ/7kQT/A/taofqtP5qLhnvNn1o6H1eyGr6 bMNUzzfcKWLNn+jdyQ6e4Cb2SimC3Tls6pmdQWT8Y5qsCpq/8PXQf+WaQnQoEz9xQOxI7FHRjoIk 3mHF/2aT4PQD4MMKhpsJUYes37NSdLa9QPgnekUZNdkFyTUUqQNZT+OHdhwjNv9KhFdvlMpIOdk9 81B9hQ+Ss19zM2O7DTI7Xc7G81kOMHAuPGp72Nq0p1GFv+SLNzrJtgO2UaDF5ZxeKA26Td0SFpW2 d3QsH9ay8HPlLQdKqJMQRJObx1yTYG3I9cSIaemUkq5oGOmNPUV/48taatNZ/kjDvZh0z8stpGiR /Yv6w98EaZSP7toa2R7AUheb61V5EtWwA8I/ZyVVaw0u2h+F2mNU3AToUMhhzPj3QMB0u2xFrl+n P4yUqpMnsSBt872yzjby2rZoa1vFdzAOrhW2ERonChTOwHcngo7Yg2LZntQwJI8zDK/qZY3WQ1L6 DQIA2GWFwpTKXYg7e4RPaTiDsWPXq5BcaFil9P1FnGkb9i6y2RsRoojw5DZC9kMCiE42xPmg1tKb QIzXprmclXgIeA9VLB777xfXZ5OxMmGVKD2La9CQrqAS1uPjtthncT+kqRTt0NG6Z3/nXNZFbNZH Vn7ttXyrZsulLNZ9HKg6k6FXLJ84wzMCIrPkYZuVLAlQlGEkb1VBfHL/ehNczNiLaGhuLmDyvJNE Do7++OR1OIZ54B3Ga0ja2lvyVKK4Aqp/tRb9HoUYNy4c8x4mk0qMzgOrvO7Y29YXpSE3CJDeVZvy MGndjj68isI6IZh9s+HanYcMNIfK3Fxpj8U7QTiV9odpLYiZZ09GJVwMfoABG6Iq2KPg9YCVzRVg JsL9nuL/lxzb+OAiuytDTpdPmm5gJ2WF0oc6XwQjbAcQzD1ZS2Iw/PaXgFE0uduI5mex5uVnhime Lv0UNA/iDu4e2Ll4tWzBg5M5UFMADKFmJQDn2M9d2ynWNho7eeRiuwzsty/EUZ5s/fL3gv8WpT7Y G1B0NDOgvY65ovPRV8JRXydjAoFUyur90ZkCwyMvI/zlgE7RBrp5B+9j2p8fPoH1xA8aJPpwHr7m rzGMRcqs9YAjdBC6258DKOQ0XUH9ZlvDf7qb/wwllWU3DU4NKF/AE/ZP4Y/lBlsfeFMsd01Fg6WN GW3EAXJJ+GZx1M0m/+7CNXNb5q/f2ix0QreP4Ixh3utnjFlVPb3sTXHWEIvH/a9RuZrl+YlScnGh uTMTbrVv4+3Wkr98Hhg6zziR3k6PSRr9fLqxK9hfPd56UsdqHotWCAdEvYR4qoXIsd5+Po0Nki4Z sWn3CQczwlgZ2UHdPHnhWz9/YPeCkxx0VBzOJrcuiW9/HMynBtfR/5vsV+yzyJa1FZtFNIlWiBgs d7i6+0B1jqOk6wXuXdPZXT9PwDczllkJAAS5QUxfsrw5AVo4bp/ZvN1L235ARttcxDzOJypY7/rf G1Os/497vDMn+sxeeH4NQfkNc1rH+/TiB0E5vzeu9Xp5H39KYR5MKqtggygwZOmzvpSBqdOTx6w3 ODqHExjvsltdkcvMUCsHgXRQ2lDbFX9rK0eEcFjJnYmQV/YPR3kyqkW/nu3ycwoxHGJsL8wSyZv9 z8ZsGjVUgM6l2VXDxxEDd2L0g6FnZL0wxad9h6fPSEcXuq3t2rMweZk7q5IvoMq++Bt2z6hxFRjd bH7+AaN05dtoppmaJ0VdGSz8QAcKkzSvxOjk1BR9B1bv3Wka0cnOtVKe+5ethTU5/idw5euYqbz4 VL858gyMRuKEK6k4OJwK8Dp2xy7a7HTiDIb2jfYCg438c4i410wVFKTjf2ogJ042HtS8XFiIpfKa m1zK1efBKsWI8m52L8r4fMUQN+53FoJeSZFDdmMTk7UtTkgXVHTFiUdPCAPTwAlOUrc/GW55ZbyE UdbiupOcZxLP9Fr6oXC7kFP0vtMgxrsFNuaOSeC4/0r72fsFqIADfrRAjYS9zKXe9maI+kLflzk/ Xwwu5YQ3ZIMalGptZhQihJ7YFVu3E364ALX2HQ9wJWhwxDEysq4gCVblN0mSaS3eQyKcj/QQoazD AvhW3gOTMUyN1SziSvVRpnHSnPZ0cxV2Gw4kB3gRsccs0ddfV5zOxaGDPMNyUiGMM8gbl0AcB2Eb 7C3GV2fUMucMxO1BhF2+qOkquy7FUuBtH3hGlni8dXoMIks1MRA452kBHJ0wGKSg7tQr591EGxDB w/jBWcZD94fsmKx8S3NgXiRMMSyWG4BZ/I3nLduuLWx9BQit6llmUaxLdtNQmBP1lmdbcbfsHAin 1PRJ56gc8ivfMCfvGLcQXB0791xiMujJ9TGSE3s5zCFIBWZyQGJMO1voStz8s9QlnnSH1vB2dKrI qN8mG7kWBVzjTMAYltNblKbMHLAMJR0WgJtoVK257+tmUlBcYBJkLRXjMEqlBJy2spN1gVP60/Fc 0lHVIyLW1/cPO0/CRV+kLAj/xQdAZbhGQfwS3Ql23P/KHR9tZERzNC3IV7733Uqyl6Nmj04+gHKF Qioaz9rPRGLKF8fe0/ZGLTgAr2U/WqKmmWLlSjU4/AaMD1LwRWdbdThTAywzP9+Vk+oHiKZNi7LL /+4LthRAuWcTBUpEiE1uwaae9RiM1hokjSwdc/vuAHs28PEFoJGSItmr4hendHCDWYJeziw1SA5R +mTRXVWghPMA1/qnEdvfiJ3FDj8Gj7TATxSIR99yL3QnHjiDnwP905sDgjwxlRRnlD6WrOz8R35b JwX6K4AazTzkrMD2FOybnybj9544pOMmLn7l10k6Hzn9X9zm1PeV0XWSgrFbZjeTTsYmU+R0Zzmf 3DutTBb69QP6KxjkXrAr3Un7pYTTOvIH61YRMTnOmqvG+OnEvsQaZlwrKSmD6oK0KkBa5EIPlSC3 0X9J2DWwtjvpkKtErhJxK76s7VWb4U67TMTQXcUY7gWjgAp3oCvclpKo3nIbJbUSKas6qFwYwsIX 1bvpTJf9PjqPiC6OrbGEL6+mNGKOPLuFBrsgIsdZn5HDyJqjqo7JE+YC+TmTksBqxJF8KkfrJ/Se IV9yH476lxq/6BHKESqh7emOtgQOW8rkyes9IXl2JEQeoyArcQJmNU7tM16J4BXDik2H9XRnD2oe 1VqzS9ey/VL7AOR9pdwgZCtSp0H1MHz1iQ6PGDhHNIYKGmH4gdl5sVu2Pilt+Ma6JN4aKsUBZlnv 1wv7Kpxgg+4BWJo9kD6mHxvSE7LkGhvCkEiop2Q0vcgVs4roTVsQSBzRdPHrV1VDjq4V2TEIeYez KAj7LzLq+Yc50Zdo13g2jf82FwicNj6ibzBu7tVhYxPZ19BGOzbcZyO37NQRw9hyo0t7UWJYPI3b yMEE5pLJUPjA2ShmKEzTJI3Ai2JsApyS+4D+kqaAPWlnHUHR/z9NUSQusCCL77zIdDCSYxur8NEl dF+4NXZQN/z2lT9h1MVABknnWkhApSpIpNbOSQpCVoEZrBCRCfrF8dSJlHtKHy3YbqXNcOwaRq9c UxF3VMXRsHoeCuUxjW+xNZbrPR0gTfy2/wtKriHe59rMb+ZQtt+rCApIl1sUoh5b7kxLh4dWrIqn jVjVlhuLHJrXzc+7MmesVlTHiAsjuw7kkRFDG+p2V0PRQyFc4bWekYwuQZUv1qrLezmwMfczmoRC d7Rn3nC0eR8cEnGeZ+qihWUPuAaoig+zJ1RCM+TxyComMg9Mi1ysjZHmjw6aX1UkD9O+U5FgB+Mm B1/SkAekKGIVGFZ9pDMuIp7N91TwMxfkYWs2o8kwNijAEJbYMjKeaugqNV7jAwloVh56E9gZeHNu izos87nk7N9hwetgkmXwN9kG/eYf9yK3ZKXHA368bk7qBFGi3ogyvuCMRLnGWkyN+xij2VNZCm/9 h1VlT9uGv216Eamkdaw91Ex8p5/bl1vBU/34dGLu0FConSd17LWEC2/xbX3dUx4BDfmXbbhhocuy YO0xzQ6zbWBr3q89rJpxtQn7RO7B4kR7JUKCcp+319TXrHE9WOu2TSXpPrvHHXe7/pFoteTdTOyy 3fpbLwrwx+FntGvlsdTOZ7/zFz6juTYLSq0zIJcC7/N/jzv+RaMO6VezN8sngQq85icm96zzftP0 bfI7b7KotQBoPD9FlPnp3VaPEymB/Kjv2feCwheKc3MZA3e6UwzV2WRUfTOUgyq1Kqkzltlo0iF1 KQJNklEwuzfTmJjsuEF/ImDJWjFyYpADCL7l7g2DJW2koSxYmmaGXqMFdDxYzRIT+cKbF9JpTQBs y/wm5sz0PdwgOESTLIkAfUJ+vm3Qpqyc3APgWW9UmGfzk/lneXT89KpeUcqpKwzqWGpk3v8U69G2 sSEGGcb38eFIXCrMQyNK78s0GJ76ALTo2l5MW3g7wizBFJ/CRInFevKZ0mqOYEQn2GHb/A2giqyB d3pmmByPgGdnLu9Txop8NhS/5clFFskeA+TSuX7bnfZWAwC9R+yoQDqxFu2YpeVUz7Qw6PgJkQRu p7bfSY3YUcfEwHKpMpz2/Igfd2RV06mc7ZjRZGnJ8TLLCSUjvFV0EbS0kFbKn1i2z5YuYmaFHcBX 5MhICr2/EKwATV1h29XrlFmhtVeS09OAGfvfLbzPEnSkKgEAEHiB8mw6sv1VSC4rwqG2Rjm+vonq 4trpBqiZ/Mm4QAHmVKmrWlnhl8BMV4VjjjFcS59sJWrof06+tCymQISipFo1evv3MM9za8DGOV5n kYHZV0F4jPtzppPqqChHVm1Neah8P0V/lCLeuUnCh5p9d4Fa5+7FydkD/Q/sVYRkigDOu+fHSMTp wQIIb1mhlJff3vtgkGyK1x3lB3EvAZ6hGdxVoxjUk/LaHkAJWNwXFwZhU49PMx2SdZduJSsSQPmq wn1mQiyxPzzTfEouwepJzrDhcVYvJytKxf4yNpx2CA2iGKTrzYKF21ZtunEuudgKEpoYaWQ6xpC4 9/N9Dl3xG8ZE7qv+YLK0Ru2VeAAWeYlRfyQhZrv7GiSrVRyovN39v4aKTC7lqoCRvIGee+ivMOn/ i5KcqvnCpLKI7cyuk8q5605cZMrarKtIzKH0g60uKEtjD+pHmNN4xxBPkSzOExcAl2JFrnMCSlPx l4sIklOkmlNdyDPXhew0xkB4I6bEAhpJa6HBZaTyDXrJTgvi+PI8GYtH77EpGboDeFBdgVzGg1WO Fl01RR1VluPJqOOBlWG4QXjPtiQeftmF88CXgKY2VbBHMoQmcdJvZBN6tIs0MZbySc/RqLwEKCtp ES83Uxxpu9pBQNS89uuJQx4eh2qqAOb/E8x0YrxTfLfxMuZdqgTgbkIa1M6wggL0RqNrT/oX2Azf +Xbq2YEUYm19xn9ywKo6fj1G3XF48v5NMVL1E2Rv44cQgqWLuY/8S54hFSjQAukZ/+z8kfu8Txgh cYgS310a2pX+pzwR5KNH8o3CXCYCfjM/Zg+cgc1ONrb5OyL6mPBAzBr3qUCIyWEF4u7FAEv/GB/p h3JmLuDIiS6TGrBfZUUwYVa5BjSoVKxTNvO77JbfkhD4kzUsieNC9PYBmbfIfCnsNsZR/D+TuNmq Ao/NS+QxrwSRgJ7ZgjYHaC94DXcWLS1ATUqM8NO+fT/4DV7pUQfPc+3ja5GD9HQtMtIs04Akvwm/ RVW+LrUpoITGJA1cPXUx4ecmknZOQpicSaO5Wh1II0KGHURGwduYH4LlGMjeOZD3KNt/JcjBmbSq MdwYq4PhrdAodi6gmf/i36iHEgT4YQ7W5EI+a01ICUnARyAuOWgblnjOqFGiauDktOM0dItIaBDk MTKaZ5zJb9y8Or47iHqQkrX0gm4H4MRZUTo5giTpXoYxJSSMP/VHjI40zYBnsjDY1hFQX/QGfP4A 7oDQfM/vRcRXdJjXCUOcghFOFZLzdxa8dEHdLkSEWXfsy2VhAR2dCvInZiK30eTaA3Ebt2ZrJbni Vyi3HQRHVGd2DP96sfb5gPeuwRq2/4VYUepbwW8Z0q4kvqK9LtLvmQ9Xjb8KaA56MEfzdaq7Y7ZW zviLknWBjhK5iNfWgSKqt63vCMdVFPZ1GkQHMo5Nx+ByKQ8+IwEThAfFO3GN5la3BNCn8+eNRPXS icup8Nc/Tw9qPXkzrQlqj9xsHt7XXvFJhxVXU+dBahg6ou9CBeIdNqncaQb44Bl0QtUkAwnHGpC9 cfKfQG4z9Po7BqV40/zgKtBs+giJ7p+x8SnY+hXJzz3xavxa171i7yW3mGEyKSsrQ8sOjRjUqduc k9E8Ps0ygzJzHFWwFibS9ZKujB+7dopac4tW8cz5AvQZ3K/JUf/n8K8DZKbJKE2FSnavbLV8SoaM kHsJNgkkP6kEAWRT80zKeH4+OsJsmVBQhHKsvHvS87Zj0W0YMQl0BgUy2OOkTZA+AsR3H6D+dpQH sEcpbfMXmjE1LZmbI7L/PmSRaqPAzJ4fMaboaIh0CJs+6A61MHvRwy6Jx7Hg+1SVnWIUU4au/lLq IWhLY4NpYfDQFTl216ni016M3dgynUqpFOMdjrxkX2JJNInuVg/ZzBIS6g43ioiJF9gXYtyIz0/F xK/dIWkKzYjEUM1qkNTnJJymL2DUpyoRiOm4p1TVFxjUE1DuL6dOMg6Z076/MK/qiEGdpyPb9sAU eYqYA6b3F++4SKBNKBCK2iPanQh9QKG+sz0eo+kjpvrc1kRZvgCtdhXA7b5q96IwE7FprINEzmfy U/8WCE3Qi9GZMZH2LSowxOkIBmurVZRtLXXV1m8SQbI36jQQSRjvK4xsTRes7nVaI05sJGdaBJrm npkXCIPoENg6mt/f9wuP48I7lYR39irS77rsxLfV9rQk5DDej4lKP+NGLbNfS6g0Jdz+BoBpRQWY g4GpTyfm0cRzMRzgN2AxmP5kehulRQ9R98Qf0fHWNAI0pzx8AnNvwGU+JvQBHTNS5Ch+yHLXGCdz EAZjIOhnNIemY0BKnBQmZli5L+lsiLG7YDtE4cmD7vgkjFTjqkkDMuas9s4/wr/w50DAFdYIMoSV xnPzgfcF+1U9rCQkYmIz5hpDyF8mlScm5Q9PPuriSI1rymNOB8kSPHdWEy9cd1B7rQyv8aVQqjY9 a9DPbs6+xx7x3nCJvAeUDuyncLyui46O8uwpYUJRh/nzq9EpFKIHmUgeoTzlYuKX40G0+tCBrEHM KbwgMAdSWHTJPJUazF1XSm0vqoOzXuKBtPulUKxpettGZ8C0K0EP43UJaOyO1WL6DVdWQYwF4COa gckaQsGHCXAJIIA3PmFsKd42bbQhNSBCUSA8hnMhZYOmaXIJj8gsE66l6U1Zxff5rfklpvyCeM4T kZ3JIpL3Df7Yg+oqX88T+vzeuFXR8BjwaPxEXrrNYtjB7LS2TSRabyp9W6Ckx6HmTiz/F5+z9nEc 3/3a7w+b4C6Q+fG0xBc1LXqxVopEsxG2B9MUgFCOEdt4VkyKWOrY5u20/j5SDTozMXLTS68D4WD7 Bp8dAfznMOhlr+04/lVijM4JIJeAtD3GXMkLqi+n75K48EnVtS2/7NX67HhtEGGvYC4UySuu4Tnl HPgqcOFLl66CJblcFsC81wPChSSbyXSCEqsZUNVuT7jL+DV2lqM9+DiFB/0SV1ZULAF1+KwLBtY+ jAEKB75vhBlo2Z9xqnvr1c9b70JGtRCTilZ9iGFfMhkyO6iuzEzoyptmIARXvWFFD5lVxqbAg7y+ nXYL25xqP3CSYoJmjDxFR96eEwVH1UQWQ6wZij4e00BXQZG1dbOa3iFv0WWlSY5Ob3rHglLKRy+4 Bh6D+h3jDZ5cEMcOkgyZPC8V9tvp9CbNQD0AF81Fk8mZX4BAF+bgFjDxs2DivvH3xrUZjLLMWyVy IHztUa/nKr6kvRiLrJQ2++MXndwBcSAMmRYz9LbU3h7+52P2ykpyiFPhagmS+t+sVkUaNRU4NN5j uATLlvn9vZT0B5Ai2s/H/1lmr89gxcuNm87D+qvMeWciZJFDu7bsTbYwwA1DORBbnntS4VlzUv1k U2E6R96PZY/X3IDhQz+zBAg7o+uRpoDR6xUrhsZjzHOMHqyffl4gec0XaPRAXhCI6+x9p/tNOJwZ N9VWZpMVLmNywjFAyWXTRGgUfiximtu+Qs7ZpQ9YA60BCuUsC3zF7cKnRcyOlXHIz0gZoFMycXbE mxrIqiB3bhwPB0UJqapN0vuvd9FsmJY4dlkPyJe0woiXlS1eNPXAAbpVhj1YWtPjY9rzB6qZOmBq +N87nOC4HeT49fBZoCd4iDbGDc0GK7OUoSPSXH5MDjeVUWz8J3v/sEeYeHlrGhJwBAfbAPz3Zk1s BqaJm1sLtwm6GOVyKL5R6Zaw1NCiAA7YneloO0Rx1itJvBYQdqysainc0g/SF2VXr1Vt1DlLYc7g rCYUZm2JpkWM/vEBCqAX8GrLwEnJOI4U21wUzhmPC2mE/JtfFLxBRQxN0fJI1HW39jJ5SOeOeSV6 SXgnmfjpuT1PYdpX+rIhb7Nz+7AGl+PA8AaumDbKrcrVrjgz6M7B63RBIlCMWPBMcsyNWBl4RdwI AwSqstW/DAAgP3MACEpYpHWXOjHwSr2H3ELzXOF6nB9gHFwvhbngAsKZDVxF0Z7wJVnf3HVbB2y+ a4WeU/eC3n/iYsI3lEL6cskI3XlH4tbkKHgQ/2l1UdB/VjfCXs4dUpF3UJBHigVRa0kkK5Qm2JJR lQvklpyRfepXmXKX8LuTzK2r367uZeNKUX6t02BSnM6KlMh3HvXGmgmt7xM7L3FoN6t5pnx0pFQv kxsa5E5am0rTg4I3+bu+fF80eUuotLrlRpf3OSN9FdoM04/6oX/f+31ElTg9T922sPoFeRSBw/SI EotqVlG8I706/VSl1tq6V9lkFyVrCP3VhbpbkwyWmkhutUl7gXfYIIAILgeTlQCJmfXi9RvNzuR4 8MyCNMuhRHcBWxjTohtgFxBGS/nf/7bQhp0r4cOee/rK9y14cNi/78PTzhY9LjLHE+deSv2jsMix IpCO2iZBzh4IqcH1Ed/QoWSJTC48+7yFeVYK2QKSenTyxUVkgM/RmYcHtNCsW1AefaOwb/l/OFeO 9mri6cEG9vVrHLHzqMk/5I0Zx1cm7vVPDSSl3qqUMGBmBpwzW+mYDzPN8p4ShkV271Mw+fROD/7w hOfiN67EuK2EvC6t3bxHBHPS4JbvF2Lgi/pDBVwR4YCVXxC3Uk4G0ibDXCcOZ5DKFppOJhgujeUp J7A3eaAtwepGWSFA7VZgpmmjQzw6lBzSORn8pMs9p4oCmCXh9HY+97YIabLXs0dKQB6V+aol0UR0 7hgzkyK/bEe0MmHuaxAKmylHBfEbtqQm0g6wgLV8L7GtglRYSlMJWZjRJzlo0yI6DGjyS+yntE8g rhr96S2FJ2YLbB6/LZ8+K2L6admXfPJZQ8pPm81b7vQOfWQs8g9uTXhOxbSlyv37oz/DxxWoXbqA SOFYo1vg3BW4tq1QHH152QO2c+egpmTeGkyg0SyXYTwcBS+LdKbfwPN85pjL2uLpUDe3OSTCjOLH PNLHosBN0UQJo6vh+4LPvsl2La8UXqhnLTWg7pNU/3xXwwU5/1s0oqeDVkK7p5KlzK6AcVeLztTR 9JLHLBmxg5f3hfhOFljObw8AHNKWx61WlkyU4GAUYZ4/AzGq9Utx4vntBlvvYVDlndyJ9OWQU27O B7GxwmzrMWV3IfeaQZcd1D4bqMSJvQ/3XPNzpYnGJRp6bBT209aBZJI2HeXyx6ki/GyjnIuBHWpR dcF7vgOypKHse58VhOShUGgZ/1KMbdECTBOSsQIlT3mrNmMw9dTGhFg27dQ1grEml1SQ5WGnJcxS FUu4OLBbv23rtf2ymiIcI5stcpaSu++dhvrIxLr4KiBEwz5b45Tbng0hunGxkTqYTMpzp6oZ94Ow GWqbstlXmC1C0GKyOAGrPMIBxYgBG3Ve9YAFE0wDSZ7PJDIr/6Lg/oVQjqH4FGbQ2C84u1QZnY32 JtVWpnfsGQOUkhXuGzDWxHgLdh72lxpcPGXuC7hmHuM/Z2LWaZ/Szy6z3qp6hqIXOfO2DdpR66By m/DK3mzOoNFmGUH9sgF/ExRwCtuD63RLZiIcOoYy/DybIzrPwV4EHDQ83VFn0wJ3IJoSosbN1Dqy G15yWIdw3gpxsiCmNZy9C6fBJmiLDpwPhmHQZtWX29llmJ7gnL7SlZdlYlIefQ/nI0xFt2t1ZSmj OHEDsPQ2mLarRVYuq5Q84pB4QadNA6uANx3ll4JRS51eXXPZR6mY7EQktKRNjGQnXKESbdYOi5yd +1/FxLydSFGh1HTX3iaj5HW5Ofh3Z4P3A0PLoo2ij9p5um1AFjxKZ/rdEepoSLWo5JYqNsV2pLDs rJnSz+3c56NCA6tRkgxaRJ9o5v05l9e6Xlssgjn9we1y/PFgBzet26KCQcyuOYfY3yHheFopi5/F qytHbB2Dxa5MhrGt8j+KfeWjuqtCH5tjk/4OrDHUKXZ8xD2HKTIceu33hmNQr4n0FlEQkbSmyeGr kO9jHh1pHBScgfg7hjQsyPE4ppY63FNtmV3faghjd8ajEjL33LLznpcsIyvosAm5RgKeGXMJmpTJ Z9dt747Tnmv8AJsEwrLgRbhUUCpz088TwIbSG5NcU7hJ4yIjsjCFl7PY1aVsTzfuAO8QiKQaRItv 0LBwI5Y2DbQ2/VeDOxAFn9ltIaIPH3mxagG8JGVDvOO7bSTRienovTGQOqhCBOYXGuaX2K+Izy18 zrPpPK4zJQRe80WD/cMHnNr1vXvqSnrAkx9V+Q57DBoFO0xdZ8Y+n5t984djBnCdRJNYijOpH4cj RobLPswMjTomeSiJiCtdKRfO+5u3FQBUfaL9UudjIyKT2/B/YiLwpm/pPZMc+hSpjM6iQQ0qKJOh 9tE+N5InHHbhWQmlcstoK/047ReYyiNjAgDLQWw4j0CB8o06JUuU+Sp85P7aeBw0kiqlwnyCq/ya 8tqa4UKQ/s6tLlxQxM60cuE2Jl25sIsvRDL74D/I9E+Mim8Z30p/oYtua8PYYiNY/MtF+pAE2OyD j7NfhJ9BxTFo5ot4aVXSatli1xN0Y6mk//T1xtpJblmy6LQ7rb/EX3bvam/QKtBQ/BYXpqz463fe ixSU/EP9boAZG3Kywq1Qb7vnOl5/H0bQ1rmAUA95lGPBMhgK8Dzw1mvw9Sbvv8MHPEVZxovsiGrt 4tfZgvXtdLr4bYaVnE+BLe7DyRsmsyaQDsIohoN7s+Z49FJYa++Av4SJfN7oZqDxy05JJIDWIiL6 gdeZBNSIV2YAFjG3zQw2VFZ63mZrkY50PU2sByHK8QaesNRdj/O2ULeHRWQcMRvH2lyRHRBTkb6m O4W21f5e5N7qHayHR1H0VjV3/S+GISHmfCBnSiNia2ikHfbnJ7GiG0fsijuNBCgk5RP2XfYeXLKp nKCXcMuKThZe6OG5SUjZU1yCWVasHlS5lJs4tp4ERlf38p5ptrftGVpKSlmxTGpl74KO/3aUjgql Vg2sflI76/lIOUUDFcXyselUDv7uO61R8Jk2e2SuRHXNbgekDxouw+49pSU72fviWgzKOmezc5Jw HtHkB39Ek/zulrFX7pT/s6/AC13MDwRnSmCDPM3n05qW35taS665bpFcv5yUywMy+tpMaGj5+62x qjLkvOlzbqbxove5KDGAYidP9eRl9dyAd2L7Ly1VmZC8ml9PQMtFKPJHCdZ4rp2ghlhdQG8AbX4A tj5AjnRQ+pqiftL5DkK2FCrOrrpeONgvgR0PkVkmJeRNfy4jAb9SLTgzp/kUvnZCLq6/HGrBVVcT 5AFHaJZ3wzYHDDRBYrjDkPJKuQ2QdE43z/OxcrG+cbDfPuclNVCv+KR0+ae7Pq8d1H4Scorap2I0 RTQESGEVFsFP8ZqSYUOR8lPEYPRqxUTkPzqF9o8M+Ht2ilxulAfoX4fpzd6G/pDsmNc37xLB4ldB lOmgrbwtb0nfws5QY+uk9OrZVY7el+3LDcg3L/rhxhOLuzXgOH5Inru3kc5I/4/rBatzHW7RrZiu gnw+n/tVW5OoD83sQPLSVW4jYdS1n4UJcM7F2nZ25wKd6BZ5eP6sPvjodeCHDxxzef+/Ugz9cUnr m7J5F0bcTSPPqkMpXwqdHCxDa5N0FB/jlTHqXitOnh4TRE6yJ1MsmQjYJEr1obKSn4EnnWacc6y4 PtVJlUPfq8d9d9Jel0OLir/XRdyEfTehVjfNeQeOo1VEg++nTeyhbSzrFD81/aEe2gnoPCttadJu 80pVmSTKdsEYp8Z1nqmJKSEGtll+nL7LhRd/AGYwSdFi6z7rVBiiUFtdIZXiTp1aOXwr6xwS2FSW 7yJKfet/UbhKLE7yrLgtUYJOaJzipbURh1KUXB+Men+enwvcv8YTxqp96+KE+wW4dwVaDynBazu5 xhnsUxq/KgrWd2AnUIslMGIKo0GYiGu5bzGXM7s69LrjQg3VqRZg1QkNE69uqFGBd9RnN1gZzUQC Ml7l1nBu8EzWRrkiWZrnnamRgTWbzm6oNl4dbilx9YW0+aUXlL2F0OfJVi94Ss3ONEfSmPkSGnHK Bg8qxHQ1z3RZ3ETxTUgXx6Bi5YqLhB4QFMlwdhTVivCm37/hXmDelVn8P8C1x+8qctHW5EAxIN9x FqIYNwvU1Jn4ejs1kxsAVhOAOXpj0UCGPiLDvcdy8eDcjdc4/efC/4CTJlsezuyB596ibHQP92IG XPK7ZzHoqz17EhePBFRXtT9yTiAnfiw01OXqXesYjqw9k7yN1/1QiO46evzguLRiVSJgALt/VXGM sy/RE1CJmrJncAzk6J84DMKPKnFeUlGJDhSJCfHrZ9+ndXIwYv6p908wXbe+mLB4FlNc6uVXi6T7 wefjcpEqRHYcYsR+8g+DVtltPuzSaSw4sgGlTJ2t8XDaGmSqe3ARmF83XaeuY6YaTChwVCfOYFj2 uM1kyCPTMwQ/dV1fFzi4pUzUs93MS6JigUADUAV7u8hd21yMA9dutYc3KR0Hwj9eseL293/H4cPW J3uDxDgtZHc5I0+x8JkUd5rl4QaGKrECjyN7q7shcJb4Vb5IrpjQw4VC4bs64hHUw05+rLKEIojn +AhLLGamPdmmNkA2Iq2WJ39sm+p1SLqa+vmgtRSgjgfUoZ2LgXx1sCDNj/AJolJ6Pvn6wgmwu07X GUwhfH5bne8dZUKDokDdB3wFONfr5yY/7qRe+c2TcujKTkU9H7EiYXD2/rIN/6+SUg6M8jIgzFpa b29W1mWCFxVX45XskaTXiiaO4KVUZOTqVfyLXG9ijHUkbQ+jna3b9O3TRo8mmLeFNvLSm/Y6OlWE uCJCWxcQgaUQZyZD+8O2H1l35icFEmM7HO08Wefi9ZLf1MZGTx0YRnx/BYj5bn6L+AS1TE/B1c6q N6KB2AxGNj4NCMh0Nt4AXlfBBtD4daFLYESP3TBFM0o74qDHEwAiSpTCj39qzPz3myVJYJXbBhYO dgRbhkO1iKVtYxNWCORQsXUc0yGa+VeGZmynI1IdWCTkx/i4Bl4PNQ492QNOq5q7soO/xxTlF9BA CQs+a6w+tcBBvauMu1xa9l1aDUD0jBRliR5F+NwdeW/oNVQao8VVnMwuU4qkY1x3iob0JgbvSRhf 4jHMdwMS/9HAINSfAZx4NzFdiuly1X/UaJ8RfDPAF955OPBiJdgbAAc3mChXAMUG7aAEXf+hB1Fh 7PRFClUj3GWvSEQOWWm55ezqAdO8E6S/NUMMQG12hvqbRwq0vu6pQIn4lmZi8VdM+7KBygcUrW4Y 3fyjRmAnF5KwztJkM81XfD+lu2asf0fUB0f/IiL5dNb5OlULXx/PCsgEBpeyPrMF8BZF8KEm+K/E H6XiJOFS1KLSnqPgZJ7hHo+2WAfaCtvI1gSVNPds/Mzth55X2uFKHODN3iBJI3HyJe1ZRZXek0mc zb7Z8Htd8Q4Hkv23tOuxXi561hxinrZT5wStbT0LTBB6tKTX0M6qFSrmG7LzQHCe8wANs5vuZiRX Oboyk1Fk3yr84z9zQKNZM+9YcBDKxVZTqdSd6UB9kfJUE3xY8yLhwYpstjtOdntpamUSx6br3NSW uE93FAxAATKss4hl7CPLS2F95rl/zYZ3fnYz9REaJgx3F8EOUlo55r7C77r54+fnraT7+u1NUJO4 /6jZtHru3Y7aByUjl4LXfSTBjvnwyGAttS+zmMtaMzIsV5IqcXxL3XiP1Ak2+wQnqYi9hayxkHnv jso/avHOZZdv2+x74U3/LZR75+g1Yu6gSDhGdif2SwL85RTre3fH2ChH3IAUyZBDxM1PAm5Y8CcP U/lo6mZ1PWQ4eODNchFZwqTnnY/0V4hxzYDbQyGjXigFJbH9CK/0mkhWafeRIFW/OurSqB341rGf Yg1h82nzptaR6GjsqrM9SvxsdNMU6rwwE7JYWmvtr2lfSVvI8eMScPqpwboHQHdhg52ifzo58O+X TBaX8pR601gHCTL7PiIsMYT9F47kKb5tWzxRNzdnJrndZY9XjmM/r0K6LwEnGpZsBU27L4driQhO VH5f52mzK33flP/PDmrObA84OIQD3KjktT1QMUzEq4DGSStPwyapECjHo13XRf1mburnaUBOxaTX 3fzasJbQ1xQY8lqyvymDwxDzPhTCMyHvWP49a4ZqEeO+1JTB5cq4qKd9WCrpf1c5NmGOxh5/nktE JYyDxHTHZHoAf/KTy88QCnMUx4svAkrSdBgg6Gow3b2aUDyyYrIbKjHAfSW+B/1aiB5LVn5iCS0E InuOXoKptZd5yT0cebspr7wQ+darJ1+F93BmtxYK1c96g74vBf53QgumjknRrG2ArvSj9WGd/Dk3 ScRH7YUG0BgmFAX+w46xDaPwhBah762KtOpx5KdqZ9fK+J/g3bk1dNiRLvLIKdWzuETbkUMKq9M3 fSoD0QE9rSYLM8q0Zm3CX3X94GUeLE/SD4rR/Jfn5O8vtj4KoWt6+ePKB5GDPpMnVgTTtKdV+GtM YHvDxItFmYwlbgPPnv/aR2AYhkP+TUcdj1zHBaZ2ueeC0p4bQCwxr+oCI7KKwiCl4yG05xjPbso2 6VDHTBg4BAtJZJLrsWUfcpGV7rZTPexb1aH7JkDJIWsnl6pJnpGvnLKgK810yIfj2EV+IulWlndy Yym6aTLwjtVIwXqQ8N0EzMUy8yWNo0Ivg+U7Tk6yJwP9Tu892mdycZI3M/IeUwWH//hvG8PF6m+d a5RDK6RsnocDa9b8NFjhCQbDX7XDNQkQjFOfJj1e0lUqjIGSWa+O+toantUSVSEiWFa1EBqXScMp 9CseWrMyIiUZWS8kkpgk1CszNlVG23PEBGdf88WpVj4Wnb49SbPW1saQZs2jVUZp4o1Zu3WDjW90 TncE8feK5SdgJpx/28EQ2KpCvVICInXmA0qmItTE4ytIi3TIwDW0MjqSKASnMm81IFDfdq6tbjkq JUjuNY23G3y2JY2nB4T4xZZkkHQ/4/1Qi/CEjZuQ5Uwv1WyPBMCMCxYUuANUPNqYqVFyOOvkN6DY BO5bPEhjr3evZC0BwBdf9YKHdGva4QKYuvRFoiZ1RM5Uve0KB0C1TQ4RkNQ4MSPb4PsJdlENE0++ 3W1OKzMtUPLOM66NpwpGvSoy4roYi79QRs2iFyhLEHUwPCBAaMXir7L8jj+Uju2dMCQ/tjDvGb9n kCKslyHbKgguxvEBTZJxgUqh+ZheUTz5bllA8b6+vxUjkD3Zd82whKA4J8deJI+jGbks1EXX/p1h eHoBsQvhl2825wRqBq+u4FKZQo5Frqg7kjvaF+7u38zM2Avvw52ZzF79z8PIqEvdoVCUfx+xR3SE cLIzQUpMYE89sxD/0y/A74HmM25q4Qb6F5y2iW+wDQA/jOu1oiIWJW/UZZeo8zPf0fIoQSNNVfWD tNvaGcKailen/l+ORwrFg3DDiMNc5+Ook+CafMEmbnHTIQsI0WVRKMJrzdl/U4+Eg8I0XwrSaBRi t67hY9Wb+8UaQJEH4ltMKuYt1PVB9BNlbsJTXHGc5j/aue+ojC8lKtcAI89K8PXbLSRRTfb5Fp+j 3hjt+RMO+1tLJDx6yIftCmG5e0JDPnUXh/ROuktahRjBaSqmBmLYc9aNF6htOlbAm/kOBMiO60n9 EK/YVGsmuNC68b+EcpPciuThPAUqbXPEI/eREeICJK+z5eSHmv8hTESVaQdGpj6F5cHhB8x7Yl2/ gsZ2EXf8F2S8G/iVAjdkKGVNKLBWNs4okttlagdAlNJDpdXG0hEzXNvuD8MRSKL+iqwo/9Ttm/D5 ioD7r1offO5FWJU6JB2b28w/9nseaRNtoaGMczEM0xxkT7A9+mP1O7KvxNYP48F5uWtUtAYkWkBu wL6ck8WYP7oqfIkXIUPDQJkOsQdEuvLcLAI2otEPKeLJMmNmDNfdgL/lBw/L+7iaSVa8eow7tpQ6 iBy5woJ05ZKM0OzAos+WpI/ZB/F1yTHnmqeSdCcBxrqtuJqgeDhMPNeVwmJ4s4vIo36o+YOdVS30 Cb56xEZj2wmLIyOzftVkjaln9X41w4BK+scyBu9Uk/+61Z4tqdJhAnewjHinL8LDus8tyd8+d7aa ybV958qYRCl9wshzYz3bmFngQYKgJyNqZ/1yqgups/hFyfyaH8tzyPDqmhfP1CzN3lyHNL5Qc9Kd eqXhNandnsfTaVZU5zI2c6G6+l8LkV+nckdRp8AJYPaJaZEg31N0oXM9ScSV56NFun20DGrX8NoD by2LLA9F4AscywbElnwxBF4yDaoL6MSjA/iFRJ7FoxCK9hm9Ce+KUWwmdrND2H9EuYFQtME1fqes Ff9CRhntFpAvA/F+g8sqLgtWUGOrCUutaYmS/hgOk7TxMjTbu1Bv1myv8Y4csL6SRKOd0U6uHuJ3 od79Yay17/CxvHyVDUL4oJVbdvPEAU4h3ipOVtM2qaKd1sNn/hW84lispR2dxUATyjH1OmKTBjKh bKSv8qShd4ZvJjFHVDbKKd6Slxk51VLGY+qYypaXJVqa8Dbqgw16nLoeSPWtGbSQ+E4EwkRMI268 UQziXpfuzvqC1L198XIco+nFvjjh2tEdePnm8oPpae4VHnN8M3CRWFKB4Oh7N3vEms+jYPxEolqs OkQhUEPYAZVN4RdDi/NuwrrenLx8YSg44JIBpBVFrwOtJOfgSDnNrQFRUIXSItB/qVHY4jVNavV3 4A8xooD+9miYQv6ahlK6iRyw2DF1DgE8zd+cxTG46JjN5lJ2NgOKerkr03dy4d0kKpjNLDw5DUMx r+g9RiMrh3bbZpsw/apS9fruFrCRRKi7q0en2c/iV3cZXWfIrssGfhfPe3qD4IA7nTDrKEh/91pb 7PIRyuC+qnIvp3vvcBJ2qh3ltZWxp47HIqa1jH+jaYw406O7iEOC3Z8jvVdpYPx3fwtRTkyrgebQ rqf8bY5sGHrEgat08frKRZa4DbHv/SU/KRA9Tddhoj78ZGj8Prpnb9t+SuG1oEobkYwfdQ/gy8ET Qdyq/F5kRypQAwOKUZwgeBW7Xbr66LKbk/O0YNSn1Gs68UwAL5U84y3pkN3QKWtGnnYx6htYRQsl THgETQpTdPFZTnd5h7OSCl8rKsCWk4bATV1hEqOm+kGoUWz1OJaFAi8JsI5LD2wEo1y7ZfTgAj3c EPbnhGhyuFdDPlcL1Ccyg1/vQiX+yde8SSduov+WWOdGhAx9ehsU2H5l4HnfygW2WTlYDcM9Qbtx 1ytX3zoIRcUPSut/7aNJ17OHDpKiQ17SuanLaOglvk0jtny9v8kbFVVy+dlHNPbU7gDDbj1syQ9i rVo+wt0N4L+zmGpOEEd1QXcFmrdE52fgIDP0GZyt9HwisYEA9yvFDP/E1LY6k4tXntxcP0nfMcVs YlY7/mTJYi+hoHgvxczlh/c334PiCAxX1LvGXAWWDU3M4nJ0Hs6lhfzoAdr4c9tsDFvhHtVXoqAY weUN5ktzX9l17NWMadvWPrcjLvr95H3VT7005cSO6GTW4alaElLj2QaFqJR7pI6yGujmCUjko35B le+Iz0x8/G9aM9QAC7WQtJRqAaS/NDdKgJrSa0i4woZqbAKh10I8ywo7kZWvGa4JLrBnu5Qb971g ITRXz1E/eY/5120FygA/kNLlkDFlqmCy4YWvNqlkTV/JmQwjpy3KiGI3BEbyyiAzwdGSCf1uwGZQ nSvub5GMtu76HDyY1pynn6NMEK7cGJn5hc3app1gM/V7SCr3IRmB3MQnCbwy59+f5nR9Qp2CZ3jy 4prv4HmhH+cSJosApwG0BvsseXotPUIDgwpHbiU8SEPL9DvnHwkb3Xtenj2PsBeuDCQJw931+adi DBCdnvwPe0Wo1fNFnJTM2k47butXNLX2tGRtbnK55Fd4nqcvURPPxWaxDsiQ2AwLZeC/TIETA0gD NDWHi+za8A/1PTZsQx+t8CM3PJv/4tP0mQ7gxM0kMt68Z+0b99LHJXB3+30yp2aWU1bIQ2c1hSyu th5kr66ewi1+cxnoSG1Pb4YhhjlmkPoO2L+fIpt1J2r7jdZ+MAIP9OBNBS76cKV5z2Ng/uAdue7j q3F2k21taIEiK+ZFa68SoKNwwY6bRE1HSok90Occ/1qeLz7oZ0UlRH2ZoRKyLj/1KXgWWJ7kwahg IV2+v8b+NOWdrdKXR1F1TmqJA5jMYHq5jCl8IZ2ciM0SjaMpXWXtseIdMS/8neAYGnkNaJLh4PPF 0IpKkFRhe/Zu5ceI5JN4VIwSA4lP86vFy2crxd4Kckcaj3cY9ZTErf1HQoZKw3TgOth3TyZhjaON ARcF6qlOuhPtT8/XYtSTtyBMIvbtART3NJPUrCNHvCBiqxUaCAi7U2s0B8Ig/9K1ST+wU2Jn+alP 79I8/D8GNmCH32epoO4nWt09BcIruPorL194NTo4Vuu7DrCKluzNvyF7MgvkNPCLCBJ1iazTQHBl Fvox4esHEwE1dl/c7D4s2Q+82F3D0Z9dZVe87/aqcpzqIwOJYmo/u6EcPufEnONXSifqARFMjudw ZPtwUGR00H8sdqvFTHf0Y95lSJdYlipB2HugrowLpD81CFAJs+vQS3A4f3WKvbyGuPnDdLxahqMy UXED9TNO8gn333sz8Xkrm0Lk9AlQCMrQyCVM+IW4CIymsAkniOadFQx/nhYtrS+woTY91pH5G8Ii DB4+pAoT0PJMG7a6wpGDqVAL5BVAglW0nqB0ZzNv7fUU+qN0uAHy9i+rVOjxUmr6ty7zvwgHpDYG nrNCX3H1dIPhUrevJOZKZE8ymU/eMVl/7V2kqdyo4EtkSI8NRIck1L9ObPjWQf3Xe3ndvT9br/Wm ju3jjHQWpUWeZADSmEqKtSQ1VVo9mWlHck0Gbe3lZormqsCVh5kxF28kjweK4NME/+CP4n3pXOB6 sNs0VdokHjBjy0MkCHF14LZAnJZmNg5BZUCEqBTOnqBuXWTHI+2pEYzCH1Q5gdYbXWKyorAQV1R8 M6HI9z9yydUxzytQIn21Hom+X+h4KdlTXAUv+c7LyYPir9xlouvf6+6gaDSIxwmjiK2UdxHyx7Na VG+5tbROu4I/Zse4yGTM/AGjNyRRFXERPuORRY5sfahzFsuPQY6F7HQSrzyFlHq7agrIK0XqtgUO H796uP3Hva6Hc341jfsemW6nWTSn08NQ2aUVIdgSFywMSqd6ASZ7T9QhKidfz4n5X5U0ltj2skoO QSWJMhlZufnkSZiLFK6wbRTq10qafGh6HUc+Hf0AcgODg/Rtep9fHtTVOPKe9ut6GKmsMus9QXBK 9I0ot8CYeZsSfukgd9c1QDX12tRXDZx4mthUvR0jsh0BdWVZKdGt0ynkWVTr6/wwYg0qV1ublD3S j2ezjXdTm9JhOVkGdopsfQBIcUuf0VLQOLjtt35dr/f6bVZkdRjeoqQ5rkCUGzOkOk4kBwR/QE/2 yaNVIKoF/EHJEAfcNqWoJ3UcW5+UKooNuvOCgMRJsvjbEeBkg/cN7e45u/O2RI6BsYOHDwWcTeJA FhSQGvJjQ0rDPkZZomtq4278OhMcWd8F07WgVXYle3BPCDARfO5Qc8vBXV8J6dXjoBzBSBA+XaEx fZjRoAyG66J/E50CI7y9qSviUu9cGydTna5XmyPcKjjw+P88M6thQQEBmPElAsJffrOQMRpNI49m DOejyNno4IGgmtXEH7w1uYbmZjTwMaY4MlxfvnJe63NAsOapl7ocAATKHu3BbKKn7kw/2DKLzbAd X4jpSeQ8VIbpjdWg0imJSB2rL+EMuBZorBe4WC5mZbJ9fmMKuYUDy20cf92VKVCMilBh8YTPkb05 JucXezr7Qf1w3MKTRG5JzCba/bhLhCZm91rKplamC0r8HjPdUYqttEw5fnr8PImEdIfROR97a80b ur6NSt//uzNxW0Ya+IoZEVmaENZMSYzPJgIJWZCQTJtVcJYVCWnR+uipAnSy9C0Dsx0aziQWgpT5 bi2Q4/v46ng3rZ5gjZ41uRp0aDSEICPG1E6mAOXmkdGj2MnAVKBAY+ySvWoopVhQLZsiteqMYjAV q/YKsiJNcg1VoGqnaBR2+j4AjDxvQsD8J7c7sjWSJgzt7i8XUlUlVHh46l0JVb0VybiPidEVpiSV SX9zXvUaYkq6oJu7ApgOw2X2sC81OCuj1qNZJn3m2qKRnLNVnkEYcdFz2gsyKwBOU+Xd2VAHfWFz ORpIZTnWqEx6YYxYT0HcVtjDwndCdVltFZGN8WNaHKvtwzbEgYZCd8c7Z4O8YMTfFi5Lp4qU2cr5 oBMVHUIToTUaiPauHanBudVpcMotLnkK3Inkl2DqEmGmU8b3w/lCHiN2zf8y976+5U6dh5+uNRxr VFIvGptqRJN+eAjLMiztx1xV1rnB/41lImyff1qrZ5oh/v0X3MTGNDPc7mj+lYzpFeRVzMBoiuyS 4vJlYhAadk9XH/UPOASaYQXg0enAwDp+39k6NYH/xEQETOUJV0vdIhuCPDAh4jGvOE4SxnDzWBp4 pqnevq/7JljcEb5/Cn2XCN+oF7lPrugVJ5B0WdIrsPez5ZFxiNZOdwmk2/rk1nj5vN3USDdBJKIz NQvYRMUrnlPdVybRKyH21+WSp92whmBUnCMOPd2nfegypqozT/n67zUJv7lXmToTEah7XFPHWE4i rA8MAXU4BV9S//EwojRkNI/pk4ZRGrJMecLXXNnfR635IxOa+ktFl1FfkL/mC19D60Q8aXmL0qP2 y3jj/vefkYOpJwH1x+gxOMiitbgE0Ctmr8VX9FkpPIZmDeqkWJgTakH0TKIdXC8719UK+erf3PMQ 69ShQjoUQel3WYpSJUt2OctM2l2387F4SPBXfnIfACr6F87ssScXdpuQhyTew0bkBYsN/eAhezbe 181tgsPkmJRPwdZHt0TzlUY5QjqWN5bTyKcdA+aNPGNRoHhTyGqrAjZNzPjcP5NXaaqfuiXn0jID r0JAGg2SLZDIhMA3/SqLfFfjPniqnu31CULlagXDnXlyJORHIspG94/+9e7UKskT6++z5EP1UM7Y Fash7xEMbCxrZ25IAfABtbWQz46DgjwhE5/iSO/d6Kz3czETzlNMsNa6LdIXCM3rV6/mv0UjRaQz WqDM7WSSOA8/cKcN5LqqxzVhMxghWZfPXITlnAanVRNnXeWONepWQNysQ1pErk7DcV69NkxAlnbN kgCHkhpt3BMUTLL218JlwK4wGMonXlGmpg/H9hyxksdkEfRo6mdYvWktIEHPBakQkCI/zNh826O/ 4xbvhWs3bJJrAMbW/wDxC31Qf4a6x2MWtYdnQ7/vm+5wg4TrwTDJIo7umsuxB2FvdoA6UTtD5ml9 XMzBsm2LnHDYnAviytrDP9iJ4mlSJJIfK/ZaswuhqLFkAIHDQXwR38pI0WOEe1vwmL8htHX1SUOo 33gXlcqz56QXzt7h0xPmVEIwu8JpBFC8FEHovKM0YcrF7fBbAbKndaE9TmEqwBuF2zP7zQLDiDw5 +NkV4I1VC3dk9Pj+b4o59GS5/Z9JXdDGT4hGeK2mE0gHQT+xCU1dlMdfJqooItowXaK2cpa+1jyr SblGwULsPQNbohW4CH/cwHWMafCTG3hTHHQXSGkaPui8XcMmuOb3cjv0RISYWH/Boronzak0ktKr gUPs+pxcfIOcQLu331OB0igB9HQZZiWDozn1XEdsKwxvwRxdUzsiJ4wl+qOLy5cTYHRDLU7OY6iw PE7mSBL5VDV53P4XUddBInAUXe4Zvyij0+dWPDSbZeuS8BtHUfZ4pLC7gda72LFV3lA93JtU+ZHW +59ay8kzuBKif+IdeSmZS6S8ktf1sBeb7ShZzrrZZbfrxguV5yfItU0lnTrRZ6plMsxQtzdCgsWS OHYVbfXr4m1+etH3jFUxhIJbUqc1MIX7y+ZzA5msIYdiZMkKisHwHAJLuYDeUBAU4cbieauG89Mw yG0vGBnoQvJBtKF3otL3EKMljUFZWskZhYyv7CUt/Q1fhIBhyqwfJJMEY1Af8a48sm9mC/8MBH5M mN06+4QtU2VYqUN+hlKzAMCBh+DjB/2LDLWxVtIbFEYZom4ljctu+1uz65OC8GQWfjeIo7ZXOLZR n0UbIKlv/vufm5SHUiaIkEC/qqChHlFKp3D0WM/VR1H5C3z4QAbhr9c+JE41vg4uTY+JXHiDAAZf Jq0Qt9Ywh+7hQ/AMhh/ZBsx1dfzJ/v5MjAEwnDmY82T7CSAwyHNuhI28HeMAHTD0hE08Vmw6L8cd 3miEyIVD4UTEsUA8iOEHR9iwjOhclCa6cgg6oOfPFpBGoiCpkdZgwe2tDuLBpuiuaw/J+cH+k4Io BHTO4FozOHTN4isPDsF+1AM8aY/ef5vbpcfIeb3HVYKpwg3DPItvKsY78ehsNAdANYYLzS7cqbjA TErxc0zVXSS+Br082MUoMMChvUjHlixh7dRFoIo1M97q1BkAE0HfA+qmjDwO23jgGBmBOrqmWQLX lm3gqOXhGzJv5vlL63uUHWdqvw6Omru6iWfMfcSC/YpYwp9uZRzF+mJBL564AJA3kUSNZkJupYcQ TRvl7sYfsy56Ph4axO3LWyJglKDLWshC40rXC6jcKgA2qJU96Gdh98W4jpxX6wbgFaM7OcZA1SCu IMU1+V/7TmC8YdLcEK3RhyySo9ih+0j9BAwOpZf5AgnCQ7yCc/Ljn17s2dkb0nodWD3mGqtKNUN8 f2B0b9Gg/8sZTtIg5rml10hV6unh1g4s7nFOljiJ836Wq4W9c2KSqk0W3j5eLYTmP2RcOykOkvLs rsG5QvsIaUYtIHUjLp6daNTecbf9+WAeCV9ZYQ5x23kaTn/nnyL+DqP2vQHC90Wg0vlGRPqRZGk0 TPRIPMI95L0JynCeo7ar2VcHisfKW8k/HhJ05wRoJeNJrW32rqONGsoBVB1NjTjdrxoQgg0sp0tg kJtyijk8U/GpQdBOGgcXow6RrvudO5p+bgwyBWB/lf6u0FUe8I6VDsqxKuK75m6B+HEFATDFVhOY u1syQnkN0DeHNDI/b01kHrBriuvcbPE1WkM2vMG7Nxy/RXfZ2L1ywq+rYJZrWa7qOJAyqEbtFmwl 7oLrLdzt6bTy2QnrFYvKvXqN8tbeWusbK5L2jW104lG4iDNhoqc20FMtkR/TEQREerxofH3Q2mTD Eq097WdrDz2qdZ8z+xSMP396Xqgrv8orQ54T9WzStV9A2k9AY6tF+K4a7urTM/71a0W5lOH/0980 0w+eqtaWoLktlYHtKzBBb+mq0BBOI7uM+oOGjkYFXGIuxQBzHPxEJqTZBh5u+hdvRKY+5uZaJlOJ OQhJj+9NkJXmw4C/eVUyMAoTa8tIfbv7mcB8lodW7ud1Nevdu3UIouQkc6r2Pis3M+mnQHcSPimn kSrgf1Y8CQ/bkpIZJNxB9GtNeBFb0pMeyS4MUbJqXcuU4NGrnKShlcTbqqFC6j+fC2hOjKYldyVE BgE2HFDxZ4/XeK57K/oHm0ThnFYyKH5usnDJOee0/YfoMTt6ILB26Meu4xpA9Dg+NiI+BHeJ+xQH mIx01/3RNBUr0b1dFVoExuyJq51sZbumAvG0Olg6+p1CFJz4aqzQPAtKgj/mpgBZA/moJZN1L/AS Zu3dcQl6TOVMcaPTXyYp+idE7HJYwYClcfLzKGr4bcv2kj523YnF2Tg91P6kFLeY5b+7Kpfjccqx YTVFSlXgdv4bxh7190ch35KXIaPN33FTLfUWMZJmsn8YbSVFliuqf24QJJpUbpD1efMkclD3xVM6 rCBCV/HX0QczaN1iP3K1U5wMacGy/QnQCE9uM/GyRjOASKqJbuOIcuekCjWK8e8ArGOJFwh1SUw7 XUCcWAcx9Q4exKky/nv3EVt91LxulO3wB1h38iDeOUZVS8bem7VG6RPN1nwxcHhgRpfBa/Fn8XUc iCLDtubYf3tYIH094S6nj0FSiFxRQZdcqhqkELUClycPLfa0yX5BmJbAw/lQjQ206dQngPMVpSXD /NVzn63wIMDXK2/LMJoXR4lr4YtBafETxEN3DoV9y976W5PRzoIbIkfrSmLttFhljm2xxIw5yrzC TvTgwsE2ZyR2jC1W9cEIhBRpaaOJrZbXqgkPdKoTV7xZkylKm99V8t1hi7iE782lm1PIoF2/u0Cy aSep7ahoGXIxPgTAL7Il+/2kerkVx363z06dcVeGlfsiQ89lDjhwbaxEgyra7QnIUL5tpwSegeFc gPwTsOsv7m5LdLw6NU+DcdTtxsIxCLDkv37B2kq8RmgtIolP9ohIjwmqSbwE0jeJVBKYcJNIL0MH bvVFrgHhr4p6ExDmEloRAW+oiqD+zjqw+wrWpbTg4UiVdHIIwZjgzs4VkRa3kVeK2cmsW6wrXhcn XNbQHWpP6nhy25AG3SgWkNJkhZKd+iXGdqdGyb31NBfsckAZMnWbBBwa3rwNzYPBtuN/pPkbkncq MzpVFsiedftZbZ3KDy7nD0YD8kJ0XxJWqyU0qU10Bqhq/5tB3RR7juB/z/jKr6xrgvMxrrNOBdEn F2PJaFbDD7WLGaECUPnPHJ0NuAq+DCN4xH66rCUJQimEW1s10U5zlP8gE5zNhFLkvI6M0gLI/p9L KUQy7ZS60XErj65SHwcy9xwr/OOhZLiS0lwuLWqsPTAlXp8Uy/um+bgjHohDjdkjSMfl1U/ZWeFo qE9M21DDij1xOXevUyfWWQF3mhHK6jNXSVIpqhh+GmlNpLt4DIxWDTlkxIRFrJA8oLZQQqgUcdJf NSj4V3vnktmllasSdKedzdGgxlrlD4FsmD/j/z8jwXom09e3QoN6R6D8ZBXWs7/NmK5bU/MjYeJl 0Eg9vhKPyj9Ny8ZiGj+WbIbSl+3U5j9OgJzUCenn3noZRgy7P/ovSkCm5dF5S3oLcEjXIXH+ZCQ2 jkBNh5FV5+U90rWgqdnI8HtkvSdUlXJWU/qGXhRwSB4S5TnSy7Ce530nTvsY83G75Ih/YSRUIPCw +c52rEHXs0PCqjAboWkpeDVVsq0+T+nGw2gbA7ZevJ9EBezE9k0/zOmo4ymTOPBkNz5T3krrmCpb mc4ZNvVmIiwYwjFrdbeZUnYGFsbKYhHJFTcB+IHPTkDQdvsa4T4OVz/jQuk6pd2b5HwTxHCMtuR0 cVg02AL4K5HXGVJBlyAghGy015QnX2bWfJC5J2rMm7qDU6Xkqbb1XGibWXXYXU6tibrFPb3d/Jiw RXn/MQBx0x66HiCyvJ3nJhC9NIfdOciAvJVZNy2s+ORRVbnkVKS+AWexB21h+54kSUsP41HWfir4 +lIEAAwYZCOKDWHiIwtscj4EX1Do5l58b2OGodpkWdJYV/ZKUN81YAbehlZUQQQCCTCDRNgsQs9n tk4QkZmRqwieGn+Ntr/VFV7Lujwc/aR7fRe5yycN9azxiCbIRIkapryfU7woz+zsRjYa3sVKJ0yJ 37/if2mwTmEj+MW/myyxUUm2nTuwLTFmBpPmU96gNEVOwh7H37kbygVXhRWfjsX1PpkYHg0sJmcf +AiPFzHmIKAzKMwpU48WcjGXZMfZ0AnUH7mGuNnzKLTeKNLgljYvjU8z8bHx3h1IGuXT5XcG5Q/h TQguT4a5bRd4FFwItYScBY3LdOwmcc2Qg1laCoo1fOLUHLtA2Cmh416W0jm4rxMbYvX58x0hc/mE nzvjmEJnJO8pKU84irVVn0tvWvIs5tM7/x3383Il2tSS8cw3KtegYJiNSdbxPaLmG+5kFvgyjCGY PIB38DdwLdYRXB3UuFk4lpf18Km3rzWENKV+VRQZYC1fVQqVoGUfGkI0W9s0nvziTZ78n/Gc2aBg DE41ebpyWVA4S9fcg+v7eikb/49WAboqb5qckBQvj+P0xLJB6h+q1luLo8bHobtlRzCum0tOi8uC mNTWtEVKPnxexrTMbZfhU2veVHIzml6ica6POIp24fBdiGAgs2VxtU+fENMwQbJpHmbylQ/MwOxf 97ZCKgJxKjj2hxyKhacp4EQl13j07/6v76xrl1hjVYncIohAca02jehXTrM06zzYTF/UXzNGj9vr HnOh2joZOG93CLTbmCgkYJvT3bXst30jAdlilKHN5QgCJQDHoJgQqywzH0LJYp2d+CvZkK9eqXmx O5DBUPf7hww0DnfwvBNY9zgryCkwXhqiK60ShQvvq6c+aR05KkUqbk9Wcc+9PGPUFVWFWlQMYoBK 4L8FEgj2sUEnGmJhIXPuN+J7iUv4mOaXbLFj4BrQ4stpPh2yWkKFrrZRUUtgf1jDjIyIjqjYavxp 7MP9tFFuxuDJ/LmFMwM8nfhTdzjZ1CRpzJ14rPw4ojJXmtXqFD3s+8lBXj6LW9ae8BGCMMTr+vnZ LED9VUf6nKVUOOzObSHgBTz7F6JEmt9vh+R/TeslrOcbIvWRGit5TFc7qEp07c1AC6/v3OaCYSMb Fry4AOKXncx650bz3Veor2wwgarIe1X0EKG+7KlMRWYfqh/8c8SaH03eOlI3+JDUCzavkYF4Wvpz xKzaIIcB+MqsnrIWWbGm6SI62IM+dhnmTHpPtHQ7XAtt2z4pHTo0z6xabC4bx7T8uL4Xsk1XbCDs zLNJK4t4vcjElmHCThrG91XtV9Movgr8B66vMJ4cxM4mC2B4uudT9bADVxU2k44U7+hgcrFEa7F5 bE7Yg4T58RKOzUfWSV6v+K6qSB1+9U/OVnUTE/rrHC8JzWPbzS6EEocEfzKkdwpBxqzpMbj7Coq5 GC5+R9/jW+3Gk3wkR0VjDr51M9qhiJfCJ5QaEWtiUjBAOXtfinGpQqYdSIa0XLZsYnrYzEqqBgOa 6bxc//kNm5mXKY770nd2r+H5G+hIc94slovAf5sODc8dGckeD7HDov3WcwA6Bm0ev0dw6R7hdXpE 6wGfb3mK0gw+Otn8K/TVL3qmyWCcF8zJMaupatmvXBY7miDEZ6Sjqg/SgkF+Pq8rnNl/vM2lKh+W JcnxcIl6E0MNaWZ2qCsDXKAEnWpT96UuAXxBR8Si1gsvOiHQnuAufnCHggIa0tBOCH5IMF5jG4o1 +sNH1PjYRMHBOTLNYrKm503jypnGkyYt2+jKdDF2l4HYq3xoMsY3WJ1g0u7LOmVazoyu9qBGhhrT eFdIsxSI+gwkQK+sEJum+rG1P/3IeLaNK37SO2E0RFvy/WWXmJH0PEMFi8znk4fuODjS/ENquRq4 cYfGkHkh6gfxtyi6EBJyKIH0Q32my2LRI8bvhcN/h/RHrAEIzgH5AY7lkK62W06Tii61+zALZlpt hYvwadmkM2PW17UanCsxA4QiatHImK2MCuGri9fcqNLEuXvVH5LHUFRl5VQarE705iMcnhwqySDm iDoyVFB9xlpqc/GicifgfXtuJ6inLMXrnIFQgBPBEoXFpzOfukECZQn5ijAi/EywxrmvAB59xWFH HD/ofhqHTCHNEnlWupofT8p9n74LVRx2rrf9qX5jZcxSJK2qM7JczvY8uh6l4/TwIs8hKS5bdP+R UvTQIV/2Xe4qy3ZTKE5D92RmdoPsBJMblHpoD9WSDfViqp656xj7X6VK+UGSezTaTXkllAeMHbrH j1Q9tk6A7o3jWEsGtqZsi8mPnAHb5oQYIsIue2YD9gfrKobs2dvbN+NQexcfbOMGgUR4tvta4DVZ XMfD4wvt1Ier57lL8+x45slfUAuUeG8jcOe3GsHZkl6IcGEkbYyMg5u30nnb03aarQObf8TGKUG2 M+DLlEzfN0+Mfho15wRS+4rHYfXfs+M8GVEwhlw48NgNq2/8EGVfKNf2Y5d/GFPM0SByYTDHewea 3xCYtORLgIk1FJIMFXqnMkJcTtdjxvKcku4SubUWEU9tisRfimDwF78UqMVPAdpzxqGt0KMv+nJZ pfyFaraLYMtjPTLsJnKl7bFu19/mcKmL1oXcA7qOnFuDDusWy1Ryxcm1Qfdo69p5TE8hTFy6qcDd LSt6R4OABMxBiAE24OqphEr9sOYi8miEQSMQl9Y4V6+KMUOUxcGEbq+AC4AbQ8EmLyRP/npc4NHI o6auha3/xhltwNlj11dJFjdgXr14LzgDtLEFtP6TgrFeS7dox/0UTIlm8bWwT9lj/RwptJSuQoWK M9VIfx8fHZHmxxwp8UYKGVSH3qh02npaSpsgEbad84fppetJkRf1ABm0pErfx4XjIo58ZBijRXSM yOLPIp8wP0w/BUHpRgeSHlJIC6ZP4515fx1sbIGt9XcWzF2M13WueQGX4UKBs56Ee6nxuiyM+8Yw LxQr05cAp2G+FbpGlGLsPd4hwtaCFbaHdL/L6qPK7JpSVqN/0J9COY20EtpE4o0IYind4azwKaaz 8cozyaj0Ud24zRGGHDJt3xNs5ZmSc1r7PxId0Be1AWi4K1qKNW4vv3+69Hhd6uNxwO7yKE721iBF okXv8ufpNV6YAjFQ7oq/4BbepV7gUAq2kbMUOhApp1fIwBIYKi9mRi8ZuX2QhCFn0emOWP/BZ9kn naALEF0cdXuj7JLBvPFmUp0Qpu4I1jhD+ZrULwrlyKKyinb5hWh91KR/pNw/WPSPJEwmg+gyhd7W JsgFHHcOm534ecU/NON0+LUzNhbkiy8fdubylE6ghsNjOAfBqKfBmqLmSte3oXCHAgjdaG7LTRyM lvuZPWu7nGl81KC/5uGGTjf0KKdv/um9w9yzNDOkrdSuNouvBTwK+/89W/hEOuqFA6HiJ/D37jFu +Z7qbByxKghHSbjLbx/Wl6ESQm586a8od1m+fTNOWdpKVYx3oLYOWDd3mGsCVCdpCcrW+e5ww2K1 fHAUf2pn75x1nzha9TkvG8lobA8u8mkMQlGO6iHb5Jr+82pIRqGeu5K1vewGDVDGFIDsnkpqLibq /cBd1o8XOYP0KO30E9Su9LTqiDHOddTpDPlietv6D3DdbrpdkqJZZwlIkDahzYxADQ62E2xd4Lze mkv9Xu3vkvV07Mvz2RdUZQ/shzPi8prjMdkJRG8vu+mHeBIIVQt6RGvAeV7bUbfXqnP78lRXrAL5 zyeFFsbYH1ci2aoVlOcox70sSLIO1zb2wnQAEn0LUvJcrOA2hyiaiF1byExagkU+7z1N0fxhasko uMSLS5ajhmYyOBAfC+QdJ55/kucWwU5mUPIUpk3vlJ9PrBTgJehC3VGsBCsBDwhxK5coUXhFnlEC jmyYRtuED17x+UXbCYL5Fiomu762Dusnr7pvla99pqRRj+h+xeYetLR2dg4MrXwJmEni3jxjQJfO SL8V9QP19ZQIUhPmR97dBsBK/AqsVxng2m7WLENGAtBGIbh2I/b59ryAuVoaVOevEptDo77C41jV q030KmNiPkEm7kH3cpzluWwNJXDHhFIupu4gHj/Uj99oZous1MO6LpSiMtIkOKzJW7lwAPWxRH6p HVLGefLLzXEJV+Ev9HUX3/PW1cxFuGm0BlOcewymLYtJ9Vz4KG2RxHl+GYqZXmjX8vB9OeF2aP6g hoNc65BBHPi/Zirj+H/2Zx/eH2JUFDge/kcpeCnjJzSOIyCNGgjKkXBeJvRXd3ID/jiKI9xLKqo7 98cpA2lNt99x9tOrs6Uan6O5rbJdkqO9lwHe21uVPbnhbnhyO2ywl3V54WGVEuBVnpvvhlA1IYma G5SELPHwBiG/DCrW1kBS0OYE4e8aYWJawGpF4NvH3TFELp5vfBgTdCv5y22z3lYFB5ohVZiY65bc D0CB1oFodF89zFE9OwlkEe3frgS7sh0J9ZxElQ9qKTU74G/DHx1oFScE8cIJR+ucaWHEnvyVMVWb yKLeO9rLns2we3L8b3fawa/2J6V3WYb/HUoKbD7Kh/Un5kiS17V/9+k79fxt1+qdIZB+vUFlR88h pJwjolQprkQKLa6FoRhgnstjoGJpYQtjPAtFn1nMeJDMjdwFaeRkBY68jLR1mtyBBeN2bEjumH7g yvZbnVsOVzlCIa9Ou4TqkEm6BhRMb0TFdyJV29vnRp78nb9SozWPNbZSdLlyJLe72SVM9IbYXadO QKIPepOTFr8LAOVAu/wmPTGDLjyiUCsqPkiKPYnpzT++x2PZcTJ4t9Tr1X+qcw6NR7mVeMPFHlyf qq6lBIyJMgHkuAFWzbK/IiJgsbt3foiEgdkTged+6jytaGQZZfzvUljFOZYhMjpIVZLIE+HGBRDr ZLTqUMFeEBhcX29s2Ixkm6+hMPOdRCI6oCfNRX3bqf/YJMPkM+qHu8Cqw8vXWBdivjM8ASdLpJDr 00qMqBsqFPjFAyElhwyArKk7tMSNssMW+6WM7owLefwyTKf8TLCxNMpdK5DQjahfZtZRfwPDLbX6 tLWUmXZeDiBT4FhX+hsfLUOqYOB4QU6g+oJgmEZsDYq00rE+lj77uwCseLeIR8dR4gHksk7toNMw mm0xA9sB+bQ0e9wpNHVnxlcn6TaTOYljwq9SFWf28g1SG1xsXhhWhYNp962NUj2FXBLsTlUSktbn 1pIri/QWzjU6WJWpf6U8noFPTHfoKYsBnV5OquwR5VcLoqSwHO2kg1IY6/6yw0JbbDM0FE5G1rSF IMhEu9Z43YJ76F7pBeS6oFaWlyiqG32VXN2s5haAhtrVGeWP4ZEI96pgCMB+2wE/qvLoYjouFX6X yL0TnxFNn+KU7OI3T5hXZK6KHk4QMxX0k9qG3XWt8IFXQXWGuN5dgMzUSkzXFdVA4LZZykAGF2ha d9Cuue/3y9kecYYquBrXc1qSAMPkSRs365gS81l+2SAKY/3MpHgLhvl8Rb6qKUPUZ2kqu19+fu7F WHvlk+pC445hP8TzJN5Ukla+sKF5upt3paXY/e017a5MFvasSp2hKjwRUjwjao2goQceK60Vxmk+ K7Uvb/sPPR9GpTqawloWZgQy1QVV9Z0nMfuA1m5J0NxDYFFK6iXe6ZdtE4cJ9WffgeHUePkhwngH bE5mnqU4u2apKMkKFtDyXOl7jYOWdHMU3FeDFT+yd8rxap1XqIfrwM21mSnIE7X3jITLIYqJwUfG vewN8tmzAVFi296wHnMjJyIA3/IERJYcRyfSStSbk5wUJpsdU+0j0IHzmn94j0cXVWvRqHFVHGYV DlQ9h/c5jxycYbufVWkwea98aA/x0EE0geDeybFW7mSMAjtpOseutgdCac/prRhojWDjMsWq9gfM mUAH5CGxJhWkgtbFt5A4UFlZovAscs4+boSRAKJdl42NLk6pGDsDrtr5HGBC/93dVlBc7i8QKZ1d SU7vIDW0nLrDQqC2Iq5R6qK7rB4E6Q7H0oHWpUKOG0UWruIBboZn0LARYxteJ+gAn8eMWGmJ8fVz o0eA7nf4RaVXmCYwIIszUzHBI8CaJXtnv1Qb7v6nmJrln6P06AD52wi7rJ/U+Cwomb41JoPh5mag OSK+9OWmFrVL1SLOyGBpSzPaaXnqYjKOA/vURbdpJi1PWc2hd98rAmoIBhIxBvOfTxptRmst7hYW JJ+vFQB7pkftgBOSYNrwoBdz7H4FtGTTNdUyrc96QS3/zFIKEeKicjqfBVIWsB8xaTYoetvduN8n d/Po1HJbh2WjcegVZ9v96/FaEXlZys/3yV3Y6A6YIouE4ySL+TTe7zWYwKp2usWN3gipINW2n7c8 SeTmbAkqyph//Oshw35aJfkhxOeCjb7K+uW1VX1STd8st5V8yvC1jAuD27Z269zXkttxrPcdNZLn DtToTv9ucfsatS3ov7ZEjNvqtcgpLSTrmXyWp7aHSF2KtVRc2ToanblY4/qhnfrTIwIzT8aVT6QF geA+urssPkw0ZQgdS01n96soQrsbvM9UyV4G4FlLtRG2rFJLZ5FCLykVh1vbsGvf9tWQ3UMmhpsZ lBUQU8ssptcypLxQBnzSYz20iPRP705xKAgxrycw9Z0S0uEa82dY5pvjgakubTvZ7043Qzv+TSP3 Tlk5WJmK8jaw3Au/b5uffwiwcS4ZGq+0sCvrLgqAHKEnh/WfQZmmUs8/6ehs6VOY8urU7HI3TpXf Z1OTItxGai64tTeiB2OxtbBmSdSa21WcbiH407x8zdD616pARczwsCrCKjHW9QV9vE9OwFqSwYFF JtsLcxOSkspWbQb8X7v/aBtGg0bGeRsi+GjV+Df3iNdCn8DEPEePOdErsVU0o1kPwLjQhbsY+Pew 0Kgb8sKklFEcR7FNKhljijHizcY4HgU1q8MmkInupm+3Nd7Hgyp5SZcCBnliyZ07BgT+4hlJGiDW 2ASDrEGjr7PsgeQ87mLYDYZVVyX4zozRSR9SxV3fieSOCeQ+II8XplxdpCM8MHRm86V5lZ5r/8bc CLGO+FgeYpFCP8tLjzpeOE7x1b6l8CwQbrjp/m2vZV+wI4IOEgOAYkMnCP6mPRtNzxBGQ7JNY6d8 g2eG/EPO4oMInoj5rviyqNwgkfl65oDbdk5ABYRJ5TeGaVdXtFAsXCDk/aTJx6B8XxJEtIfsBj4l fBXr4+5Ho2gr+7+Jxn1iiZUlSSYXeKneGdKc5EzIN7CBQEDoCfVUQkuZaEieWTAteK22ySnCCoF7 Oaxn0I0OD3Kz1V+T1x3GtmXbPWjzMy3ZPduhfj7S67e+07Q6ijT5hIQrt66y3Z8ksCAibUlOmdr+ x08YP1IkidNyBqX8YBI+LGncMuzM+b88HSq2/nCSSal9bkNIPMn+LQ2QALvpn11QM5Z0plexQ+hJ iQ0Aj0R+BPJTfsZI1fvibgQPYYYdV+FGiANx9yXnqqqJjvSSX/j/QA7cCGRHjkSMe9QRA4EjFeqU +6QWLRjgIqYfK8RAq+/B3m3P0Vr3xLgeDgdNbQJ4USMG3TmYOMDhWcfqMRkhtmzhN4x8MwRd9tyE AGYB+xxa+ni1hm5sKYZLq3q1HIFfQ8LkNBFa4B6x4IwJMO7nDKKJYeqvXRZewTLSCa+NzqmvHsOJ 67SrNmsnqTXLfeZtXGCNrzyuQzMtJ5Hy+ZATxX9aj9UHGIXaFhFTErAHhOdtNT+MLnc5d+clAEAF XJFGOHBtn+WQk1hWHQLCEoaW75n09C6qZc/MpaQbbzyINAP/L6gJdHbsePfReQbVwDMQNLXruMcK lZgvEN/6y60hLVKAI6d9DpObQhIz+hFwqz4gT9PavRUhUsu4O9XonnqOl9cfLwQbCdIV++D9AtYc TjwDWZR5DwNnCAXztxfRkDobGKbOwC/TFvdv/cih1xrgcFWumA5JJN6YsTp1unCTSGI1gYtuMgtn M7oc5Q45SVKMHgywyD77iKevriy3AVTrKuClu5dnYSGTRp+KLiQJ0jhCJGyGOtVcTdG2ZsRDgNtZ bXP65ydBu2n4Q6TGbUIpqoXEoNitG4lTYSnFMSQ7drp6+W6eemncW5YLeE3VX3Cse9AH1u7feGpC ABBVMJSLxYxFFRBbgbMn2b0ozmuh79R6ggELDIjvRa2EjHF/it47Ts3DnjekJVtQWqZA8ffgOyba UlL7xiBfdSvZjGk+iA9JlbuakBzjf5hgZo1m/bU3jDFEmcf9iPVERvN0hBO43ltv9kzJ7fArrbdt LBvRTi6vBfxX/3eUfShMxQY73Uajaytz0UmCgMUGhX+RmeXmra6AkINNAcaN41ivOHmNmG7/E4t0 JgjnfyzuMP4M2eN5ABuWrpnCIdfAfA0qaM1SE7MHBmDZFOb2Nau1tarbr1ytnFbKP5rkrDcdFWh7 lS1eKlcugcyEU2H1e6dTZBJBx9GCHuwXiDC9EFSyh0APgnCsGTYtQFc0dBvoovh+hFb6Z1VjhDba 1hKS4A95CrC8lZ3IKB8y/joETmrgqUcKzYXpds+GwCoPLjzVA/VS2NhxsoOlmOeUml8DtRxQToYr PbJ55qahjBA6FVgS2Q4B1k2np5tCIKNCQjdZ8LxQoMiqYXSQTt2/AQfyUZaZ5yfX4gQLdyn8g4Ph Xl/rQADGQAgSDzvHt+zRIa8I5o+j3S+i7dPveKbN/SHrUtRLDjEsIoChdRgZ7Irkd79v9KvGZ8El EMmlpd1meoF6DtRtt+cpcLRcJWKCZe9IxyDSE9nih8a+7+XfuLHOaURkWz/FJN0i6BiR+6gbF+LY imSejiaac/WszNEECYSUVAXPXdRx3ngAHNwp5ehED2oW2UlHWdB7CzE+0vss8CBLDFcgPSDpzbtK /d0ZFG3THnoh4Y1vgTyyxVgzZZ4XGg1I4Dj1GvyEj/eHQW1XahMnuj9Im4mCKHMqr1CLWgdL1rcY 4KBGjfY55c370IgIF6scrkz7UM8yDcUwLRV4npXMLFFTDjVKWYKZU2eLQ9HnnCUAjr6yyGlBN4+Q VDVMHhRAlSFLb8BHU2l3p3h3TB/kItsIJAZdpiuct0mFuVTVnyOQ4IdfP7mHJnttdV2HB820HnG5 IqGq26cGJdJo1tbCPbBVh+z7H8/VnT3mxJjptU5jiP0+BWQVuj/ewC2nQ2fS8NyrGmEN19OupUIH OVqN4GqKqIAzCDZg/5RlIMCOcE4uNRgmW0IETFiZzk6gxRMA8mFtkUmnGVjdH6V4t4euGk0/SL8/ SSidWF2bG6AmsJuciMmphL1cLmUIYLYUujMUjZQexnt2bPuvmn9SRt6tHKWy67p7kaxjyd1u+hg4 h3TrLjM+IST4V6i73UrevD/UrR4yu2BjJJSILNPpbqZS0rnvshpK82r0QB3VZ4KyjCXJKtfncySf vcLQCryRpsdx2zV3PGoDLw8pf0F0G8f6+TaXDMg4n+qHB9vY6IxklaGfKN5iTXvgmFW43OFjuBRZ o+GathBSzfyvO7/YqJUXQvNdNdkwMmc/XYNAGMJwadV5oYFi8ppEoxdNwdP5i9oAeesWdEsvTcsw nAKBg/giI558RXYog5SKWe62HRgIVMUjgb8xNRyfM2+jaShDT/p4VIDzzEhuCnCi5LxuNhbzDtxs 2X0DDnLmd6IPosKUWspyyFsH6JdItR/fpoQBDsFzKbTk/N9gGcPUYhaY8OgnNmVerpuFwJM/6qwJ 8vsVo36YTfFdWMOnL8WpNsDV/eJU8TlEKHtPws0YAyC1rNtEcEiGzvZfKt0wPyXlb/tjYP3SAm2S soG8mjDm2vsbS+F1+4gRrkEZgi/24Fq2exfMAUkJPk36A4jO2DxxFvXvyEhk56DkBvAugJOzIQpf ajgSFcxNmqv7f86OufAn125YOQQMFlAFllJfvOLGcNOd+s80BgD5no5OvEyeV/mQhLG9IkPuMjST HnNXxmnbrVAkos91tVynIOL5eWevkBwAMNwmehdDf4dBVrgvUFQARfhdjj87FaT+qo4V7yPDzPdA SYlG1VGI5IgioHynTpWvlrR5KWAMi7OofAcxGh04B4vkMFbL/PVT+9LMZkIiUtpDmmv0MAUyC7Io mnPHyHYmNu2mT6MDv6vaoGtv+bv3Uv5OkTaXowC0YAajy4/RoGKN7EzV9JkkgqjQYR5MmENkKBNj wEyM9zGR3XW8bRQ8ueTepENn34TZxqcM8F0tLI8xmmyaX27se+Zg0JC44N/+AcMJyQ0XBQDLAp/Y 6N2gkjtn5E8TUV8x68qobGoXGuHsRqNxe2i0PkqDVPDdv6bCD4eOaLZqw2hibBg2oTGf1vOccuGG aoa/hcrRf+tNVrpG6UC5i7MzoiZ6EHoyuknzDU4/bEK4dg6z+vTbLJHBsLb4Vk8wfnqHxlGKdUHW EXODcrKp+y1i/x22PlafSWxt3RVps75aVGhQ+rRq71PoGvnXxD9d5CbX/QGUWVsWncNC6k+pAu0C ObmhKaobiisCuwWG3gGVRutmtb2cUFMLrEKTTPichyqh2VTnK6RVSkm8idiwtIgfIToIAs4GU2p3 MOc3u3V9lkqLSKE4uC1v4B8huFjiQLwe+UjtPC+8TZUtyN6HYE6i/Fa8QCeloX46P4LDPNYHZV36 dctDCbuFMFvqnktqmjGae3YGfMchqAovmOxGPqGizX+fpsHc4pzaxQ/WKKG/DIKyhcXZhIVSpSWD 4+bI4C9/oJyqb9Ls2QGPODpCHEFXQl2o53+kWw+0+w/mQept6SPoJ4UsXQrbgbYBJxAT4VEu105d IpJRCOftBH9ATYJKQIihI/tdfmOJ/TCLPct8chxetDO9tC/Ex4E29g6Qy52Q0D+4wE6mbAyI2NZB 4quEw2pTwlsjepQb+Icy6XfqZW0xJwfkZsL+vMd7T7jUQGu+u61+n+YuwMFlMO1Pbnjb3nsSRIWE 7+IpraGiZGJ4Q5+yM0dpKopj1RDXhZ++n1H+qJxQyagQXjzPJbeeaLfoQaHBoYnjqB/Wiaya/+II ZVOnv7NI1IbBEcKteBLej1qhXHUhQYTb27HqWuSxaA6HV507hHm693CS4xN2iSE7yqiyxoLTWERS 7mnOx0gK9L6+BQOsBKvUVHsBp/1UUJfMUkjmkEDESqa8yRFL/wkCNvqlIFUyD5n471uKkQfZ6btN pU4icddyZipbgDTDtewAkjZLTxuXfadVsvjdZrFOZi0h74sPiwh0KcILkhk3hwgMQeothexqLXST DpUJ26Yj6wmP0puZrUvW4QZJASJIEkgmQHj3YQw0WlFvQ+8mnF/tr/j/sLkc+FAcySioY6PtQ5nL cCRqZud+JK2kQskY+RdlxoxWopyS6923KqEApfeicwp2fvL6PLBSa/FnoGR9v5hztUAOhdEk6/f8 5Pa6QpdeDhHQ4Suige+yQqX5G/V7aVzITXh/1sK7LznARwWDi2BLPRQZb93YKcG6CP7KeJAkjJ1u jyv+OUYqa5ehA6FMnxA3ycIPhAyHDFwCnyjvjG9G215djPzCkhwPhbPJcZhxMnHEKDZZaPm0LZII g3prRvEGFR+A3rWBpG21HmOZ7wZkuLvD3Ys+IObiQ0GLSGFMzxfRXtyN0I6y86XfChVUckzGYIBf mzAKE17L7Hr1JXt+0mq7AXSdPamCWd/AoW1efUu88CFUMNwiqWt8X5kQ6o/ivaeiMpF1uEJS9b2/ 1JgpfqiXPF+pSpIogX4goQbkVBmmFQ5m723ANv6QXGlBwiiunziUzjSs8tgmEq4Lci4RdDNJW45W fz/NwuW89RHCa6U4LwJ0V1k/JjilWn8Qh22rQv/I3bL4E8BJi4sXWJkqVNUMSLxsuNDqK92GD7DL X5P+SgJNV2suzrjiy2HPvIt9xZvRGg63L0oTizOY2RvAeMeeRcv1B3r/GbFA2j4nWkWQvX3tcSNG zC5HzwI+qZnIxzAGfdkAOjFYcqBbXQbhyFYbrzWbTwqjQziK4fcF2pevBJqpqHJC4zBKscabKdei OR6XZKMJoLxSqyQj7VYLsy8/zxdwJQ5gibubAvZadZmuuSssHLcRIaALAC779P8kdQT6ed/MnYLi L/EO8BLShlKVLG7jGTGyuNRFR2A/H6QzyXoxFMb4f+dHvCXKNr2yesB57C0FusyQ6mTUp2zDbW/e LRVdCKqxGztQ5rBAYiEohbOXMhUol0o5i1WNvbkDHNuemKwauckjbIPrLes95D7kxjhjdjwManYX 3W1cN7nNLdtNJBfRjSWt4Ajea/r4PaOneS9kCKVJ+2APinseOLst8Mc+YvRFwah/o/gisDpY7sIh bzWz0mONN28TB60nBxqLgbN22iB+Ly4OFdUHSetmQ7CtG5anq+T06ZzwR7a8TDP6fhMWXElX3Zow MQEs/7EesE5CAtg6TV/VL9lELK1pjrDD6iytiEpN0R30Ay/HHb/LgXDgzsMfSj4LRq/j2OFSO989 FjfkUmVjW5fGp7GjmH6DWw93Lzm1/+0qE8yPF7vLXiBoYafGDmSgkNHQp7zGpSVor96iW+iLrZKS du3eETItuyHNuuSJ/sIxFAIHl9PdX1GKGN1qtyoFJpZxaEja/xuUS407AleNuzEjQ2G3YMQ0iwmN VPT83mItoVWm43V4r0l5uOnHfoXkBBnJcGW3/PIkk9XA2daHAbRRfwxyP3prlFdngiAHT3RHyzS4 XZeHfGI/xVeMwrdpECLHr4WCRlxADEZ0ZBcUKtxCtmbNcYtjPw3A78UT/A8oTbwLg6hiq+NHl6t/ fzenJzvhNJFe1c9NNAzN3d15pHoyMg9Fu2rpyhRW5K6rWW3VGmaYgRDknIdGUwdEzKYASvxV9jNz KK3gT1Lgm2jGsE93M6QZgXjdycJHyP8mtVH3Erq+DVvKRZfpbKn0MxGmkpfN6OsG3kywn0Ha0FnO OS/IFeslkh+ncEMzu2PuI2sLbNd+cRut27b4762KAuRypgN6m0j4tdSkU59J5fWwoojWEyR3UDqx Xw19XCx4zXMVyNvH6BqRhOGdmvLsl09HcDBgIPi8gw/lu0DZz5fFia15lCMefy1dKxOQw5/WdhMr 2NresbgkB8aHZUi3/kFcX22btBn+jz1JMmtDRq0Ibq9j3G/lVCV7KctTA+3Hpsldw2OToW1HVBAu KIaJn3OQ/o74v7Nuzs5UsUhcrPtMQ7SeES8iFLhuuVrlIyDorlxCB9L9qgm9+T/Z+8oy2tMVHWHF gG8MsSDMFWaTX4DxJnU4BeUEuMoBZgGl4VczAcAJC9rmJbcttxyv7sXIpLv6Kn/zIwmJYI2mBD6V BKC1uQn/l6hed2CyGJhCbhCCfkXwzpu5kCbtnQopZZkV/gYm24OPdzUOW/yGaMJJBmQ+LbL5DXyA 0vbyFSCYuBXGkjoz0NPbVFOLwP8nzZ0Hf1RUSuMSvq1WiB+DNXspodEot/N9B6k8WA3v00WlMTiB 0omJBzGOL0zn40xMEo7ZyNsMxOHVj0sHRqNEfAbLy0iQWtGxRBBGnCdltG2bgIKObx07/HtrNzb/ 0is/iBdPx8T1oC0bvDE8Bq64YsiACm/UCftBehVM4a/uvpChwOIqbldif4gVeTEBvhYIjegSwuRH mRJyklC0uBd3SaH6s2e9P9vg8dk6vXoBs1CQG46FgaCcq/4aJFOJrvvBUoC0q2iJEBgk9ELjWZJj p5hYGGPn/oY4dfHh5CmuEfC5hNQji4vaTqzKl04xJe4x6QP+G1gDSsxqi05C2KT5YMSverq7LXLC cfRU+07g2u1DPct5zBY7PtYYJjMhUbtrOFH2TgpOjMo3o2asN8QVpWtohdbpbMM+9Vn5MUBlG3kk uHfWks2spOHdLzjIhd621SMLFhg9jSuc4Mu+nOQgDcxwdOe1nt9icU4NDh0YA+VYeMhz7wcoPTAg mvN5iQ0Ft0rjed1BTB1qcLxGJlPtteb5wvr+bJ550kiOfpWpVUNPD++qu3ZAPUX0iu5HHzKtj6Nb 4FK7ZjY58lBpHd+JiPUwy/28iXt4c3cx/2VMzPh9Z2RMvaBPnw02B2a7Jafk8J7TIlMBP+GK3Zj6 rqbisfJqgB/fgw5QP5okOLLXC2pUYJQ+gsY505nQbkWdlXF5/F72bdQbQAisKZ7AqgNmxLlFtGCR 8nN/fFM8s/uBxephkzG+ukY8X5c468eM1nyaErZ5LT2y04CSHs7r4jeFnsoIZzo6xZc+4JjTupZ1 8eVYKyJ6Q4FYS+An/nhCcAny86/pIlXocJmmV7POicsxElBEUZW1JX3J+XcTp5jMxOWDF1cp99X6 d8+BZij9+e51UQdR/LKp05N7Z7jrwePR8LYGwY6VMx6RR537fmjrqTOhmisYTtOg3GUsmsf+mMDf YPAA4c2oB8WC1nMy0xA6DcWKlTn/va9HCIfNPxM5TH03jWU6glyMP9Rym/vbR63Fg4t2e4BHxMxd Z0msOLLvRHXAAz+Ak5rb1u4FIsPtHDVwi9FFY8OVKju+w9lrY1a26/8q9mkoc61wTrktxkBgVuNB iDKT9P9Sle6tIXIT6M13rxQJGudL0KOCqR2s9vgk3etMYtcDK8WZUM5QOYaDJqDVbW4TqZF8I3gt HCXtdMykuy+smmrNbTu0A1okJqz09+Q4j0u6j+YsqJKUOAovT8bXobpoxybA+jPsKZySE8nwrCaa xaSWoxFMAZqVzcUTY3prG8iJggdSnGkpQzK8i+GqayL2HqANOuLiYv9VSvYqJNBsOi3tjTJ14Wuo /Je6b8gSimmhFBjGgGwdg/tHhbc4RzHb3XZ0cG9dq8aOlk/Wcm8CxQtdd7P8/7n2lCt9XdMFgK1d Gsz0ManMf2rcb4npasfELUCvqR8YR55nz3PJiGcBPpoPVQ8VhwAwsTfIrw+isFx1hTn4ls/VCXSC NhHkFLf26LwO8DHbR59VaLWDFh93zTYDa31u9I8+8ubwATEEwUFVQowuxmQ2UEl69WJK9MrVQC0D c2nBskSLHBpezsCD49IhI17HDD/+aFNokOoc0ruDDZ3HFzOTUEIQaop8hsiHe9wkvsDOucclu4sl +NL8Y9pmtFCoBK7oesotPGnV+eiKnRQwrfbd2DGx9bd51hJonmWjPy4khtQwrhWV3x0MmzLSU4f6 APeuQ0DMbq3TrvvuQvuk7T1DfUu+YcVUvR1SUbRrgiiAHFNeVzUgel2RXMVIJZWGDINQPrwKFqkl 44dUIF766UDkCPtVGPSJumdMHf7lcjYF7EBkoHn5oWs1p9hyuI/CCmtgJW9cs0z45ZrZiP46vLHD 3ObGICfvRABzeWpEAIl+wAr0AoI0Ax6zmgCZ1E/yx77XkL5RPEbihEeVyMZ5ofOVpi5YL5kh/fht v8l59pYk+rkFhhQ+Fus+z+f8TrA1k8KFp7SC3YPceGu+EWAHEVjY+R14T87U+wz45PAHaWy+Pza1 6qzvUrymttUXGFySoXhIkKFoECMWwVn1MRuqlL8hTjzP3TTijCBGsygXeVpked/zxB3wfAe2mWEP yOOE0oQwOA2sAKxSgRbIAmPmV/oX0dTCvMVSOFYzNHeeSfCzFk7K5FVNZ+Yc6SPHC/uyexWnSP/J YWkXpK7mbgvTkbHWgD0WEvetul+XVc6SCoVVPqW+sfFKwShSfYybDrp0e/aaUCa0msez082gC1qi 4wac5NdeSbBWSR80EZiU4fICATm4t8kpHAKgaFrqp2sefyV7grFuKJ37y+woafP9TXG/uAIvIjNi KiV5QxgYgSRVOHLsOCjfB4Mov/9scclg0ZayPe8ya+Y7WPoLmGOY5OGzpv5zy0SK3edqRhwCEsCf cau67CIpV+ZskEuKwXJBI/3nuZOQLgsqRTGB/898t5UKc3VOzgwxczJFDk9Pnq3VHpOhe7l2lytx ojAU9aHEm2QHoCqqFg8nuV8cxyVv0fftGYMT0eCnvYzavAlHHvyJrAxnSJ0/QxAQBOodbKx/dE3N S1mK8N/Ew9CuwWxcGKv1qodZJbbJqMhgXxsooMacQQfu5UpWvvV2MH9mZ39MaO3A+F0mQOuwMb3p oC3KImHJK55wfYtVe68e562+2Pyjum2mk7Oe6SMlzNQK7q0e0TqCrlkpLveExE16DUzxYB0t4RbS XoPL9fxXqSIitomgJlkV5gvDJX65eqBgG0azMZkig7WxIOPaZxFpTKd9qD8ozvBGhi3T3WlGdSjF LgmsBm6IZm7/WMc63CdvTvkTrkfKyVllWZydkWI4oTXAqatmufU4mhXgt8815tb3fETjpnFflphA y5kEe9BLt/qeW7XiCYHP04XPWWZJ4vmyzmegxa6dqKmBejUnRYkcQHXM0FWGv6MSrk47EWaO/VAL UDcHCgQ3hace6aHZLkAzS8aKbcGvsvFTdjiJhHUCK6tH/1Exhm/xWZtKL13VKnXwmLX7DwMJtC0W KbZXtriLuhNnM5E1nescjzSkv1PXF5KSD4pwZExxatZeEAkWRn5ZWrkdzpqcCa0w8lJ759uTQNdf 7GO/iLKs1L+jvrTHR6VbNzu+2O8ygc8BOEGmGwljFihBMPp9IVJ3wWXl44sI/CQ13Gt1CnzYml00 ORsUcs1xW1xK1mrlRASM4D4lptxAVRHgt7W8DJmiHIujIFseiq1p/TSr3oR1rmF0ubUswuhwYo2V 5I5gzI2nCufkzSU+pgVKCFip+Eab6Z4MM8V1s64bGuQ0j34g9BQPLjci/WLN840vH6JTATtcuW/O mCY+vmUTeJCDRhCbkqwx5d+e6IOGKh7JsLMFZeCP0u4ED1A6mrZJtPEz6cK5x3zmccbJ+frVUUON sd17Ew725hIZIqNfhB+nZsq7hOfsy9yMOjx7bJ/eLhjWUOKQ7Bj2wntUYenGKg9iML1CCgcx3nKx K67Aoe+RoRnAnO9P/w9DR0kLx4txpWcc9mfZu4TDDklWUx2tjnmAEYDFXLpWhxBZU49Zo9IFMUeK RhcnLGJFOPbOdewOyRZb92myq8dz0K+46z50eLG0hMFiVbJ5jOuAhxujDn0aF6LdbuAcp4gEje3Y 91Wf1aQtG4laOWFELKNV+NpU2zuE2cbg0jOvzLW5l+MKcSSoYm7RDsJ4wIYmYbBE4FyrQo+pZvEA S5jcO3qV7AF8d6zXnF4QLkutiKExThmIu7N4dwXBfhHVMdbMnNjQ0F9sAyukqxaEU3QYkusjoSsa 1LY1z2FxkYAq0mzb+MU2bY1yxCmOvP0675VIID9feY4JGnOUxQWLPUsk5WGdJ65n0vX80YmAXbBZ kLboHkEY3YYota8GpZTBp9uOGmSdOiTyftOj7cGESbVQgr/SV0kXdYqTbuvX0gexxoiPYHPiS+Ii 3U5uiXvdIrviNSMTm5Wd86s4Nah5WCsWQKsHqF5eWWaOmvkWwH74Iptqxj9W3Nvm4D/54tuC7csa 6T6DABPp2777Fa7PsDp10lgl9KcfSsu0Osb4IWNdXYox3nIV6CoBEaFCx49RFQapKuUqRZTM42yj Ah2OISzrAAlyEnXFEBEnFMLPmZFb76gzAx/69VojAEqrSug9avmPz+/t5Qz9Dxy10sN07CD1pHtB dZNp/2+tRBNYq/ynDP4AWFxpFYJEtgY78Z5N17/VwKcFdl9Uzzfxdgt9wBcIu+AIZAihGbdkHDfI pUCouHPXe1p4yI5DK4tuePRX0XTcU860BeGoVlpjJQ/wFflTitxNW0EoSOfiiTToa9LT7RX5iUyx 4EqrTc5fXb6fkLKT9JJV0ofT4jBiAssI7jJO1z7PXVkgoKieuB835xUbjQZTQgtQlrc2fKMNdk9a 0CT5MOuIFPeaHtrqsFrnmmM6xgAShgenrJ5u73ft1+0zu77zG8tJAMP20uQB48GCWhuzYJLynA/b ejx7wEerygPUD3vlbWbAyILZ4b7FNKbzfuAYzkdBhMjfHIiHf+6H2ITvIDfDBOEPUoBmtuBaC4KN RzFn468noxwBF1eAwVQO0l3azvj2FF0mOgTv/NPBu05qirX0Z0RAMHUK7JCBFUuLuCq0aMNlOVGz cki9XjLoi3PxXHEve77wFSzTx7qHhcEcBS1PdQhFPXj5Rj8oawRJ+xynrc9e8oOuOMTiy3OBKaqJ 2O5nVj/z3THZUKk6afuen8nSo1s05EoroAqxXUQ+Wympk3s0ATZ92LRe6V29rh/Prk5KzSeyjZBT deIuhxzPOAJB7cpcpYwKjr1TrfYoaFtTqyBP0ABBXMQFWssqheGYHhdScHA+Gm8M9OdTisys3kyP MZLn0sBY91clfT4ZLItvYQfaHT3PBiAUltmMRBONIB6Sm1Ju2MCh/4BVNVZJ2mKARvcHvW8qrakT /7CCVXzsnAIppub333UImVnOJeUIKiZfaFJTQtjEv1Mi9T2Q3cMUgkqadiiXdhOhiDalYyvvBVhQ qSd74M7vkRBKCRDNV1cKcXj7Oo+sG9dh6Y1HwNjSP9Uw0BXySAaDLwUG4h9gLDqbeQ8iPHAcrJBg dILWu6fUzto/GuCAlsjissTgn2D5A+ZcZoOKEY29yArELO+T4OmVIIlvV7AP2AP1crEbC61FCH2h kOgk0aoOBxBtMJ4bbyRGTjSVnNiUET9pYOOm63wHEB6Tz3h9PCtScCU6fSC20+aI9J9mnm0qRQeJ ZigJhR+a+zu5pRkVNRALKGO5mwU5knpCWWtu6v0ypF+qrOQVzRIGWXBCv3qTQinmPCJExFOsIRak JkKIYY64+xkkJj13q+s4i22vO61hTrwluQ6F/B+e4Puq2hl0+6XC9ccS9Q0PSlZA7Mdo7QGZ49X5 nuy7wT8JzH/6R3LO7+RWUdEAL2L/SW4a36Atn88wLytpbfLEZQIVXZ2mkjU8RRlqDr/2n0pP/jcL 7v09Du1oWxb1iaK5CzpNLy3g5fy0j/DBz0T2zJ20PcUod9SoYUbmL0Nst+N14D5t8UuspSR+j6Ub ffqRmK5kv6G95e/f3bSfv6XqHaMe0h9MdUmFV1R/vJY8fu6mXHDlu758+Vbzzj52zadCCeV96nJm /oGB5Cfg9lce4vdbLq3lFPc1P1JUR1M5FOdr6Pzvu6OA8H9WomrVtg7Zv8bTSWw5OrqS0L55N6Y8 7v9RDUYt4Tz3+HpSSoO+cJ8KLYEMuIBGWYPwC+djjm9I5H49YX5BTomGfr7Hs1ui3P6qqwjg/Ds+ BZ+M+zY6KPOBbYbzIZDec+I/Xpr5TzoO/UjFE5jgg06bNOpIPh+Tx21of5CS6BqCMey07CCAEflZ yM1eyFJVeq97wkhMez9DNMuj/A/jlbm1ZAG5QfvnBv0055SuDUidPjm5JMjFFqoTXYovzDJoR7UT zo0UoMvsAqwJa/j2J2CwaXeLtE2wu5zK30NOR9Y8L8JOVExKqyW4ru5N6oNbtK9rovU8oLg8G7Va 4cdm3zbiskhaG3ziC9cU/swVFAGcG5cmC3nFBZ6Q/PHSIkckCnfz9O8YidT/gsXQ5326KfT1YEAy p9HvI+VAA/217PiFgJGhWOurLUSfC96Qap8kfvVmNc1Od7RGEV30pO1A6yvgQtpMVsOWUcBDDtej S8qsFuWpuFsqJa7iBUyFJ2unzmfONtITHmRNJ/FAqAbBxUYj3vgVkOGyZJiomNEAQcswt8mdvpYx AIM0DuId+wfx/h3l3IOHIuiB/EtZHz2HCn1kWj8jfXafbpGAqeqPZw3S+jTdfB8VL+gufFe+A42M MuskjE2W59Nx1Y68fZgSCseFTNBemItnIIcxTj+L1croypp6fCjxzeiw5ksMZmCsO/kGPphcAv0Q rHPJXKeKkTxhluPsCIX7TSPm/BcbL6KGr42+Sw3g0LSOu03MvUGa6ZjFL9MvulDFDDQx6jzIaMoZ 7WOB32oGMu3QTyYGWuLjC/ZxWIwR0S24qiMMEwFMiC/Rb6ywYtg8zp/fb8xghnkqXr7ze4ccUpcy 8JRUdNDpDA6l/W9ZmG+GmWQBYTp3bXIM71937xhYX8Ti5C6XSNgN4tSdhZ11sh2jk1sW/PYITvCl HDmUuPm02gwMVz2baaK33ey2i55mr4bH5nhQCvXVdufJg8uV6UYHdYzwHRUfGMofQmqnJtbD19fi //I4cQNZCd+LYyQfi46dB7O3+awuaPGB+unp51N2zQqJjC0z45z+UGV6BAo+wxZ5eDn4DugB71vy ynUVFZ1RBgZvYP054LkIeHu/CgAcF5FRmS56IOSc+AU8fV8bAFeKI36eE2h2YskVdM7etMQ79i0S kld2onR0wfyfGrKhfzLbG69ijs9lXrNK4oC2Fs9dygyKr2Od+JzTV+AZrpD5YhLWccg6H2wXdRCq 4XtAf2IPEG5fIvZYxP25ma8aZmmqVajnfQJjkDoskd62pv4hW15VYeEH1ppnKiitswp7UiTUj0fh K/R2/435iuF4GesrKFIYGIKvjNoAVEUQtZD55sGAsNaltsges10WyBrk+en/jP3fSvAYQ2lmug79 2FlOpyaTKuPrXxGtGwvsiRRLDZ3e8RAudqyAPlhtdmJ+kybQUOtxXbHWkwAY0Yr3Yfp//xor1+Tp V3FWJeoCJTu6mQtRG9kKBIchKnIU2fLEPUmNEQKAQhdMrNcE7KJiOr75GiYlYmO72P8xTtuo76LJ 2OYaM0kBuo35stgPPfiaZGhVubVZwJEYXroRW2u5LeSLSJCXqt9zqBv2J+YReF95cL0VcfbWd88P 24vzFiu2fdzBvWM/NeS+5rWhBcNhy4zKWv/IUUn8XJp4pmAin9XlxXoEZJ+OuSxa0WaZRHTeJFVG Y5K9O6tPTOWfC+0QO/raxxuVryxurV7Z/tO5ICh4QdbFFkiVVLz/Mv5GIFIP/655ktVPaswst9gj M8KEef8Yy0wpD8y4fjoVUxaciKaNYfT8Qi5TMwB1qFfkJJOpGJT9S5vO/r56cJ97Q3e3be//MVLL lAH3k3JPcEirkrxDHNiYSh9oIKCS3GaF8r+AQVq2f9Ch8gK6xsTLTw3Vpnb37Ol24LqQqA9HphNT WLzkfjXOTmHUwSooq8Gfi8KGhz8onC7ZNFF6MnOSbL+yQca6pX9GJ+WcNbIm569xlqCdfLMba+Qz 3go1anFbcA4f87g7q3hBF1vrdBGLefHYZ9ix4Whxpl4GZccRKm1TwOJlpxPiCWQzAa1IZD66h8n/ Nof3k/q/A2UxZOGU+Rk1WsnAZ3bWLAqAql/aHHgEWSwlefz0riZrkZv3yd4Z5NxrKftt8k4y0/CW 6owWcaL6QLREko7e6H+kC5QwEBKHD8xz51nN6MWsP2fUIBtO9QGMI1jQf8HFjfDPwgUAGJvjP6Ee TMRMV7rviWNR0uk6BP+kNz86qpJb3YtVeNDi/g7pxYh/NX9qqzB0apgFNO5y5dNMUbYF4vGoF6JM GCDh9G0zk1chmP7QoNyhB9sE5sR2vf+Nj3joM5U/a3GNalnvBM8WjX37397uRUOhv2ySOMka86ua 3XttwS9tMp9LxheQPWrvJ8Mx2+4yO1RzHF0jrs0PQ17OGbsILsuH290rm87cNNdVBIEirepdrVSf +IN05xq8EpoMk8mKyU6tRxUHgvL7OPZrhmyWHKCEY+FZTQr0FgZT8Vrav83tDXQ+LxRev2gysKDu AHlXx0c33y3XMiC4UI4B0mUU/jRFKAykgnGwgVVHun9gLUMe/BJxucGYjS/tUrO/B3alLJWit5WR /CfvUmkcRaei7iBriBpzqozoEY/jejd9GxMSDd7Aa9p/b/FyOEUoJ506wQVGFaJPJV7atNwPZLdC l10EcyboGBAja8ZdydVLJNz5nJb3pAOUJzuEsel/9n2AaK+whyoecwpl+6c2r5pKZ0i3mP9z6eey clzURtZjs05BjvwEB82v9UItTDW5cb6Xfg/eBoAD/i4OdngE7gyF++oykup14q5Zz+Y88lSU9JQD yKJHoLX8/uW25RHP5DLY/O8x1lTFO1j0UCN6olVWCGSDPZUFuytqstfw36wbfcyj3U251fPMdwEr M06mov69cR57miFtKskVf341Yctz4tcHJPQG/u07PWZouG3t2lZ/1AcEsP1W3xLG5JPF6T3YB2UA FcQbBshKUOQAbBJEi/Ux45x9Uhqlh1pnqV8hdkFE4gYHDPSUFkrNziEv6xdf/KVJZgiBpDqOPTlF cTqOp3JlFLw3XUZp6Mp4snybwmMe19z+LZ5tdJUgqKoVmbZyS4PGRigcf74AJYd7Amg2qiepCmON LaSlEQMFNP9mdFhHTbJGC8hmSr6I1R9buzEmUcASkiH+TbDqpGP+Y6miWjabQko9DP/v6+P+PaUT COmbiU03uns1GCKWdEt967YvVk3Ynw+wPqIuGUK1Lt+94kE9rExIatp3t9DLMjNMoz4HqdgWscwt x4bG172VQzyes5wvlf9cyG62B8IwrkgympqJvp1kToYETQZWEMjfCwjOapr3fBm3gvN/ylMsnzOF VVhHMEfBP1axhHS9YnUpf6TpiItLxe822VDs8b5xNxBr3Y3iflF7PnRQRPhwewl1yWzbf4HftdC2 +8O0CVjBeAH2uV8xYBr8NpwOGiHwJupt2XQ6cLPmiIlmYjjrXs6o5vGPf9yem+dXLzHrXYsSydNe Kl8Pf9TCtkoIgDvjU+LGuHEXMXXYeEo1s7fPG2XI7Co3eJHSmQo6983xFHfVZtLh/jI+0QdyFSE6 uwk9yjwa3cus94AInV+gJwlU3KnZUbR/AWrgW70ySL6b9aSu/GMZ7D3PKj1q8McNBW24TT2Drs72 oI8rZd1PeLlkUNhFi2KuCZnMrKi3kNjPqPwo2w0GMUNiew+XxDBrRl5nzpgKjUZ/DXoQDY1l8UpM zc+FHoSyJ1xdHLqUcBa9Lb8YzkMn3bTIEhjcBTjAGZrDq3a4cxaTjfdbY7O3Wh7EgQzzzYhfh9pz bZ2620m4YlsZ2LH/UsYvOs4qMd201p5IsZyGqTyYJzR0aEuDk7tR+E89WtOz+1tjR3vikddWDYJB W9KOzOXCskbtUfF845Bhy8jpDUbTpNQ/p6I9ZC5XnF5zgrDM+Ycc8Sv69RgOUPqkd/C9+TfAGZXU Ouecp6V6JniFLpyj2D2YsonZLApurq0HUqsO1H/e8u44Crg3yk+ejO3bOLwXMl2aTgVXd+FTfykP qeMEdgNEri7w19/4rLOEHHf68VJDhjrBFh9AqgnTCAOZtMvOV5LKcegoz7hl3GJAulEtHWlNyr97 d2xsv/mNkgh60PY0xAUMWpvKzmW1WxuweXoeT66ttAP0TWs3bGORaGgWsAecjnVZ4ZnZdi2epP+b AL26AXJX16EiAOI72/nVg7JI4XVtYcC+uguGhg6APWqLX5BTrJHJSK/EPHOmMtViTIRGO4oKnVpP NzUUyEPWaUpKdHsJW33PDdjcb5ercnl2CXBjcODejX4r62s2Lfa2a9h1esUPEDJ7jA02t5eL+sKn w2VoyUOLB8UIP0g/blTg24hyeS7/RqV6Av1qHh974IvzXu7n3b2CCvQLc7H4IEgwzoHBs40sESX8 cXIcDiylkd3M7TW9Y+l1C9xXOGQvDSeysf7I418DrjyE6cEvJTx+sRfEKzY+gb6bUf16Xh9zfFlD hv3U2JdWpTmptzG197PbCCtbqh5uyD4fWGyELydWyTLRYJHgmZzeik7ysWeEyRLlr8TK5sKqsc/T 5AX5pLOF7g3AlvqM8QPC93byN9RW3yeTL5H4j1VzgFrNL7d6sga+KgEF/W8TgneKocp7+CkLfgf3 +EkwG+VOdZ6gbsDtHlfv7DH/ZmhaMBi/Nh6nRy8xosZ/nRNTpzp9KreEu7oNalJoC4H+EcDxQ8S0 1Tkgxces/sdcOLvygY23qyagPCYBhEP4ZoboE+JZwQYb++RChNSr9y3QFlFEGPf0UGV2jAcqmj3T bMKBmWGkAWI8FMhxjNCPx+x0/L6DFHAJFHQENGe2lzOQ74m9TrpgDvzKMbpFIorxAmwpqZsf9lUN ydeutVO7tsRcoy+szkuYlNQIoP4YSgVr1zRFOXDQgNtw4g8BylT+ZWIZvdPngO9jts/JTl1Af0VW KqkUWKZ+Uy0aw7fZ/Ha09oiqgP6Gw2vzN37GS7xIi5dsKIbSFhPPXfDbt0h3jubnHMDp+ouqBlZX cFqdz2ZF711Ho2zXjvkJcyFJCui610u6+JYtpMfnL3ECoGYOTnhDmN7150oKldQX0qd5k7lGB3sM 06p8lLb+QMxPpvuM7qvgSHTWOzbPUd7QANHuMPAv2QyljF3L7bU3eU5m/tEylJb/mxgB1gLLlO/e GQlW96dTiAH7eybXIWVShpM6nz500eKcjGI03LZNC8gXSXxroyYtPHbrSLhRluqVzVzyjUeH5piK Dtfn4j+c/T7IV4kIr1sCTe1L7Uctar5vPoXsKW+NCBb1f79TbVrkiWtkN7SqDTDXg1b3Q0ztSgrL LTu93q5/fDl5tlVn/hyJv0XXxzn3/5RGLvMp3EPlidhfA9dnNSRK+slXp44x+4yEty9z8DsmZcdL nKW7yUMxGpGHIQ062qZaOFXovtkjC0QnmVMGznfcBeyw+H32ZaduqYQ6xOjpy8+1mgRj0EqPAowK XwYfu/adTZwimbDFdJws2SNSq1DwpzlgHhKFOPcQiWrTHJ31Idl9SQRd9qa0Bv19fWxsiqn4SpQc x3ZPrLPT6UPRkvmeeHXv1QagbKJf17HBm4wD7+8aj7UluURlK74iYKVm8WYtqHAO7b+gGPkOwUyi Fcn0Jj/88Qjm7g6w4N3+whK9LGCY2YJKvcU+84XvFImkz29q0b7r70ytGHkeVqF4U6js45Acluu+ jscxKgchSBDUxeX/qiBeria0FGaHZXk3PAx9oVLWEFYa7oaxc2F78UOWkfTOCzcMtjgtuYMVLiPn cFT8TJuS7NkeDhzMKDJi5kB6OEDadLjI8Ebzcy9TLWM/dOoeWD/dv1PE7LfCVvRk2zvLVt3B6HlI HpiINhdCNWOdTE7Bw1ePPjEQHX5VCfpBF6SLbBr5bZddPIsAjfXPcaCnkdZHnph5XBf0H6gd1mrb QlMYhiI1XS4EYX0Gs9czs/m7aF0DnjoYNLZMesHvQGKpVFTc8I1fU/0xZl64ZB1s8rmEvwXRSpRh VRDq+wqdUmWKm7yg5gWcKOhsCY6WPJGv5mG3Z94ERyaIZNgGAqgOKjDr/2i8XCzsva2OSGUDjo1+ lGqHy0LA7T3mppJNx+m1GcYLW1vG+v+UVxpheSbPW3s1LD5ogabJehkTh49+jCf/Y77sGjwDVcV3 TPNyk7NfEpmPrvcgvyxr3cGZ9WO8out1DOlegO1Z+9tTgfv/e9zP3ZCKT4v10Yy7iYncs8ipvoZp +mWVYzopTMEABzBylpk6V1y9WFqAY5AN9DdYcBCLr/OXNeOGVDFKSFyw5qONcxsTLTAWLwKXwQWm w+hO/TXhSk86l5sqVcXgyczOi6UcDhmcGni1Z4yBHqlfBkIPNYwPGWduQVjX36hPGySg1g2Z6EHA KB1Xu7+iOL/i41i1BAwWE4mzPIcZLg5tNVXng7k3Nt+LoTcAqDIS5qXMWQfIlvOCfrAozHHmjovU khT6X4jRQp5+TDhasf0ouGIsNCuZ7Jsk3KB3kI7H/AMJ4JzVKV2mk+W5oYs6iOrXgY2TaCNsp9kd 3fQQ5KrEVhwvq6CW2wi8ytf9Xjp0OnghJvFwS/ZVBn+OxfF6acM1pMjqcbMCRyOvOcoBiyhQ+uPD 6kok1icYZsYwYquZAGXoLZ8L2/3JXIAd3ASRI2yabD4Cda9I7l7KfE9pDnlIr7X4uag69vhuDTIH YruJhCXZkRRQVAhj1rDBMvhxexRiEDcgHc8Dj4wvYBpyUmBkW6DVauh3uVEyq/AmxU59lDXoCHHI iWZ1WnJH3dk7xfhL3Y/iyjbvwBHPRwSIZOnM5rvFrju1fH9z4j6/ANS6bbA5hXGE1sIN8YUU9i2g jcPA20lC1Ie8dMWt7TnpxFjxYSOZ/o2ZnzJVm6vS+EQsoz9QfU/tGSQK+CKkqZJCR7J2L1HVsdhF 19xkqkiICZ1MHB9SQVy7Vodr4FXCqE4/+YfVNzcuauQMamQxStWFZbdxHaVmLZneUdud61mB1CwM oR78J2yjV5o/Yp9GLnn0VMg++TWVjN9a+Wy4q0PP6W7Oy6DQgQ0QBSbrhObBV0hi4TNXZEclKKcC UkLq0GYyuUlZbDkiIc3xemF0quR28fpvltCLGTlb43VWruDEnFWYGO+wePuEl4jdjsQ27NzQh7Xj zmfvQAN8tlOTvELy2vdlyOCI7pMgI9L/uL9TCQgnds1YHF/VoH+uI/B9HEuGN6adVurZzCnDD7VX 1Oe7YaCD58SL6X3Ecb0/D0M6oU4ub8BiecDkcazExnIFGiD3BUSFnq/+8bZqCg4unPRvDpmtzRFA ChZq0S+/ykaQKOVCOHIS24hlCh35v1iBN6xMgiWTrZ2/yV1PcOYE6dKJjwIHlq/CeulDWKG3wHzA 6f+PHvLqt2sdjFOPSPCFogIjjH7NEpK7D9ZyS7fEMQkIlz63uRRPZQIj9QzQqJ/YvvjJiyvmNLDt BnMOmUwoOZMr6PLcRCZyS7C5y2Sht2LRKrJgYkCWYIntkpiOYTRHShFITLJkGKQG5NtvsC3daheW 2CUIIdw5nNHTA8gZap5UOiXISXXoIIJQSE7BfvipGXXxPvSP92cHy8MMfI/aYKUAyQflf56XLfNC 07aSFc0XHZfvQ1Nds96w5GEWK6kvG+sB6JKsupBcrtyDWVy5xQROZbtDAVcoJI40OzbeqVYq+COX MVON3MuJxv7Bljhl2oQEyHX2p46ZL/XuX0DQbKqBw0SbMId9r8zlE/3z3CFrhqLV0S6vqPnRaSH4 3Y80dYIA3DqmgW2hnWsHgPahaSarnBIgzgnbrf8QII9qGz/3mQJ7EGxdM9eVbiBMrVHrL89HN34f 7NQATmaU4iSQodpYZbWtlRD6ubSAsJQcHVNgKZQTpz+RwHR3pmsPIQPKqjIn5aAtU7HCcEvuL6lG Qavigkpq9vpqYoL0LSRIPT1ntV6f7qpQj08uUpgR8krm1sDdZh0Wc5F6WHJJljUMUICf3c03levg sxiNSfiY8hKUhIDP0Akw4I1FhoC/5sIULR75JdzlXrEt3PP7WHSxfK+AHHkSooj+Fomm2u6ebKGK Tp64ULlX184ZbocNyXwjsjq/O3GEKIk/lkB49Aypiv1vsiaG0pUkMFRIJ30pVMSKf1Avf3itEKke 02DhS/VOg8B99QwxTZnj+kjTUOVKZRPqW+Qz2FdtWpp9bgWvUmjFDk/hvoU0cGv7gqC7wDloACUF F4NreFocRvLnoHueFLZumq4FvHd9k0rjo3lH2FG4GZDUTsVfsU/zGtr9XGddXDmxn5ezqBz8Un9a dRvQK4AzlEBIVNs1NGA0b0/NBtAzPvMLowsj2ci+ylocxLSnhUOX6w92S+HthIz91L76j5k+qfvt Pf+MX9z0tXEGMvl/wSWaWQbFgQo1sH1RVdF1StFM4tg1M1/nDgrj6hq9GEoxfT4gtZNn1MFwQewx OKBc9qhzIkJ8srLupGf6GZxgidBP2fa0zt7nm7lQ9cEDCWcZ/cLgfaTd1LsgLoeOUxqEmnA605Pu UI48aQqCg58dgalDGgwNT9jvOMA59Npg7iyv9kHDf2E1Hb4URIE4IbpN2+W0F8jWusf0KrEdt+tu kItWmMAhp/3Ftv3RYCzK16vBmQgL2lt3MqKhjrh/ftacIwnt07n/JHr2Db7iQrtE3T5i5hw0oMPd mj3z3vHuawEbgyi4gSuJx1K1iYpB0WDsJ9ZopiT5RsM4048h1X2J4D3B5D8A73ZHe/b5WvHnypqM Vx5BphCriApGl4uQHhxig5Q0In8JqvM3O4SrjlT2JP7vCfzn431xiRkJ42bGCu3Jip9Es6cbDVvt ORY4wSTo+Gq3nx2DvceYBo+Z/JhNbcutx9JsmooxIOH4t4YBgKhoyCYOSf+2rFi/3CUWh8tDsMAP PNcKvGVkavCws82240d4buyJSbE1UrYEvdv4SnwR7eHxSctR7jq1CKPHSBsStHuKLhNPoe4pcJ8Q IeIA9A7gOYch45Ydax3wcouoA/ICuRHPCuMfx64QW31JpNdnS62nQKgHfTHy0D2IxknZQQqAl/E4 JYVjda2jvQt1R1T91TKTTiRv/ciXPCNVnSWlWX82H7mzTkuJ2q8rzRgJZc2u2KqS7yZhnd5tjKEn o4AcpKvmk3KKRpAb21trT09s1MJ9uPspDs2/VwdpKLzJ6cbR9qOvzn6HRrAih1fHSIQQNO6BsuSV IUw06k42+d5CMRwU/9QanqhlOwUGGQEp83rNIHGX7W8jpTwiYywM9gJaEnugiUGbi7nm0hYPY0MW S2SJE8X0nOeDAREmLvrL27wjiA/F+uLcBjp16x18hIP32zMsC7JrZ1GBNhSPh+3orFisVZPX8C9P G9MiXcTpHKwIrRCr2xPMGzYnL05R5a8txKDRLUBAtfLy7BW9ageiD3LuELZT0MzP3fbFFIuGrvtt KpYmWAgWamHaUgMRrL9S5l0+0ur9AS/E2A6TwmSXZaEmmQ2Ok/4MEmJt/G+M/2X/GtVIO/JeALlZ U5uzW8zWi2hflL9F1EPG+c72FovUcD0YDBktdlor6ZT4Q1rSiT3PeOc/fE1LMM7H6/ZG70PIZKnV 4T0o5agoPJhdP4vywEMc03T8LqyFs4OaTne5biXZ/M6R+znF6L1OCOkgAER4NGz54a7gsmSn4u2a ze0fHC1lh5/TXZtwLpQ/YNbtOMVbR4L4puxQaSU9UxzGmam/CbpD64JFgQf0pgfORqt5so/VtWox W9+jiKffW46Ao6zEd6Wsi6EAxPrzo7drb5OBhJtxthVuW+uSpkPE28e+I9W+jl9g72XF01y/7tJI E+/w4P0kVauDZxjCThxbaF/bWhcLu2DLE8ZJuhyX4CWDLhlUOUbMTYqb8oz9xa6nKH3nDqoHTXEC NkkcWE3j3R2+w0BhjMxaNgZy5dQG2Xy93jEWSrbYgvGevOHMdVUwhD17I93905WryBebZ0QcyfU6 qbUnK1s8YPqnbDZ8lIeNkTGNq9IIe8S670arqR2z3WaFaUDjsnAQfjLiNZgJIs5ld+BM++Grc9uw 4E3wxFW+Lx22J9sdAPl6MUGh7qOCEyvuIR599TKGOmWMB4LH9VieNTzsNFu/MHmfaikWyQ9mSEvD BV7dj0Q0yEwhde+mv0JQ74rN6++87pgC7YLJX5oMTUOXLRbKAI4uKk7UKWkPxuP4GbMhtGIPS8Yv /20j2E04T3moipxN86kBrkFu4+368Lsdcn6oa/QiPKZSuqvJvOhXUwps3C+8LvOi1mOh2V0cVqJQ eYvNaDAJqIZTcOlhpBlr88Z+VvcDH2uKfoVn3dYLERFlLJZeCaC8GHa0TNkgRArCMID720L3AY0L qfqHXu9ZyZ/oLUJmi8Qnn3xCH+dI6knke/XZ6+8DUFtV/FM5I7aMwCbHcf4Qa4vZ9S7LBbwfQ9DC QcDwq2pld3h+JsFlmLhdaOkNofnj1mrdu5oq4EsvlQxQl5QRQIB2Aah9Sw1YBO0N0cXul8MKKIzg 5HEv1N89gXhI8AhFqWaBeKH5ZYGCgXnjWLbv4sG9jABkAZooMcveEupG2gPA8pkNh1A1Y/Q25rtq YwPJTi45fnG6hX0Z6o5tylz8fNvBwz/wHFjrKpISd//Sw+PNTDe9An/udOMCBODx6rbg/j9WY/Sy xS4Ppaym7i8z9Ls5VbFxcufQfFYZ5OWXqaiADonsEhb44G0QBEcmUdDCdX3d84K9vLPgcLpdITHC 7Cw0zOmKF7O1IFD5hS0RvfYmnmQ4VAR2sPHHVYBBT80WeZIznAvyxi1jDcvCeIoWz/JXtBhaaPl9 ffArDLJujP4e3R7lP0TR4YzEHGa7Pjcu35YETleJawvqNpMyvkIvj0yNvbDHoYgyMm87j+OSCEDz wy7tjH7p8eEuCVZ1o/ww81vmCo5SUy77+h34sIN1jgJB8Se4npKT4uYSRfF876XerpT+iS+tu2V6 bqjgGqFH+MCoTiUo1Mu/8nQ54E5NySz/JMc1aQnnhkONMFg3hRnDIPW5q50scs8wyy0Nq6HDHOdh Qw1F1O/r4Sqtnd8+5ROW6Px7M4awmeO02/AHTTD3n/YCqhMWda+4Ko5e5gpDwL37z17TBB5Su6BJ A6HxO6ZKzlCWV49LmYnbH5LXyEMxhCiwbQ2bFi9EJcfNUjHjQ6ycJpmUpOmJssOhZwlHkjQdhykA Q4HXBYGHZ8dKzVuhVV0cvM67MvaWhDuoU81mZWeJgud9xaxc1sU19fOIz4JCqWq61sTK9qvaFt42 FryZwwalu32y6Ybfx92YWbp20yKSd6LhxMLV5SqALLpJqdo+StEdSiYre2P5ReytQ/20aM2EjCWL lmrionlPK0ZRVPNy7cECQm8xsNUXZGzn5fC33JD3SLh2fbSzIji4vwHn8FMwhRcL1d/8bd2h0xbS 4A8T1rPF1jy46JTdHyyAQ3pOU1oJGb2y4O/oZDL4/f55aZYCLOkRReW12PjBooEUR5JJuLZg8Mph yVmwo9LrEE2uAhW8n3QoYVGJiRDxozMQHOABAlaSlhWjhHe2gkOhYfbh8PGV+cGVZ3oRi4lGKJHI LmQ6TRUDU15MbgP7nGKIvbKbM0Hlut4EY4iDMjrplEOrdni+GPvjTIutV2N4nECI32GLf8e/E79k H4oG85KTcX/wE9AMlA/++U6FBf+X8o2Se1cP0a9KbY5k14VxgxxMtol5oCwjq5qNH1SiL6kU5bSC fi2zyQcHLufTTTCcIDAl5UTTWgXb2aDhLBf9TL6gec2SBiEmOXwDm4WxZTQ53xX1eyCZxEZAiDRS /ZHLuxAEBiyruW16tKHEv//Y0rPKU6EZRc9R+xmsxH2OTIHizdCeER8hnrJexnQT2jAC5pltzUag 2ijHuLfdYQvEZvISFHDqgtEzQKhYMMRzI1PDVdoo9H0gl2GY/tzwyE2wAD4Mb/Kh2Qy4Etwqnyiw RbOtmNNevmiGldkXu371bdZRcJuxREt4nmBndYFGp/wifIloheafhh7zg9bKyw8ipkPQIdPIl3mA yZrgvoeGCPnRgjNkr5oZKWhjwC5cp7ID8wHcs0+r/pwD0j1D5//yir0kZbwE7R6ZKJ2z27Oo8igC lM7vG6/R1mZJ+brTn/5goVWfgwvGhVzlUgJ4R+tHeq0d/qJGy7HckHmNXNWK68rXGiJcLd0NSYD+ fV6JeHNwuMbTvMaePllGwyIFvKvbwzTSTXzlUxOE6/Obr/Ym2VsuwZqD2zRoE1GqUoWpy28dJHi6 hb5q1UiwOHSLaU73CVzV+ODYrJCrAuki0/hcT5TNzFFyofXR1Jx+AYnb1crnzkWMIxS/LlPv5vB5 L+ja4+M+1PLwB/WgnZMNKvm+GDx/azrCoEFrgPznjdrr0Xp1+R4jFl5MU7ERSSXxS9pXos/p2jrb YJbmS2Rxe1V+6os3h5lP0smp62gu6f8nrSobRBZ+rkKWq2VKI/mYVlfQuuagBuapotWyYplQAQJs HDjQWMvylpB+j5L+mqhRvfH2rGcNt0ND//GRjJPapzwSURgZcUCGSzvxTpInUQGSdwNM31AvLv3q hTRCjQ3zVDvd8yKtZagDgnMmtWQdnzJcT+TnaTGZmnp6vhV63m8m0yt8kuSvfQd/PrYjdayyMjmo I8R5N9GeAgXpZd+cBgkH7UXkmMJ5DXRiDNeLa5tSadbanRmUNTYyEWR793Rhcxlo/cPnzR/tkCD+ 8GDUExpqsXP0K1eaoyE2cmXldGFsznlcSDxf3tQ30JLNIccO6hT7d4DwcS8yONhzkmnIr2BmKzEm FFmoAuZY9ccd3n19akEh2MK2WRgzDXfybk1nSCl9JdmwiCFmJLCxWVQm1xboDUwwlObVyPMZbY5M 1MxAfQiRi2ilSOWFFYt682tn8UMlO172SCNNU7z3QjbCkr0wBVBNcLgC7m3PsCvUi9eVmTBQUA+u ixNRgnQgF2SLtH3mw4HP8OQ4rm0bRQ9o8ihK4kObVtE+6zUgqNFd9ZEtkBZrEaC3aE4fthjyO+2O MvC9Og5oAZ5XWluPckWpF/I3zdyW64gBgVmwAjbtIwEQiOGm4kviquZq6fL4uy04bIf3Mp/FzOaW Uyv18UnIYAbuUlWQGYKdRbtY+7mGMK7I5GNLQbY1VidQ+6oftRvGSVU6jvR2tVW49BSu2/cDbGL4 y1lqW3RtQLrAKtkWosjBmjWuRbmh8BfF2MQrvxMUIqSEBDqC2qOIsUW0/+VsgWhzymQ3LP1fBwH0 x5YH+0ZlwbF2VUS9JFagX1uMUstIYmgIOm2dSi84RLy2aNE92qsM1EpL21DYo/Yfz7jxxI+8EkPJ eIl1ulPY2Cpai1KltKe+GNC1U/BTLdB87BmrYZbIuGGm3B6AKMzWAkie8An16fV9XhhN4vbBp0Y8 416SmglqJchmOtf4+E1KUbA4Kj85lKTQuEEzf3N8JVidn1KDDH/PwdcWxwcKXMzeCvCDvd6SoQEj cPf38BqdJe+8c8hlfL422nobdg5lnhB0HddUDDcCjY5oQVZCkvjnOq4+GoZ/cipRmkrfTQGxJSbp CqaFqgSjmf4OgPaUFa5NPrpYJCRMkuloCVkI+etQZRcNKIUvj7H6yj3gp2vbCxRdIEYAdCXM/9dJ p/Iaief0UmT+86bvkV3RdVQ77YLm2JQlXKSST66kZCltqgWaS7xxk5iCH5hj1SMxHNHSn/Pn3xpa d/dRzKdybN1nue70BCUIgOtsG1UvZH405BlyzEinPbNX6Czo9ubT3ctod51iGwUwRhlanAPosh/h 3rslVDMGBfmDjVuBbDx/5aqMUjM5Me2Yurnk+Y5a4R4IT4QOj6LAi+XaUhsTx1sxlxOoABAO7EAi tZKDMiSSAgN1z8JWHN+QWtY82nnwowipCWoKFBtXDtacB0fXHngsQxWfCXfdoF+kIPgbcPLtUGUp 4inuy36FVBE0k8PCzoXeV9qZ2l1GereyA6cY59acVanm8gNc1HUoTcIPlGHYHZxkUlVJ8f2ghGQm BuPsoQ+r+rK8WSl4Qb2mOcFUm7UKSMXbtTOfn6pyanWeeWm11tYFzgrGjN22TYzmqBwc3pAlv/pp j4AAC4ts56t5WTrfoVLbrrbM5lpw1MPY4ImTjoJLvqsKb0hv8xcxx9XDoWbGP82a3jJhOxFoPQWr snualRcjxAR8n8Eli6IiI57v3RGB4le3EGQ53NJJqKeQ5WZ9FnKh1PRI7t26iX4GvH7cJ4EctCHO weYWgiUOIrT9b0E0OUbTnATDRmwb/Bctf5PCKBLlz7vC50L4m51MXGzmxMZ5C2IVWWVw1I5CgFaG TliFnn5fLm2BZOLYhLIr7CwI109nzXiVEKND+x7JOsHRcW9gLGuEympT6hCoxSn3Mi2UhfL8TEtM 8Ovvrb15z2SyW+KgwkuuDILxkF6Apx5CJ7rwvwZhhGbbsDkkApbE4aB7pAZbj7/F0aRNLwO3z6Rk 4VJYruzyZfqzAM0rngbef6cRQU6x9I2VZxI5nnivMHv1RpsY2drXvS2X4lOzAL6o7KVSLdo6V6LI 1NAiuTwbmf1lPOrytHTde2ZxFARXM3p0DvTAK11MUi6bMEgMugls5yV/PBW88CNd30BLXBfr+VMK R+08jneQr7TUjvxTX0M0EACTBNCyP9N2a/agRo6p3/pEsDdhNMjTvh+esm3R2MMKr29LSctacZuY jJ7kFCbIFW8XgDkusU7FY4DIQxqiKV7P04jdIPdkATEwwzIyoUnRwibq5W5wPX60pYU5wCQD3atW iIp570FBazEtvJDXiqJNXeIfmXa6g9FvAgHI9jMPTLzGhBV8uWFVsAwecoIBbvbt2Uesn5mJP2qj HKMqmZYC1kV/kH4DDrrQaTXTv+WHE8CBW0BcxMR27VXAzW6fOxaa/Ae3M+BLmdFQ4y0whoKW6B8z L3wLfFCw1PaLyrHGeUpABphzk6RKeHxD1+LgNlKn8KOBf0NqxCqs1Bubi2kbUw4ZuncC722jvhWX osrA0hAGou5aKzp8sufcgVQxfBHO7IcXeS2VKaBCvThz8sQidPlzKsD0m8dloWWNNTl5uVqzAEaW QkchErR23Qdk6ULFWpJzhzsvOqU6rDBVb5ABNcHUIkL6Noekkw05ygvn1Vji5MPr9GcBnhIvjQSG SBDHfdHP0vEIG6kPhXUeTtL3y/Jy9GTkIUJTCE1g0hMa4iobBEJQQVS34XEPNdDjHzrcZidv8o2K VCJOOBQMYp4crr3MDPooq9xwyOfaYl/iH+KsrsIylsqdP6A+Hk0Qjv/128vOcj1r2/eRx8xvYu1+ TicSyLWPIFT+MdphRx0fBpZs7itlEPJYCqO9TunkJkEi+zvwZ4WehSw+koaLpiwvumKyJEYaQACr 1iESpC5JKSwxGpnK5eW/hRjqsqY8NMPFgZGyQBTRTYOL/BmLit06J66XfoUiJtxN5IJyaFPW4jCG Q5vVgDrN+IpgcjHSfq++D9PVeZXQ16IVzlmnPwS1R1sC+HRlko3iJ+DS0EyU+hPxZ5eveFVHfoLr Cf2hweHnKhe4bVzlDdSGvCQgkJMAVBSljk6xAjs0N6isCSL2rEpT0791BrTASJt9lCnetfT9dNtC PX5Jpil6rjx5tXfYNqkW/tROsLrW54bXZm6jVk9QWvVAUapu24P3pv5x7PICgzxvZ/A/NzE/T0OC QOHzjNDmfI7Po6WkEDkMd4cH8b32kjpkDpKPiWBNaWZGadGd8JXb+AMxFeJj7MW6q2UOFWFFYpcZ voMiQUv1/WDotEXPKI1CMkFNqrQh1L9EhxeBaHG0N80rW4m2hy++JYZ5aKapKBcaak9io3IZpYIb QOKJzRXRThk7qtd0yPt3H1ITCiMcgnalc3rDQK/WX0ScwLFgEDbdyRoTxdPllrjfmKnKCSwAwYPr mUSm6kSgcTRfyem7OsrDvimsrSBjbo7WVZnR9gwqkE0aVLcTz8JUAEXNopLzMLqMoHZgesRGmTj/ jjxoZdGdi7nUo0Bup9TyKYojpAx3CSdrFX4pvr5hPAcLskPo79vBPjwJIZ1PH1duWbCGhAoDVVCF vD1rWt4OKP/mfv2Juy/czG2K3d+tt/p0RyslWwaCNqLE/nkDrPyGBjStLbd+XKOY/m3r48ziSDYo jztq/kYXyNuANepnlxfZ2xKWoBggP4EKentvcTM3m0Cq+XDIMjj3UWEMATKLLFUN7gj+Ym6kB4Vf sgvbZM4i9hNwlPQ/4AYNkQhRaFWWfO7VQg39Ze8SWyEVaVNOnXS54sXmkg+u3UF3V9soNEDrSCpi i5HuGHqaxyLr3GeH9B3wWs4i4DA7pS2Uu+JDTeJvBa+q8H4tk/TtiTtz/7AiCkQ1pL2RNuS0Vc1J /xBDaiywvS+MJciF4fZWK/hdR/v43ddWsYgDL/qdz+HpbY4xWhdk3eZzq4MDjEULq5ZBQAcQZUvl G23KBrcVXcvca4wOA+tN4yuE+bp4qWbGT25p1R21hI1VNcxS65I+WB8pioz1wDMlVEHAe1P1ggVe UgALcWoHLYCyrWGpIoetCAcEL8T3A2V73m46JJKsKMQN6iknOoWFVFLPVtd73KhMYsLc42F3XvY1 bLZmN2QPIhXHUfGdAeuPCBEYtnXsztEeeeaavI8E07/zMGa0EdpqwzKQymEEGlBbLG2Yl/HBoh04 botdFUSIQ+5pkdy1HWdAJwxy8LsNFHoTfegxInUTsu8R9dRZq15GRUKpo5D8TOKckFAdaD9E6duZ wTcGhjoAdCjDjc96b/Cjk9lM5i8mQnFwnXAWgwnv8cZf+JmsSdmWwYWQH3xrrIym1VXZsTmP8x4J WPm5koBehlLbtThntER6k9qlzXetrroo5PRiWqu5IBTCWL0EFuPELaZm9P6916um4aAZOBeI4zNe Ffc2acYeQmoJ9DuLm/H1P/8EiQQfp+Gk+NHI5AmwPISnj7heMU0JHRH/eJaxH63Ji64DK5nojs7Q 7tK0UB02jE1go86RXKb1CmwwLEAc6uD/ZN5osNVBQgq49EhYYdcTDCmScWqG+GEDve+nmAq3Ehzp 0dVJyfybEWsn54Osf8myAtInUwmGSirZOelAKAZRtz0k/L6ex8m8jczdB+/O20P9RtyKxjHqKn29 ct7OhDAwnC8rtQWQ+MsNWWGZJorUak2E/0mZJQdjkA+b99tcFSE5wQQXEnJVKSTT8oqW4ncg7GES v9F7Ta72/e0N/zjCptT6GCb6wlP8AfFcEAUA70tnfbNn2cZ9aoNTg4PeLQZGqcH8+6veg0lXzjtC VORatxzLRk/OnZFOKLJwdYh4cZK2WpL2bJtp+1digjF3zxu+I2WfSr/JoZdIQDvLih2J8QteOYfA bgjSUbRES3sfjrdMsmi50LZBIPJIDTIFSu0S32pvHgQXyQ6oggS1GxlK6bKBXNm3eN69Y1UEYf/F ZRHiX3Yekqs6NfFzDxsifMgB92rKeTjxmsIglhtvOddsePv1BSEKWAh5lu6DlPUJFl+oIxpolhrR 962K8OUP5mMC+BwCdTZmUTYecRkM7Kv7v1O27KjfPQKdKBv/tLkKZWFVCBCmgXqiPbU4FZfevGzg QPnljpoZIpPbzsO6RvRMNLzPENhN6OiILIGO5vOuqpaWOi/y+p9lgQCmOfjIWtdLDUdpDX90pjeF 7hm0v0hnw3lB8W+n+IKA5x0i1MoLXh3W/l7VqA33aQQCl8l+r3Cy8UkQPQFOOOdA5YhxeIXnA/Ix ANaHHl92neBUf9ZQF+9huVV4TfLiSzjhPGlXFuD07rxg3HNqVBpF2m7aZAmQRV9BgtGuBtfUpi95 JEnKZdKcqQYjlD6FknJnoPO8K8ZrYWPTGthbQmOEqqMF0yGmYRoMbAgNdBc0n1kdWkrqHzXkjvqg +VkJzJihwfw2XynFBaYK/RRPIrC/bck2SdNZbG4Rc8DFKJntZu59o3JYjWh7X7dNCzaWTEWgrvmY xtJgIE5E+BC0V/qRxQYgTdvkPCB59fjndGPT0+xjjU8A3JxZ1Md/niL94hISNUuGFSdg+MYM91uf vxloM2H0iK0Pw5Gx9nCJufQjWteJLsmgLBcMfxqFcussFd4OPY8sWqznZd80+hwIIy6QUSAZRzC4 xYNmiyvtX8cAnpjXOjb+ZsO/+2pJavuLjL1OFKYVtzDQIWZ+Q6ndSWxTnCK2zy6k3vBSOB5dmDv7 GyncJDzfTYFdMV4L35e8KlMAc8k7HbYG9Sm9z74qOIJlMvgY/aHAudns7mHojmAOBefzZ11aqXzh 448121+AQPkbPwSx5Vs87ep4LYcwva/kZg8LkOthampR0bPGIavYhFz0KFg3bEln+YkvmflLPTAe mkjK7ji9bx4QfGvJORlGIlzA/GsaEzW5alb3JO3vR51g3PyaR+sMvBbatjDSoTy9J2FPUHox80uv JuzlcPZAG0ZVxsPfljlB+eYy2sK5apagbhypCA07jYypNaEv6WvU9aJ3x072gGVIyL0ifrMRbg8P 2HPtzK+8sVym6ejX2Stp+qDFK1pqPgpds3dHF6o89bQUC1/0tn7Dv7obUJsaTaps9KLy9wMHKMtL ptZB2B/IgAgP9IPqTFmi0zVdmTCtUDg/h+/ioqYbYSwiHpStB7rCGJf4mKhBGdg2U/WADTkTItdX JdoQEYp3SMBzODLBQzJkXLos5S9vh1MLZM/+3dy2VjuYk5EA1hTuhIcHSrZtNoniBphQ0ayt0239 xlxOCNhPEUGRjoOEPMBM2l1Agjtf362T+S6mVmS6UyxpdtGOenwUFao2VUU3MDf1Ei5USlHclBLx RxJ/5SI9t4ZCTaJrj3rbZ3ebncRwIDFrzRht8Z9jvUWJRpUbXkt6oLyKMu9LU45jzLDSAnnEDOfa E0BSYzl6ttul7XRNXSkbDSb2diuFE33Pxw08F+xurLi4OAYRrFkxP1rIiz4+4xVenj5CDVQheDSP t5SZ2mH85gqeYLuweOFljFIIeO9dGoOwznR+zdJCKYM6h/Qf9l9eHbA5ysChu/G9fMfoytUI8NHf FaRlYPkfbeSw2eVzPGEL1Ja5P7qQBF6xa0GZ3FmKSqlx+PbkG7g9Qze85eMsAhg+YZiti5Wg+dja X3PdBb8hMZ2IyIHxL2mDciE1nDv2ZGU6YcAvGhXuKEE3N9pp+2uPjsct/tJKvUkpm2ZCs5/jQWQq 1s4C229CkA58+au2qsjhiFWhQseZeS7tYfwXuXWDCnhLhcuSNTtsEZPetnVG5LC7kzcr4chLU+tI 2Mz5NxhB2wkR/F0X9QxhXLlKb+nrT6E3Ster700G0NRYN3ZOuNZyLxVgnHdvESyrKluWCG1A6bth tOHNpV+kZWjixqhd3kH6CWM870Cxk9Ay0j8uK2COWhJ1wPHeX7ITLn0fs6L9lmbG8PmR8Ev4aycT sgYCqMogvahfuiWBuCIWSAWXaLZcc97GemekQOt4QRS4A/B7drRhQshjJGFt3LEDvfoCEPWro+mE wTH3uKKCZqJiOVv1zMn2k0SxqjGePVNAkLbaDYQb4mldy8FmKZIviB99IhfYQZLV+rHQOUCHYLoZ eVJEeLwn6Fjpqo56Gx3fuXDYP2MtACoQga1eHyXp6AfS7/yDmVJBKghXXMHs3w7TxJNrE0GV5o1c nukYdnnUvm5l3HmPZRPkxOqM2lG0zQd/yNTgqEiXfPQqHJo0q/3LHwxx0XtmFSOp1rC9Z5G8Mq9a JVKLPudk2tzRgruuuGdHSBuOOA/5O85JMMXNK4CjjWG2ghnOtjj/PW5gp7IMQy/btiDL9NXac36R 24lLJZCA/TPvjzZ1hQclH1qYPV88YYhcWCCvAwlhpuAWsqPUjEmI5L/zECsHFyQm+ns2KkoqRAW6 rHq+KFTfKvQANc5C9hMThvOgf/4dLGSpPtnCWlKtkD5KMUvHlkV95Z34P9XiW3czdQ7BNho+t82y kuyXGqF1nLtqro5wg/X3zGPntLl9VgLQ9Hng7Iql/wdtC3yx+j3EYxAgw+FobqFBhF7fpPe4tt/D ppeBbAEZ8lWzUWsSvYvIUhQU/yig5o7Zp7/gS3CmUuQFuEzuz1E5U6j5H6TWZZYxUgmKBYr2ptOu DxaUE00ryThFJ0KV+i6DAr9wHLANmriRoHHuvcH85xLpjpZLXG/3SvhADJXDfPaSX7AzaFNgvgQY 8vR2y0s+WBi+ITKkkk6smTFmWjmhXvUhdPg1hNKkPCH3MPU65oyGUYlcxmsX8/47Mm22itvlp9R2 zsMMsLIHcN8GtQHz8X+zoKPQDhqwbmbiqEa1Ysk9d8ZgyZ8mACvfDPp+U1hz2blqZiGEak2E19Rz mO8UU7gmJ+UORpjLUws/BBf1BzmRo8aZ7n3iRybWrzzpTt89aEVNl+3Onj4V9Upz/5N65MZ9ElmN vgE1s7fSVczAHiWc1vDlVTngVuLCUhJcRotX0CZLQP3WQ1gFb4EhOOFvncLXmZ6fPf6J+XCs9CLY hdmv9owCctnhFqPm7j66HcfXm9S/MuLQEHrxOuOBuyz9Jml1n1w4CXKHExLjgwyjBZMpi1W0obAj JVHFfOhbSOLAQheM++orZljWn63qrzl2bm2uS9KgP3QbcemJ76C/dGJHp0zhUxDQq/x/LGINbtaH 3Fof/ioOTw4DbQhtxpnjfwbTtQY6Qg8kUbtQkgVDg6rxSiv51Cp+Li1Lj62oQQg3aXhb68BViwZK 5q1lr5lch11Tn0ccfd/3sKFh9oYC34ms51J+PPyLDIIJd/9I8aK0G5NCSmsDVaNTs4QaqEQ/KCmi 1hGSZAxJYsfh5mrxiJAhI8RdJMEXdyC37AYRYIWXp8WoV2XiW/0O/jEc2WlZzd2R/e8XwgL02SJC S3TRlvD6bY2vyCdxpnCuYaSDxPxJQb0mwrvEQXLUQ5/uuXOAbpbIM8xXRUYLyMKvwMsyM0DOZpa6 LG4fl+gvgAawP+lSY6M4HfsqUGp+lbr5NypVtMX799hZa4uFR1lAzKMJN/0LqWZ8Yyf15VYz7SA6 TFXzc0h7CgX9NzNQ9Hfo450sZJr/bH6LplTBd3rZRLxD1m4BzYHW2Mf1/YknrwPw7NGR3Oe7e4Gz VfyDBgSdibyCaPsYOatuvTtsSD+qgPM19Ffgyku+DhtBQuOQZ7zen1LXa8HYZSMM7RgjQaf8sk04 O78NcSqbXyHhhodR3pRW7mzmgy9jZ1yWiX5odCNO0QQXWUxkdZ1BTqBg4km/54Jjl8tPrxUi3iqy 9V9PW9UhQIUfEc4FZucQNENQeu2mjzzC2qAOaED2P5xPkivGgwdF5V6ltsey0F3zu1YlW7luQXRz JQw/zPQvE4w5s1x6yAeqg+FtChZXi6Drs06Y2+eDI2IIQBaO+1/WH+S0jEwY/lTtfKjHFuLNV4Un dp+r/VTq/YzLSHqNF7wPuwLGUHy7/gJ002s0O+4hRHf+WHlFqC0Xm1o/gcXRaKTe0/awFMHbmoET m9FjzjiS4PKJuqON9NcbdA03DFyx8U7VB9dQx5Ug109pooRp+GCQBsV9nKIB2MhBGZf+TayuC6X2 zRehXtiIdbzSCNKkJs4kG8lyr/Y1O7Hprm4047gsxzCNV2t6ZI/hZBrsSVdwHhxb3oArKSNP9hwy 8NL2nAIQPC69VZxL+cm8SYMfXL4U/mjjzGSmrQEQFevFJ4xSXSQZW010/H30BOQlQHSAWCi+gbDG nUYgIdl9RsGcLeg7PDspywZgtCLufql89YL6vnPANBlkM6zEw0m2tvq5t18ajeO1scSAMMl4Z7DA o7B3b0Ykv3i5LSQmQRPXe8pIvyGNcBCfoWn6MogIkH+U1N0xZMsOf7QKBVJwfCVrz/vpprzHrTLP pugLx28BMhyCQS35biWDzBwzK808UgFTDQsM80Lq4Zt4VlVuZc+Uc/wfXil+7ECB6v7+3BSGw+75 gs1phJW20jwuSIktp629RFI3ZCJ990fcScDfgT4pZWT/pKI5FSpBNoeJ+/a3gKxHZceVcT9RryiX roxq9r79GdLfVLXuZPCFzIxNpc0JwPz9sfjUyNHrfl+++tSDgYDtFJs3Vu3TCH5tu69m3UXaPQh7 SjJ3SJZ97UI2mphzvTdZdhtgRppLXEfQyiOIRthaJ/2IXyAWISN06w9bYllk/nJnzcpB7udfahXH 2aJP6474wGqg8I6v/PjixOCDN4uGYbWgUCmiILfq/6zhUjGpNXdW3bP9zMgR0ZV8OAzfzkeTET85 bWO0hkX0cVSqXa+afrz2/SBOpPma5NDY6/s4GUQVibk0LVaqi1XHlMkzqIeHnmMDLP4cpsmO+gbq CGZjjEpFf/nTePhi0R9udGPMtVHRxJAQlotX+Mbu6pzPBcpuBR50jJ//OiazaDiCZ+xTo9SCQ4fr PwYMij2YZCBG5XVdqNmOgBlxMxwz5WBhf+Ba35X/FuxO39ZewTcgTnrXaWQN1iq+a0+sN/kZ3Aqz KMhOugyWukvZCj5kO4wZNcli/to56eCwrs5NdPHGJtZW7Pys8xfuJ3CJZ6Gd9N17+1Zr1g+j4pcG cLDXGNZNRgEzcy6mjIbZMWbkMTVaGnWBQHISxNp2zh+lrXRLYJXw4/8lW2dwriBGS2ZpvAm7wsgn XlwDsVyXZClm228BU+cuz1BH6HZmd9xGMuIv5qiXRSFvSgkSpLzAZD1g5iwtDbJUOwgDvfSRHeqr 1lxRWekT+k/8b/XM9cb0b0dh/3vO52xtQOIIGpNVnmIP+zQEsJTJItLfvzs5xSnMaUuld8vqmM2B GtC/vROPQ3mHoU+c6lb0nZC7VO35/oMR+uMxpBUiJUAFWLldMiOj1Sn9Anu7aJgLsbJ0fQNMD3Hm zYxLY3cQ1WVyI5R1gyyYilEC7H1n3OEhQhKSL05FuhGJFhHbqbQth3G6N/r7xbiUGRduOP/wIJmJ dwYRVHokWAK6vq2+cWQ/YCL0vX1PWPgDnQ+wPBFlASdrEz4UHZcvWB5kFgJQhLfKKKb7b8wZBO5b U2yZit7CSt7KZmTMbZ1JeZfgGs1zitlEQfWATc3rWTxrOzwApclzJxMPLsgyCIVARh7vFhvRtfdN cYYkk8Qj/KPikYfdwLg2r4H2hfuzwDmfV+Qgbp1prRgy9HSlCEerzOZr1zeXK0JBLcNChCfevILO c2+QDdAgvGWQUdDjU+qSNkGNGboNUtzdNUW4z1v8UBlHXza0WYGiAH0YD7cKB0+QEcDbM2WFcwok mI1nhgw+hgyLxYJaMUH3tAytvfKTNvNT0GZCdu2Am5oyNCyqCLBnWxB3DRn+eMM4e5ljws+67GXt cGzUNBdPC0rXQMGKab/R/K7q0oh2rQj48HLk8jgc+BPjz2YLF+S9bC4PqDHFI0fSh2vktXw89uIm Hf9FEXyWA3D0B+nNeb6m3QTRKyT+4Xk63Ds4YgQYVPFIdFnoWtCOS3zGdRjpON1Wmeryu4LstH1h mV3sqiBkUJafJ4jpIiswwk2z2jsd5fmVP4aIlLY/sQ92Ovp78RwLlBuRZFRIVqxx4MoHEiM7q2ch tJZqOnPyPf7ZI21W0zk9H/U4y63T/32hCZYSr1AJkbu0DFogjpLmv09ymnN+S6F2DW8gPRfqJqay TnvXmeQGUP4i0dlSu7rBFaVQMdtTSoiX7wfLEauDVrjPfmn2cUx9H6l/vm2rov5D94KbKWTl1fiy O2mBnqan424f2upfZGCnyyFHzAZhJzqbfOE5bDzqbuh1czDMtitlh3ZDyva08487AxVVD1nwUEJP vogbgCBCBTIOvYgIIVQQsECRm8iHw3eaONFv3CGGvJzj+x1ILtWbcTZoVSdAGy+bHUuN0qbMblSW KQR6OT0zEJrqwayFNjc8pB0PKEMwPuQqLhHtxOp3Tckg/lbhlzASshr4mzpnb7ajw6BqGyT7DPaw 3bm6P9Kmjk4Cz4Y8jaNy83Pk0zsPhnTH/Wfh4MbxaKX16rp5UKV7cnXS4hhoFASbe7yRgbb3x2Ex xTA1YAv6/3WJcYqL5d9EL348+sGyBwktHFXh2lqlVgjDDl4ctgjwhwgXH8GQ0B+JHiRQlHixTit0 cHFIrhmbbOaXDT7cEb0seB27jaj8txv/T6zqyfz6WNug118CWTdjug76KG/MM/n1o31ksM6AhdIh nQg8fCsrMPThzmQ/fET8/AlbY5FTdWbKJO+LpE636dljwbNPQxiifsy6Jdzn33fUk/MQiLPqyIpR nMEJwT0PLrLndI+E1W747DkIr7+59m/taFnepweNYZtaGBT5Nweab3A+jgofYm728RZDt4Bmm5Pe 8Om/2tplE+VIEpLOuYVQL18dqgKT/qtr0A7tckXSZIQmagzJV7ti1eiawBtPaMeKv7dJbIgiV3eV JREeKjyBqa9xbJYZ4kf5wBri+ZQESuHhIT1xYTHs0iPhWyx+iMMk0Ni5ezkMI5juWn4OycQZePgp yWNAR+SLzGOvde5+kIj0/Fuq0ouQw2kMyzdWvy+ZbliIeFqgDg9W/BlSsNqXt/1VNNIcamezeI68 JB255oi2bq/x3ry9QapmVrp1dj7K2pax1/b0cA9WwwZRJX6kow2OKYi9kzaj7vdDUH7tJH+RM14M 7X076ZlAJqzb2DWizy0yWToWM0ZkfsSLl27TAUCdubr8+lbvrLbI5QV+68sMx7LiCF9WYuIxsz4m 6HPb6kafiWataciQqof1LXG5T6ttw/OhXMBCUCsQmqA8oefC8upgPet66R+DWGjgtRirueOnTFR7 qIvr2rXJ69MQ2e+to6BpqQhP3dEBWEYEnsBefUnP/FJEjBkZtEKXMUTR2OxiYTx5EOzEeeauWrfZ MNCJxodh6gb5ujdW5vQrLukz/M7X7a2JmUasZkgdk67o9DdhS7PK5jbeND1I++goAc9bMXa6n4Ln zqAqrq9c4sZrWuMwtC5Lh8ChjEf6xl8z5UGVGr92IrhXFnMzm6kKwp7HsZYQ2z0jIOZfr9UqzDFK 4yVIowCCNsV/OdFk+qAllDSbEzFIhJYmKRKrTAwmzKszgqiNN2D4lywDOVwIDH06xbzlDMdYIBJB NbRN8ZZXKEgSkA7eFr4vfULMHI6mj5rRJ88KQogKseh49k6Ga3xP/O9nw+gDiDNvtlBfk5Vd2T6N OC9EbzerV1wSvsmxUelQsvVb/+SFLbfgO4BKwF/htS7hmy7iexgT9o6TImzHmm6kJANAZ06crqsF peYaZeeauItXvt9MVZmCK4xPf9Vwn8wiLuvSIe3eTs2wyFUuYs5+RFoGDtYYxiZgqOalX8NlM03/ I91NGc3nQD4b0wl227vt7Mf5rapdoJUIxBWuW1gSdWfcPkSh5cEVVuuyBK8ScLCSTKg/Jwx86UGX I4gzjXRZDMYUWRcL/fVngppEgw+UkPhuf1GuO8jlU0dkl2otjNkT21kI4u0O3uUjJfc2SVTClevc K+E8x6Q92EbqrgUKuGW2d6YlSNRDWcp+W7ROwO4pdB0e6iNr2JBQbPLyiAbHFn8AAO4tUafqUw18 00pFodyzkvz0En4U3/qCZyf6e85sL/bfh/vtSrhHqriVe9nUp/37k8m7M7bISBVlaLxGqG8pQTU3 TfjB4jWBf4drrPlBugsgtSRZOgxD2B3em8fi1TJaiQNnhhT3hpYwIiy/0+OjTtQqC+ix90r+iekO mQYzSw6FXcvwozWG1imLC3QE24xBk9Ylfv8bowMpcfLliZsUyqV84vzs6q3DwyEwhwOWyMHgIRbJ c464wVd4jHFNy5XgONytqAgADwstwffQjVP2j0ytXEccNmgv85vIOHEcXCvPnBfptLhK1lGor3et GYGk0/kNm3EKVGca4paOlvvegSgweZppcIE9ipRyCkJblyMFyNvkzwIoOqb+UV1I3tYWJSa10eAr z5MbfFV1+LsrDDLNAGWQuqmNeefoUzwWpC00CVAUHuSmoKCyOm7r0wUWgcIDjF/RxUNP50oI9RWV 6Q0NFzYo6Daba13KkK57I1YaiVZv6PPcu3Vm/4cGMHmI+4y9cWOdq5hW3eDnj4EovN1qXjoc7ohZ AsT7L4B8GvlHtLKviTx8W/OzbElvfY1aeOzjLns1yUM9aZAoZh5bMrLo6fjjI1yMpttS+5Ka2AWT Rgw86cRe1SKfjQO43BxqlDknjX6KGERuvFb3Vwpb0Z2uAqxRj7Xn6KNmRm0o43553IKXw7jYdbWZ pPH6L2RYXo8yc9BksWL8+Q1Ul32NIlvx0n8mOVhuBmoZ/4lQHm4IKem2isbZk6xIyeFk7vKVdTo8 qCZuWegX6wOD31pu2YpgpsBGiRXFxytS+RFWrfdUX174KItbNb/QLlIwSt/Jcj+tIcpDLalk6ZrC 3/tvFSUSNoGKXf6IKnYXom9b5s0kU1wVIKRU5fF9Fr0uuxRy4NzifjccCJOd3HISAr0LvYsPG5lj u0/zdy9U8vIuvWCUYSPep73DksrvZ5GsKnUVeQ+zll7OxLvGNhH7pPaMnCUy9Z2kIzaRzhTzULHD qxWoXIlSloiig/78StdNA3XEIkdGjr1ytmRfdyhB3pF98Mcrs52GXR4XHjcmffPEbxq4wEEctgUL WgZKMkO0fn/B+CInRXA1oNTuj1XXzRHcE/pEp+83oT9VIrzlFSkM+s181USUI3RJAN/dOSeyyX0X 4hOvX2UU2a5DH/9G9IwAjQcZTvquh4pvyozhovamtgurbzcdZnsv+H8VgvsklxaX0trepgp5Dcuf FV59Xt529pAufwhLQBN0/zFNs5feyBbBwJ01RUOV3O4c7InM1HoAwbW8+H9U2mwP75u4kEyPAnHb wTMgPfXG8c6Pus0jKJc3wDu1aGzHHc4tQTEgAbd7ty6fFGflINL2JdW05cMU2hYXU93i1HUY6c3/ v4KOMVUzr+TFgSQSHxbdbGQOJjS+EE5s1cFe/5EThPD7VVaMXRfaAP4FRGpkQ7BqMvIHdAPTjBoS H2tVkML8zdUEd5IXLHeIsXsdZMvhSutNft3FQ0eW1+TQ+wtY45+7+7Mu1B+RplqB5I0u1cJ5MCpf 51DYOEK0wkapS5Hav+He/lyINbYRFcYCpoph511TsEQIHJdT0AsOMGKG+JDJaJQYcm8ala/8tumc WBANILm7D0xspcm7M6NlsWePtXHj1H4eEZ4DPJMxUu9W9PTfKDTXCc9danEI8hSLsTFb+pNvjdRO r9eTAv1+AYhzrqzBJ87TClWD+/qMfrueLLHFNBJ5yEYMguj+aDRsbQ46XyVSdqofGNfuqSf8JFxT 9O8w6nFTkK9j3u1qmjbQgi7g6ILFDmsxCsAhnvaufyBrujCQeU28Cf93yWFy7COWyxf/eSrSeJYi V5MA9WJUjM/x2W7QIU2g5jp8a5nzXqASRRreEedjSW/UfBqUeE566jM3/DpF59p7vkHmKHYjkLa7 IJu3xAJzb2BsHCjqaWar0CwiT9bB5cTB6PZ+aUZclFo26jYciySPWCWoiX4qNg24aeadeCSqXpX1 /CVu/lmqiJC+0amVeVnpEGnikuO2RgjP+gw6pksN7WLiuZL0rNPtLmClYhoTSzbikwV1iz95nLCG QbMjgVGlADNsICpgg0xnlR+gFQRywAmTNm2BCQ6DoJDjGvSsSkFvZD0ydHAWYetv6rIXtG+BKHlD 23HtvUkuLN8V5fHaN13EJNvmPVeRS715uGaiAd4mf0MOMCMHG0wiNgRLBipQO3/JIwtcfFLbKvQO VeGIqAhnf9llNMYYhayBpIHfOaQ10j6Xnz3I375fGOVkyjdDGmOoRb6x2irfjZyJhAwp0WBRWkJe ZTwtIwDBn4Hz13xOQT3xEEJcsPWGWW4TlyD9KPjrtdG90CxrKoQW6uoa27oJmYf0u/GnZkMAV6oc Tih70ExwWmgoyaHFZKG0zxoR+9OnobG58Xowdm8xX/OpPRRJrpPmJGvzXEsrMboGNk837PjdDPA4 gMz38FsjYGrzcQoa5djLrAFghFp8xrADkKaDKCKvjTifuC/cL0v/xBesr5rmWu8Ul/ld8kSPFO9Q +LTZ8wKvBlMfKhANAcDDP1hoD8GlnzX/Y4FeqJL2qswdWa34fTXSt1OmR7ygy4AjERwK7HBFaSSP 6iZ+zeF2gbHCYLDZ0mM+yfjzoZYEGp6cDfjCsPta/aTVIa6Nmym40/TMTikLlirEzebebxvzsWuR xx+JXXM0rq0MAl9Y2T4l38CsqTFu5pudNcV1QS3a0zDlFEx7siRygidBevXUF+JTHDR2SpH0jA3n ao8zoHHxC1fJ6Hjxkcy0gBhjEhkclmqkJbuQ6ePPp0Qfw9aDYlqQK6/JrWaYba5rnakFWDPIXffp yNWPx8srwwwCQRZi/qg6DscOCbqlkFQWAA2wmViYGohTnl/aoyOQ/18qYLOsPArgO50MYuzEhRsT d7XsVypZT3iilpcaNFXhL+/noOhXnTmGcyBBjWCm+jQLvUoLxyvi8OvDnzE7jY+cWFMdJFtfVbMB lpqdffyygX/eSsEFT0g78zU8sqwZKfGpk7E2zA8retJwHhTRVJpi4k+DnpdsLZJkmMbm+vJxJAhI cReeDX63Wa4/jDNb+EZeumoCnURC3rKKqbGJmjOIYPCF2A0WNETHw3mo1Dk+efiZZtQaTOaLXH24 7MRbzr4vEfCDXvbyeauUeRXsxlW5J9E11C7fzryYCm6Zjzapny/ob+aiQCe8DZ3Qs/hXEVWo840d 6a4zGVt7o+JfxESdqKnfF6JC+rakv9EsR1m1ir52iZyrvVv2RWU7UQRAl3wjPT0ddzckaWxNemoE bhz99xVHErlm+ggA6YPEdT8JCzrFA+AbIDr67hEnXYs1wau0kpfSddjoMZx9fePqEGjh+PqDM57q azGkHi6kDjk4bYUpM7t/fDKHQ8edlLBKD+iqxGSqG+/dObmvbYV3qyrGAXTlYHIluURmius+wWPc b4utHChikxDzzeFnDspCTQrYj4cpuyrN4Gee7KD+pqlzcaXMukGQcNS6I/7kn6XhM1bLDKKhdLM2 2OGM+eJfxhoqmOEVONCiiEbub0KvSu+O1AlghHjNKg2K2Yq7lVsupVY/3qbWfFMhqZY+d5WSfmy4 pEi9u8zDWDJBhB/ZKNKiE316EQbJoSPWBS2LWsKf56legTOf4xXPm1/BqYVOm5uyIQ23SZ4lLFkG Jv429HKdKzNrmLVIAmOJchq6Vp5xNrmWAuH6FTutIIEUebljzPkaXvAM1nHETbm4GWhkVTHhMOno JToZxQU418PicnJUUygSwkKj3Og/XMQY65+l5F5kvBSkQlK7uGJo9b73udcWFNERaAujAolMs1bQ fyRyR8yMK6HkjdTIE4m86vR5TAEzdusCbcx4NNkglAZbloSl7tWt1/HW1c9G8xVx08eaJMqZaKOD 6kq1MRgkWf/prFf72zFLzD4G8dgq2qVeQ9RpcC4s0Zreix4SMxUzyAz583Z0v37oCsClbhA4EDdS xoiaUqg4o+hRHcgCqrz9ygO9phkLFPDw8bJXIGt04LKPS6LGWdJKTlcruS/ZeGl6EYLeHr+obrbX PlL4/e2DuDSMqSYHWUrzXupxNdsb02FoZmsPmnSbB69p1lfoVhqdmuh8/G7QQ0ZaCbpMz5T5Xh1I Hu74vxu0La+k8lL/qrF5bBN+Jnewxj2Mu7w+oZ24lU1lKazl6U9ixGugxQD5r8VKVOSPJ5Vq9Gg5 5++RSTgbqU+puFJGB7zKjKUXx18LsVWZGD7AZYGPdo3zR0DzQCP2p90WBAN+e3in31z+rViofa4X h7B9YgdyUVIvfeKj9Nb8cJ2TIVvRHGlBC8D8RhlN3MegrGteCQd+fXPegx/jpI4DgTaQ0Br3Axma kBU3TqeXB0VvQvODtgPVjjkLPHbVnvZ8n7EWArW7heIQYFORTAGyrU6lKesKUVWjV82wxoK6tdgX PdpEra+XRop0ETgTrCaEHTQOohy1t3t7XWbNo84ipmWJG4lcHlAgh+rDsWy7G/A3QndgPG36SMLx 8sUXMKT18wACOQeKNqIKXnYUQevaMxm2fmct02MBbKn99xgsUJmJpaC4TTRicxu86syI7tdsTSGV 4VNgbfV5tcs6rRMf7Cr3VXevxoMGkDNhLS03bINtMhajDG12hdMlz7PAvDl1O5373o+oi8TDoZh2 y0wX/FqBR0gAFZ4P4rQoy0vB3a81p+T1V1Y5IIkwd0DbAGOWRyknZtc8bH70fyZwzISi6IPiAmL2 ZQODs3ADHY1jHywa/pkLRwkqehnYmGolFULnLw6So70fj00VWdTwCNDIEHxsRKepgNIYUmZFWAQm b6pFYQ7ppxS2XdaJ3Pm0GNOWEqnQ/n6RN/5UCq5iPcTPT3VopsTtqLNA2lIITyB50r1BkAEqoA1+ t5R5CwNU9U5dFgALdO/FqB6xZyEyOPDCLwir+NAAJouHIVroPku8m4J8vEARuA9oBJ4UzTXYLsKm NuMa90U9XCqOjMF7UPhnjkWnprKEzKV6N931vvZa+Rs44wQHLPaK/r+jKmMXJizABvrKEn3E+omf /2dUtiCSPckPz/udNfWeSiw6r8WNyXNs29wDvePyLGpzvkh+/ImS9Y2nNYa4/2UqDE8fbASq0Br0 +g04CLgjZ1FkNIe5ue1x3EEz10/WN3hhToZSwy2nCTOh1WcvOA5QuC0kE+ANk6rvvKxmPz7XxZ3k ORl13EUJy+NdCjsEWdA4JZsB4Q68NjQx/G6RrHUP5DxXdacF55IpPchgslHuqie7OEiKlaPrIm7m rBPC7yeOnXfu+1AFAYR3v/PdWALWj7P8wGvfLLGdfZ2laY8GGy0tMwE100rRwLSQDV46M7BCzsrM vFqjaNcw3fdTHvzysC/dlvqdOtl0n1emZl0WKIpBuKQFY4hxuW5kMwXDkmsMuMSApDpN7O1WoS/m ueqVp899GKpljPHVv7lb/X5UzBcy/esRocqzAMAynLkcfZUeFXi7nCl87uoG2/J4HA/IkaWRN9j9 SNsJscfH2MKZB+slJVu7H++pdkpntjQS8TH/mQpCWPsrQcVbQCgLOy8gX4xg0Cw44iuq9lgjP3S5 8d5o+tFGx8SnagWgrufCEYOgz4KhEr/guwNBHPUburLkPDO/N0xUXjCGbmfik+Sm7uA/cBnlPgSd 3wVK40FODQWLNBSjTRPrdSejSdPPo1ZLHLUQjA1Ix2qdhAFUznOaUtV6PjRLZYZl7kb5kJvAOAvw Xhx76pcMaQc/yTnOdLzsBLUgFWY3HsHgB7VA3hVRbzGALbcT410O05h2gTQ+vBhClkkyaUcavs4b +sHxmThDl/zX3h3mwqQzURFNEGWKznZqTbCTj7Aor3RFWZX3LyQ12gKMrk6xjdgDmv7IYUiYYesw GpMVr4KDhfkhGMOhyEv9JbOM0nY4CMMYzfMHAhge/nSNvEERougxM/l5/zYmvvjGnPcmMMKLro22 QhTp2Yke28UusGdGItdlOnuhKBQZS5xhWs3z1rZYPyEJF1gjXPT6i1RFgzcY/22/XLYcqnyJreHF GcBQ6FnwE1taJEUEzawzdDdlZfPeswt9aVhBvR4wG3s9kYfnF8w3+tUIwwM+WwNK3g13d9vIZFTp kcxp3LMusl0V4tOm0HKt4s2S1e+HMQ+47q2Gj5TgZYdPJQDVhg0K9K8Hjw8DhAmIlTGBTf/CeA/T wJoRoZqLzW2Wxvhme10BlgmsR2jSo2W0eFQFRJnKLOs/ZcBVmsiAhfbpwYDQT8Pn9tDW5gPw0J2P 9Vc+JLr2NQor45iOQzy1efQD/k4iIdX1HuEO0vA/NaowLhqjAQrz+UvX1VVhTAVi9WEL4jjDIhmD zYsIJMKJ79XJo1aVyi4gj6nGJU8io2YHfX/BKavhTBSuzN7CbLDtHsb1LcAiB8LauQIirScrmvSn fSRHt9SDlAPRZcCroQibuv/9/vI4PJFRXTcGx576WHNzbqfBJ1yPTy4MJkuA6gHhV4x4qYT/OmDc soRKnrzKVRtx3kUw6rGM6M9oDg8DLi/WguFV/gwOMXmnaOEiVFAr0qUIxtA1u3ATFWu/MKa4+Yil 3xYgnguAM63A6Z8zr2yhyH/r540eYos12jqB6VpN7NRNoXxcvEoOCKddlFRzh2H7zc+q8hiXl+Dv 5EOax/iHgBs2ljSEHVLUmuzm6LyY+qk0V+K/dAVwq4A+5DtSZ3blQqfOFsyF0zuI+Y5X0gY3WDNK jAjOJHMtx4gw7LHKM4fHBakkXKmJNd4fZcaqTj4PURGi6S8Xh70aL7VnveNQWRm7BRMfSFyMnAww pIP6AierKsi6wIeRdxj4UuA6e6VlUpYckX68B63XH6gumc1ljA9k+AD4qId8aK5bIYp7cNMhdvzE Gyy3GVw7b0Yfyi3YFPTARrtIv4efySanpS/gnfLdTOe8d5/ym34vGbTuwv0h/0Uvga7DNOq1OF6R FFjHbktwP1cPIQ551bk/7OqYfOAcP81J7o/jC6kMcQgkJGoIzEkoa4yDWjJHgmHBq039ORSdVwfq rR1mpMPapVbFZyn6jJ2VcRqj1UTFtP7GF8Nszk6sgHjjvPRwjql+0rs3iGdNfdsVwWeuL0jLOnL4 ASncBjvjNUqROBSHmnexF76VaicGEoIdGc521uITMPh5rLL1R7TSHG2P50gkvjxP9K0IPFA9lKck 5jHyCDBit3gz/4XylOqSmagRB6UZWpClwsIoAbNCOACPHdXM0B6kVyl3lehcGh0WT09UAGug44Kt aPp0/6dFvx7xUyzhKcThzmScoLio6UB26rB/ru9uTtj0OuG12drNcpoizTCQBNXtyZzRpcbub6z8 K4bWWLwl8XTfQCHi59Ldtda/hpVtBSvRQGzUWdggf+j3Xw/dQ9p/ZSBui/KliRBl8/JZ7S4Ui/Ff bIR+VVgSo30eONJRJycqAFoDCajh6sWg+F/eWkrO4MwuYQ/b2gvAw6Y9JlWpekCV2K0a6JKtGI0A y/jmuJGYgrGp1iWbnaZDTPScRC7my6t4if5Mq/IGt67TUaacynjw6su78cG5O1pcOLjuaiOK5/H/ IE58Rh+uMCfZHmUs1zwhdLiQ/+AxPX4s+P809NhLwWn3jaE9QJtyEa8K2LvKEMmGztqhLn6SC7ZV 3J+/Z3eI62s5ueyXfv6hOfz9aaPo/d00AjRXRjRCEA8vtYcJBSO/amoPC9ZvRwhFmfSmmYjCaUgb qYAPfdXhw0arjXksuLcV5CM3t99F80IAk1sDfHMYSLQcTo8vBQrqo7gXcqKc/OUquKAGhs5Mrd6e DipK6S8BsGJTbOPxujbB5TuR4uetdSIqxHS6sIi+6SVcKAiTj7UWg43nJBepDRtlpY4+Ve5HzalI 0mPXPDKgiDtHy452la989IrAR5liTL/BNYXIVbEIyG6tSAEAOjy/KoUep0e2K8sOlpTwG1kk5rid 3cq6Ychlgu8+FQ7+O2QeI8wntJnOTsZk+cEqxCMgdLSiPBhBlhjYtf6SLH6cslBV4xwhb7WvB0e7 rdXYnaLL0jeVPqknW1c2pBu1lsmpj5sBv+Wre47q2tEyVN8mCyPKszxmNK05idxWsnqoAwKD+9ps iXyoBZC728a+1ji9CL94jvy+U0P5M/+3GEpd2CadWa/C/QLuF8GelSpYsDVjwkIZGgHYca2K6jnH d7FwI9ZSMkeAB6g4GdDAbijUpNhwRxi54W66i03I6xRClAXzK3Ku+ebudqhYoTIpPLV8/WwuadVc e8hAQ7oSuMuGo9CH+uQOkns093070qIp1aVjVM4l8SovlpWklI++sXj8xNMhLK9WNdD2eeXLF2Sk xumN3eaMzkj9n0nrKtreDLD8kDM4MkxaiM2RY6D+PyBmVMg0VWvm4CeKlriagsryCdylfrgrFlf9 YliuJ1VglYsqoDhS5b+gTPpinDW1J4izvj8bJQk/vPadUFKykBKhVz/VrD/iDqTwITkdZP7QFAH7 ViahxnVXFzE4kONYZ4BUOu3f4PAuxA4kBa/4mAGT4EOX5B/4xpM/T8+ial4GTSRpDTHHrLM2fyob da3VAQtB5nWFDiUHr+HTer4DS6CMbpw6EWeH4qfE35KWDqt3wI8ayIbW0pD0cYuHaqMArc9RXGfF wNtg3NipGxORChMIstHT++tXgMb7pVQmawNGhIh1gsVdj1i7R9WsOiUJrcqgKi7aH3gJMmYy1kdb 9MHu3ekAJnyxjmT1ilKpcL5mDwJuDd3KAFKDNtZbndPAMOTHOA6goiUtDPMxdq/CXs06UrQLSGw7 oIcgtGfyfpQ29c01/H2RbCn2LPfQW/II9AvHqOv3flB5LbhYodJBjryaqVxjuHr/W8wvJyGwUR2t eRth4Humydbh1LOiO8FbqQHH2c8BrDtHMD3reyHyX9UzGRyh5Ks21FlIWrqrkXz13h9e1dK0W0PL ht8mYZlhVrPZW5eAlz4yU2BWRiO06a8NMlWYCyH+KhhWao+bN37wCFX/8slTYx0R5pgKhEDQC3gc xwYe7Spj9WTC0NAfesVcSd6NzCLNXRLjagM+DW/HWkcSGuAZKW5J2I1dgSWhoOPBhKGk5HnwPmAh 0CP1A90rcJ0MzhoSUGFQcmETqYtXioe3T6tJIIJWiHJjbhDT2ZXTaY751O8JRUT/xrmcfx7nuH/M 96xJdXjj/vU77pcOp45tdPRfC16hMt7dXA9bc+FRdGsr2Nf4bpGvQbw7GcSaFlSWFxe6TX58YDlZ FlHKZ97WbNOvrFYPODnDp8ewFzZWrwPdgxuFxSfW516wDyhb+gGbdXAQ9+9W7S8sckHTizS/7r0E oWQ75GJNfGYSBMOOv9fFQjFTZH6NWlSSH6GYjc5AiFqJtrTmdWgkluIj2ecIU4IxQHqYUvHFVgf6 YPzANUB5GD4k250D71PTPHwKMF1orrkprLVWuXa3qIciKlPrXb3GMWbJzukHxvUYmRug/MXPfrm4 kBuG1eAK2PXAsPCochS+og+QHMklDft920CF4Hyb3I2izSl+SZi60pwxLZjVqTOXgspFoCjbM6W6 vGDi50m6QxT6mW7W+dELMCYbUDcBaGuOHYav5s3YkoC+quDYmjceuAtIHSjzPQmF3tN6GyZ7bfVW fwrlZrkZ+9oMCq9YSPYRz1KGciwCCbKNeOlx9Ag7X6klt8Zh94Sd3fc5jNNdY+We1SSDgz1fsdkB v0EnamQhC3GHBqqi+AEdNICefykwyalhMbIwCThlMeZivYdcUpxnO3v3aHrlejX1snic4aJyCQ6u xruijxF0hPM6XC88yg1Lr1jJzy2OM2tfDE2TAtIIO1WNoGMmuuy/Qt+W5Kll/ON/3OmzjXk9zVYQ VpkyRGAfsh7BqXjvDO56YloKM8Nd/KwZkvYnY06buADJ1o6+Y03xySkAoWokYlAa+aM1kDzmtEkb vUx0SwP7ZSmXNtqPE1u9Axx29yOLyXrSBnK9vQLFoiMI1S7m3zy93RXpdcTAC6rqHG4Df5qoEaKu D8i6kWizrBVuHZGdWyJrtSQNx6f7+HmUKNQfoLK4/VHUWam9jrLzYiXzTlWedtw7Xn3sp5Q3+kWT ot+4g6VrO2TCA2f/IQXW9x88V+qpemj2PAE+uPj37BgFpts3a59qYhMHdKk9/197KW8p2eTdLILX blpOIIxYRPUIMKzbUZbZ8SaamtVLVXJ+X7qtObj9zdiXYlJUSuwz10PPxYJpsptfVN9zES3DnJDG K/okLj6WYuwq+8Ruez7ueWYkc0N8MUUPO5kiiMhcG8GSVTe7F1n2bZZK9p2P93sc9oVTuHNVUrAX TVvNwAJy2ll96tOo8a/f7/J0EcPyutd2Nx3zDx0d81AaPRdd7UCnVd2vn3orc/IPFq8lyYLK9nJ2 3HoYmYgMrH3RXyS/d1EjGZVxesmXSZFfaDeBYzvkw6msQDYZZ0vd6oPnvJWU0oQ/QldOtsa8PXjD Q/T6yS+vZ7yOwkTbeWmg0UJebr0+SlI9/e5bTb12J/OGF6GaG4Dz8bgzGoKwTyElQ2JtdhdvpFzn vfx25B7IbXoh2hVrLqMF4Zb7G7xKHoudPy+XIOuW0PQXT5Pp4xkPyTzrKMdlvMyL4/wxW5DwQg/Y hwTT3ve/KbwzqvpFA341hIpc1tPg177+oZ4bdNBjOseu/zaDnVo8dEtrKKVDT3bsckz+5ju8QUfk rnw2W2EAEQhU1Nt0TFzur5pRMujhZd+msqEQ0JqAwE9tMAfheK816/Pag90e4Rk7RHGSc2Z+31B2 jKsoXcB/GAzQ8FGFjgE+L9izB9NskN3MZsmrP/nqic5mEacgrkucp9hTqSqfrolTVWzolq2I7XoS UhQGh7rIu7Em8/9yOPbPAOugxiOK4hyY/UJ/klDck4pbhbFOi5Ka6yaNgVpVCTOMitkY9JKpM1rd M1K1pN1bL7xrA21fxm5FQLtM2T+CvwDvW5GQbfdeu0F+Mfeq9yxWuMy/PVoqtXuk6OegCrEW5THG iRzWQQHn9JtRvxnP9qjQNDm0cexLRYVcrDZBpF8EfB63QC9UFACjX+TbqKaSFWc/kKz25pqFPJGj KxJ9ITZkrxrg9QF35x+h1cX46z/RHlWurQBAXpEZBZd7M0qsi327lH+s1ik/2c4Y8KxKn1KlrCmc L1PLQ4BXZHm63MtpovUp3AqTXl2Lh1nuCyx4LOuo/xrcGeVoAJRWT5U3XT0P9fgMucQUcdiCuxB/ Wcb8viy88RxHXKvh+G8KPPR5jvXajy/wAGu+BVaGkZ9Ct71dJYqCC3Ygv9LAs0KzkvoyiYiUMLH/ Vozy0EWtaaHsqcjM8ER/VowAG76HtHp9loYckRgXh0b9xGO8uiBLO/UVPQ9sKVAQ2HZ5mft7LRpe GK/eTUiiSJoe2+bAiJ20t2lHSCY5h4hP+5tPq5nzC/EtLadJNGm56DD8b5+61mR8pwlYLTuPdcb+ S1hnHrFrPbH9be8dVYv6rPEgDu3e4QdhJqrC3w3MwbhnUm98OiOUUkbb+5IS5EPx3pnXgKCCwngf ZiYriXgZjd+NySXXJMQwO46bmUXr6U5yIlGaXe9oz914cbf5fppCtNmeiJAZXsULKALOvKCbi/7G N7DxCgc2+YZVhRx44VgguzO3ywjGFTPdUdS/9CBlOky6Dfnigg5Fq04mrb5nuajPlcNUmnwWVWK+ SwvOgSToa7cQRaCyekmZCvbFBu5eOdNVhQt2Yit+4KaQ/6hRS/wePN8Fw4OlQPYYzPjyf6WS7Am/ GqOPw7gSKix9KUQEmOlffrjCdG5hnFNrKngdCE+p4U0Uko1dqxxeTXY9MGDN5Z7Mc4qw9MLOTJ1+ NGASNBg216TXl2Mlj7lcfssdd8Rmx6Qw5DTuRU5OrhL/wF6RhAMgoaYTY9hC8/r/NHkvucFCMpkR rdHDdv31zLpZyTOvy8pPcPCihwr2ZGDVVxh7fiU73DnEgLOx+w6qz7djPf0rtujLn4MF5SYNVVWg jQmvhzEHEz7fiTkmrJq+lP6b7jLa6jz0J2Wf6YOWKi8apjUNXSS5xECDiuycYrSRx8sUBsvxg8uW mJT5FAwco1oVTRuq5g7Gz/zWibp0moP3S2SvLPb4OzmMoeCROPSGmKt9PXrO5n1wrWTCGMoe0KrU a2SJgTSqbK1eJajoHKGPJBtVE4TURduSX9H5IEZHJQB50uShYSCKX7k++hAhw+XQoTD4kXtaxIBJ r57yNo+a8OI3DjdtkXJFEa/bMmY9lOgQBcBEexztFCL+el707BGNzMtJdefuFVdvfPNWi6nN0Yq3 bsgaguMVdIgNu6T33znMDihPnMpRGKSS7P8Oi9GIFzTrHil9kSdc74eVtQKT/mjxe4D4EY8CTbCM FP4DMl8xVjEghINLtrUZVshQNx7zZKGcNKphQr21j/PH0NErW4b1pg5dXDUHAgaF+EnebtOULLU+ bvmIHI87/M+j7FJCltnaOvaKD+B4UqKJFVZQKrfve+cdPOEOHXQLA/c8mjkxS2AbkbcWUWQl88eo 0RYeiQQp48P7hBwRj+SlXqX3eJ5C+X1xmDRmB+yzUtQoVgvblCRPU+KMmbtl44qS9aiCqCHkD/WI XicGiIy1K/KkbmgbTWih7m0o1W80Q9lopUkQr8gHd+kYSpNz1VQVNRwKLKYAifUw1me9Pu6lqp0N UjK8+zzkkRrJ5vn1VKbxR7AtizLNENBRDqXVfIGBQIMN6mNBm0EXf+8lWw1aUORxSL+YXNpljQ4Z 42bgJRn7butLbFpZ0Bv/t1mAEhLvBTIXlIgwuB8zEnTfCpgrGJRTz2iHFdL0xd6WARFzg0AOTItJ AnpnC8k82gIt3srJ+1lKND0NzGajkrRaR2gPTGCbOlYSl9k1ddDfyxNIOx0HXuvX1Rq52EAklIYJ HaCF156hlsFtrd//FEfbw0pqWhl+Jiqhnba6dmVf3dZ/S2yYh3lmBw+tNvs7vLlheGaasoN7Ac2L 0ZsPm64L9sHi65e9I/dHaiad034tJIxPNTG9J19rUkVp8tB7Ce4Fjp1ZkaA4ZmyRQ1nME9ztv1mQ vQOfFuML+tPm7jgx4xYuDII3QOmDRG2Pi8jPMN1mekU2xcZw0U+NVUXwbVSZfY/IDS4IjFZfoex9 CMi/hMIGawG3mHXD8o12jM+g6Z9LiAVTI0IybPvVEprj2k6cEHVYS5B2rAkL5Ug2mxmkECHjl2wb 3bPyi/mRCL+KT1aEVZpC8Nkf2POKvPUMhu/3U0HoJAVwDIFAt94y7pvzgFhLqhsTJahYRtNS/8Tu B2lYLjfSErohydJo8NQoqE5svhyNCZlYqqutcoln2/+BiM7K2wHlPIVvQuDzJ+2legdF1doPj+9A kGJYFlnEzI+28MJ+rH/xwnCguNAUNOJ7NGnStj1sKw927qLC+8hOokhhnpXNCrIvHoCVl4uYvN+g CG+8MwCulgWtybvPqqugbqq5zqbc0JUf7O8elRFiNig0fY/dM+sjMc047zAgylv/3ZiS4/q21yKu R6+OR7TcAg+DS9bqWG4t4nNnYSxH/lgyKCcnnpZqZKEV1jILZede7DdLj1UkH99P4XQNwSNuR1No fk9aH7+oWFKu9D0Wz3K+6gKtugyLDnXTxYVncEDiXOXSvtxjrW19NMZEvTKZfcFXTOlCfvxLoFOS TOt0t9ijwjHR11UHHAL5gIVIdsIzO1t3IkqMh4wiDx9iMX2QXXWymsuZ1Ka1a0UWFjlOPYlw7wGW fn/6l4CQCy7TkF4FJ/xsSv/gJN5H9EYRN8TL7Es+bXr6t1fKPdQBoABZddjRk24/4ZrV6I28+HCs U9pMFk/IvVQ+ip5vqM/JKHTdGShC683LJFoGm+HHdd6QYVg+xoVea7PkUAvljtpyfWpCVEraKe8X VgN6uhTBGuerJe6tBnjJQh9yCm29e8vjizMW6tzJ6Qg1daMvvN6vgbfLkx52m1U49PBjMklCUlEi TcXEyFGHnOoXHlIrRU515EhJD0VA/cEpuMg2TTVqP4bgioT6uMKPjXoOYnauSUuaVP+nIwD6iVIY JlyfbpBqRLkFhzsdYjeQxSzDi/q7aff3RHxxqqHEPedSdcPwXll2+et0hXDA5AXwTttQ6ZCU+CjX AuC1slloAechyf28H1xRtKJIXW4bLRw14aXZUMyxA3r8nOPaG1//tsWWgyXc/UPdSvLGJHPxxKog HBXsGmDZH7ipa0SSsZgxlODTuN4HhngiCDJa1I1V9DCVqIbJU44fyJ6jI2dVbLTButyNf5aAXOc7 ERugMsLm9gTuKvWCpGQTDkbbMhwhwS8R1gKsdDJZPq0O7NscWbziTBZebqnqpQy+wr9grfRyYptg IDYhy+KwPqAIoJQkjHf5LS9hP511Ze05FWcHsknQ5I53Vv/e4i2EmeVioHnIJqXMRiMHz7Di45Rx jki/d+2dml2umSdkAFsjmVTf+hxW3Aa6Ra1WBh/PFoGsHoxM1El9JKWpoCGeUb/fM+rTQu+7rJv7 O4qo8xsGn5D0/wSG72ldTYPAeX2ip/mt3+fou7yAXt0Tp3t4/0ERZtUevt3dLxGhKE4BREKRX1td Rj0CXz7ku3uskj2KDWg/ZCnJBh+8tt9Ty1EHAeNnkKoud8gvra9/+84VkBS9uzb2lJhkxejCDlkZ BYrqtO7zoWjNCnn0N5BtG3pqGGMFGOx63WhH9Gdeb8tKzDg+Y/EGoNLxV8/dWlqsgoQFv0N+Vei5 yrRR0RZ3mSNU7fdmCQNcEvBKA6shOBhDE6a5nUmrUSnBB8YekS8EeQ7CX/phgpRut2JrPr6nXjbT noXzODVkU7ggYk4l5JC6fDTb82gBkcib2vrind5dY54zVqjtFrzJPunGqhu10jG8BRPy1DSJtkVO /aLR0FW7fkcANHx5hDt174ml2o9bfoMzj3hElG5sS3oeAgx9j9UaExniYbhYZv/jLOeqSsHfKcfz BPI3oNEmZjfuKLt2cdKwXaqV62nOsE+rA1Nrd4WneRq7OMP9isCKeW2SFH6b+MU0Ws+IYxludD6V sk2hnwGCuwCVs4X3S2XfsM3/gRp+rcmIZWrEMhfin9WuM3Eje2TLEy9j7sF8Xdmex2aakgZeidWx EXI2ozO3Rk00Ee1aUTQV0HdrsSP52exAERdGRCrHQOLgNaCms9NGAtxcgOJPDxhU3NR4pUinlWEj nFK/LBsLrs+Z8cwQ772lBRLDj6RTBbyLiidlx+otPNcCuFTmB3MsVZiNv18RnUvkcW+wdDlrZbFT cT5atQIN+1aXmzoOlHvbXSXV7Gf4nMGiZgAxQlVO+x0GkfbrAXOIo4A+0BPMoh+bUqcjB/7AAvDE ovbP3M5BgQaBrTusPmK2cu6di0Bsz43lxh+G99afz2GtVnsJUC9O4fkf6HGK0waHyq/BGFUI5I/N baZ+wdIDewTxY4KtoVLVpnUcKxqGc88O5Lm1umBBk2HHpUP9YXvK4PEs+WYzrZettkPZwSjpCW00 AwjngwnPKaYjjsDSV2reWhlcPgW6ZVpiA6pN09nL3pTraP37qdAKDWhCrRyLsgQET+mpZuZqcvNK IEBhpt4T0BqA0D2jki1tAFIITvlVDrKgccg8QoA8+wvrHfLAxX1ofjH4/wMNEyxZPcXdMD5LIdtL 6l3uByQs9WFqalbL97k29NhKvtti4ZayEKYmnM/Segt5DKriL7nOaqilkuD+F4/9Aula8fBr9GCz xixx4d5Y7qy+K9iHeckxo53/JmGA6WO29/xlEbHQ4SVH5h/3bANiqshlovgeaAC+ZdLfNdSUy4gk zUD+OUijv88qjXADQbzK3kic9KKzc/mmrmgzJAOSYoxqqn2CyHWHCGnvKvPKWrTIcT35xOCnABHo 7dY8WA+qMDN3pE2YkU0HSDxbfLwBDV/i8a1/PKblC9ig8WRZFBw8WMb8MnFZnzweLg0BJg8fqUB7 BbWNI/Fsx2nw54j4WrVk7VazDhx515l7jsCrJbQItpbZexesI7zcCvh5LxHCl0LMFZmVv8i3+8oM Ad0WA5YqyBrx2WVAu62c8PfBI2iZ+lPvvSJiyoeeEhQOK+EnNnmtgHAvOfV+4P3VgIgpb+rElRaQ +MPfZ+J0/3F/zB9A7ZhPBpWlC+tYJk5C8Ecfy7S6WTiOgHAEjWnn8EIUuXdRR4Jt8qyi0sVFGRV+ opdFkr1MmY0bjuCyZ0imoehD5WAd5e1rsfl4AkRZftVWhAdrZzhsADd73tvilZ/y3uqNwLRzTziH ydFn7OOIA0AYRSlPOWky70qjQN0/eF0bwIPFh2W1gtly+0omxLfRiT6mQNxMOlrqzi6U+TNc5wEz mB0HLnIYoH8RamdtT6gDApaLXSCNKU6kpe0TXSf2S03pg2PNKRz0tCQ6gya3sxyEPG9tGAtDqQLd ZA/n02aghosaF6MhB166c9aZb6YZeG7JcPe16zeW3U8ODT5Fb7rUMO6b2JaBEssfk5Js28N+F9O2 zqeLafPTD/72otSK2il4/goF462LSKfDmcu7Q0R5uHoqgvQVrY9bQGCJF8yMEYCzdwlxsMh6tb7F XhdJD2FdMDnUNClCQh2X8iRaZ8hZbPgxm80nXMognGErBHqrtf4VdnGqccGOLGBlNAyGZt5edTJG HtRZkhSt+83vXwrHPyOhektVlPH62tZvlZ62mu2KTcuOnf1080XTFqHUKgRADzuzT+qrOQ/RSKko 5nrOJAt3tQJTQ/4Ibj81QEAT0+hHqt+s7Cy+FqOxoEYIrjhMsl47dTs0WKO310er1+rG54K+NPq5 i/L3z+3VjFkbcFexYQ3W18mFgzKLEAkPre6sorOxGJFg6HxfaUnhgZazCsTQIwCcxojD4a1TgMmC ScfQz8s0xtUTZqq+J3GQuOUtl6TzTklLJOsnei9An572awfyPP5fkYp/hTeqgAOrpwjGApvPpE2S ltt1Nq1ysLLXkKeqGkiko8dWHL3gP3wAGjtqDoXIl89XfLMH03xSCYg5CLZf01irvE6Ux8Cg+UA0 ESGL5E24qlW4NzsBJaI+V6vXzy2DXyCMWqaywIVRcZWBHbtdzhZLkv7ekwO8WMooo0nnp9hHYxAJ ORSr+0lJgbmwKISrwxFETgyGxT914J5oQ3XAsEoZaRI2YUF1IHntV/Uz+UrWF+KitwTDaRvgSbzf 6uFZ7eExkxqjdQ8GYvSDjVxo3+YArcf1Lej87yOGDfQQXNdJ1D5aCmtXVhkIPOVbH4b5aAIKRwun M7t1uy2eZgkBcfK/bbIw8GBPx59TtcrJtkCM8E80ts38auTZFUh5V1vKPNJP+Cqm7+1+XCzidXl/ ztBYhuGdmKMmm6JJwsj6cMijv+6q4DtcChjtYcsOt2zgAbIQP7u88SPvk1/0TLq8loGT805QVZVl j395J8JOFsI/QPGiDcEKG0wGztt1fiY+h+1RWCWpFZjDpcUvWK/av0+g5CF/lsHZcUQkG+/84+Rr 5sC9KAg0PfRtUSrJDSKq8y1+DdxdpRfSFy7yOgh2jnnCPmQE70REbrsCOZAQNJl/lZx/SYE7dggw 1uPQ0e8VMx9TfZXupW4bm440Qd6CLmKLagjwpiDZGDnYnNF0KrOPsKGymM1i+9fLW8ZjH9YZBiBc wJZkSaSVz2KyuKOYmNjFyI/ITO8VFj92qnNEdMuN0MTc+GwXqPQHPpqpSiM5ljZjsCU4m03zLmcv 4hUYVpGTvfmRGwnYvgkDlHOGKR/H33vTgaa+ByFrLhNfY4qfWfZ0wJMDb+cvJv6/ei96q/ak7q3F WbzSilU9g/7WOMHqsfpgeejgberLPruajlbvUMv3QUJHlZfziDB/3h3YdTKiapggV/G/WKRTyHwI 7Eqn3ea+yCZFJJP4tYK288JTZyFgKb7Hj4sQ7qR6ndxxwIhKH7+khPqGXEVbXfz9g95PPxjsu2S6 C0An+lBSFALY2B8TFrZEIUBe0X2qxTE5jvaxHxUwXn4XgUH+oIu1AUd5M20Zv77tC2mGNAzoGfl/ Ynj+pPybPjdBPPh4EL0qZIrJZVtBCjcrs3wgTQkq6m5/BQyumaSZESrigbylnkFair7Q/FCSSNBK C0PoodxnoLXfcXqfex5Xu8392I/YyieJVjzARjMusDQ904IuQ+xxD7xMRinoCBQrA1S1E5qfEqOd susBorrkkI3bVUIjM6+8GKPXlhq0M2UTrYlGFiSUQniDklyz5/d7/jgpMhytMX2Qvn+mfat02zdW JmSFFXlQmwuUt3nzGP1K6jLYKFLBLLvUs5TkH33HfuLkn2ITvZTEJNDbexKnp2jOA0nIBsOoOdf1 hVSYaJO+KhEpLpFZ4FMeqrZSznztYVNRjAMG6/Mzi3RUiHa+dZqOCwLrLJeWPp1HZDzB15WXjOS2 /qnCwwrB+30TFmMP05JUldbZ3kkY+geaGHX5IXh+ASHk7JGZXic8HPNvEiH1NgoMAVQimmludO3j KsXyRC/2XoM+EvF5x4Z6n/T8M6tqZBakTkEbuuJ0hApQUDecEx5tqNc85onDdkNWZxgSRRwl7VXt lN3KO2vbNYSdU5844tpgUtoaJjelhl498yZRioUcx6FhtRVdXJqk32TaWuikeHJXg6+b2alqP1Ns 001Z2k4cGEyPmcYfgnjMXu8PE3umBsZHyZXhx+cohomIg4XjVW8gZhprnAoF3Sj5iuMvIC536tWy dUD54n7iSwL5/h+Deemv6m8kkVT6kgSTm2KS0k1TVnzUVRiHcOVDKeaJtGdAKkIjwNe9R6Jzi4up n1EqXzR46JrI69f4+dH9Ug3y+3XMsgeXRDwHcly5FXch4IX/tmn5PtyFzXYdlJXrwhKyRje8OGVk N1XSLNUdB9zeH3/fVko0IBKi8YmFRvF8ks5zY855nuX95q3glvMe00QwqITJ3+j/ewNCv1QYM4TG 25aNQrtbDU9w1gtAc8fz4AHgLK76vN1dOcBTEyiZVXDROOoh6w8rPxDV0k5YZaafKI7PCRn/qY0s aB6SKDOuvabsdk5atmindCw91KsaqoSVBNuSUro8YIXtpIkrvxNfNbdHjLUQpXBPuIbdXgblxJWV 5tZpMGQV9o3BiswfBTi2IysmzL+jxw+PWcFggkOzxkUEdG5jUxdnn2bFtmGpVe+xP6Cn20sSbFiS /yKCo0Bcho4Bu6YmPt6h7FKEg53bSdEAoVHj34WVwlp+Gf1dxfSzSYvY+hGOLdeLZvfzj53Iwsuh XsaokKr7QGGoZtDdxKlkZmyToUGNzV5N9AeDKGAiTnxM342vmgP9Z+7dfj93nIVWFTq367WVU11H Bc85+NQ1b6nTwW/W29VlSW51gIhGuPAvIuS+85XzehksDdJQ+H5ZaQ0+XN4pqraXzUJVJxzZ2NLX 4Ppe3ACi4Ypmy9czpOgdyJ+FYzYRKbpupux6TNV8/EmPqAUvjrrgB022zMkX8kMeXJ/ZmqxYT0gK VA2kAVRrKd3yPMcgMT+aATO2e3Q0TXqJVMY3y3I3IdASCvwDt3lckYw+QIbzs7skJD1DnPqS8dCU +ud4+E/00UObnrzm3hfkRruQ/FnMxTlf2ClO3yS9mAqXt462VZxFJXMkg0SY6JBrmjNZO/2AHd4z BMo3xPXpAXWsYaJAAhim8o0JhL+bdZxxutzJyY/XNjP+8tM67n1BWocn/XOy7YJxDW66RgkFP7+j TokGnxz0ubi+kQYpMpYnHH52jQKbuDHqcZmNz5hWoVyJfwmiW6VeIAUEI/lnIZyVMttaq7NJyHEY ICZkW2SoRAizqliwS+9KibCyg7HEVBwPy98K6d87L7MOsh7+TMCt5Q2HYmNNQkhu+8SD4F8HOW3y cIEudMd4R8fb6qf87nql5OaT2Ggnan8VeQz182sJ7pxOG6AbD1gqLqI2TkAzzWI/XZs3hrmDHsLT ATahGTbVsJslNgN8NIYcCD5Z4oE2LfpG5kYWbIdzY7F82j/PJUFpz1hCLZDWCD5MP2yhlcHi+9cL +kErrBV3TLECZjnaIqdvkZ5iETrn02x6I1NAcY37EOZCCo/vmhc3UNcZeb99U6xxxwN8wFeEIN8J Dnn7I3zzCAUsMJpRkHCpGgjSL45ODaUY6ntHkEJXj890H2S1Dfm89tsQjwKqLWB+xgM/Seczk8TI 9dso1oom0HnAMPCm5I5rHWQYZVuAcYg5Iw/CTF3O2jq6sWpkMCIYVrKoe1gQbw5sJV2EoQhI1YY2 JjM8PYDsbT/qEslfYw7xLDtEf8GHwm8JZvH+4mmSxGdD1DTTnFZ+x4xLT/sheaVPK/k+pxlhEsaU U5DHyaWJvFgDEkjLWEUItl17LLlGFV/NkZSbSME/uhVhKyvEWN6upawtWcy9wHkEoy/BHWyUebhy lR5F97pqS+AN1nZJE39cwvO//NbnKyO7kXbe+j0M81QWlaLyD/GuFBU0/OIyhEaSSQEpsWLiUZD5 4KLXsTGK3gKAIY6xxWtG/YabhcFA6bMX4rFmrl/ED1+rmcwVnhPmaNBnZFsLJ4Y2dL4RXlZpxEZg oRX/mTs4rMZge54n2p3aUyYI7blZAUhEcarz8M9Gmg8l2bAsWtnfqQll9nRYwseBDt4+pNuwTd5P Vaji8+slkGNfVPvq2MQXvf2F/yGSVCG6MO32WyEi1LDa78VKNu+1vm6yFCIK3Wj/AquGkufdyznU QrC619GVsaLkoJeLpfG4zSi2A0hDy74PdXHaqt00i4WO56HOdRiJx7kRUUwvD5nXo9iclZOm3ylh v5nNHuN3jxsBeXaDvIVWTIKobw0+gRSs9YGSiD6f+A4Fk1YtRK9E/YpCV7rGQyWY+fn2tWMLOQzg JjalfV51cdrhg1r1Yy6gTv78X9+r6QYaOrV86WlukEeLyxPM9DtI6PNejwZL1E0MDBvZXn6s6S6L mBkfOweQGPC3/oFVHgtnVAr4Sj6HCLTGHhXSOrtY0k/E9sVYdQQDVTdvpPihj4keIkUT2EpgB+6B YAlLPgOieLWqIe7c58ubAkQ4urhAHER+zIJLXIT9MxPPCc05K80vc66fVFWZrg8rtbT85YxrOm1M n252pRTE48kIQexzYG6DqdXBJm3G38uOgmOfNYHZ0JCLsdGg8Xfevbezr4ivkn18vDJEPqEOAzID FMt/TmnGB8T94Y/smymuS/rmQO1cSXmnYcpU+1ofvuGZQPjGxpxWy6FEo6bcDTolvBrOz+xSsmYD JFnTy1DB7YT6cneKZ9SHbs8BqU31lLS6w6DHLDfldWqomNcwX3pPRY1BizUuJrfOOGf8j2/a38xD PxtlH5lZ5W+7zfO6XFQJl34540K9lAR4FamXImxGgMqDFt87E8/XBAr83KNShBY5hFFRzP5HKiLI vqdRF5CGGVxBAyIc+1qCNkxdHKnNgoYcHsSwFi//4ThjIwdi+3yCa8zeWBrsxXWnklQOyLKDeT84 GAdvTMNRsS2N0GvsNEyBqkX9vGhJWEKz6/5/vFMaMY+Yc4XvJ7d0VVJ7CJQBudeOwVkQK2n+NTZA pI/frJ5lZv/kbtnGoN5qSmWzOEx9m31Jzju+HEpZjd+kIHmNmtPH8WOx/8nLa45fA1fm2+kNjQLh q+TDMuM7OK2bkXoO2bE54Z7YEG3Do3QvFJzRwuiuc7qGFebQsoV0cTmAKalWMYGNImB4zhCU/o83 tJT0fNvGHq2nOdCVuIPwBtCVtxSwVapRY0fmK5xkC2MD+JuGw5CiL4kLt7pDFXv89xvRRFwcCA9S Cw6hiCrBh2h7GbOilvSwzMRF+hS9TT9Y5xRgnGc23a4wX15s93WIPeMhCOY22masPotTFaUw2Wib 2tKoQlA91pdlUlq6tcnvCm46nl1PIA9nJOk2aPYa21kFqSSFbC6X0q/Wu4AtRTdZw9+BDllnYw8i fEWSRMA1XmBlm50xnNazVaQWGn9B4W+wkRkKfU8mouq/PU2F24f/Z36EoR8rWea+xR6WGqqZomA0 e64JKmJKcsX76okWEieYmcBNeClJ+4Zkt8WQogdXoqSJ/DOL3sB/KLwECf70qzNalkLiqRTP5TfA MZS42ZoAI60D39wtosaSDZ/CEGuye/sA0vw4Y7irHM9QQeVHvEm8tvlujQOeCKXQx/Ly+RiQrIY5 aho1Eck7PvYPk0LsZi/LEDUpyWHzx5A4bqDYvKVR8XVN4/j6LFDvwLQhOHJ2pobpodPMLbOWV7Vc PSGPyGMzzRVRAb2jOnS7CGCfVdUcS1qh/lUHFpOhoGVhd9Cg+/ShZJia860AuqtSyxypEYZdWdHJ 5rdzhihh9ks2V+VstxAlH/lVNcZ1lLhIHY9B+wWs1viEHOEgDPz2YVeghr1wahnNdK7dLRBcFyxB YewxSigOg3XxidQtxOF1a52Wx+Le1juAA3ZfFs3dwN6PqZ9ZynAJTcF7bBu5pXayUy1O5Z72Z6PV zC2NHlErujm/azvsJCObhe+B/HBTY0ErlY+7ctvMudESY6GAOaeDvl2R+yVoEQoMzqIExZ9r+z5j KiauddK94qf9Be1pIVc2HfKq5weZk/ziwqHyTF7CUOnFs8ZEezu9I3Jg/S0Sfq3npRC8Cov2BiEc 3QVvVHVFViF9Gl72gzQQHrW/debQsED8wG5x6E5OyTqdD+sqtMEwB5r2l70DG4KO3y3KBwFUxecp t8Vp8Da9pL9la1lYydSNiXNZ5LMc9h860A0ujOYZP8ftM2ywJlSGTFaioQqUOvaGkhkLSqnVwCNb u/jQFf6h2LFTb90RXGWSPFfM20zHiDdyV+OvUoExt0tEuyTzhRpsePRiRPcaUVPz0YR/EZLyjE7Q Zdr9k5KM6pAAL54JzmuFoONly6zVGYNlawclBpMUbrR4lfRcstxkwG77Vd441uUDjjFX3qSItbL7 LjbW2Gw58aPrhbf6zDxtFBrVlSXk96OpGUI8G72JhYigXW8PzGpz+higUX5C4EMHvvQBmRiAPxTs FeylhYU1Xcur4yTknx92QKLbSEMxoDqUta4BegI2EVAABTOfp1CMKLXOdvrTViUtQ9Qj3V42nL/N +3G5X9KZ/fVK2izoV0+G6BCSmZ8VFrSA6und1t3HV+njfbje+WiPKS7cG8XEL6hKAFun2i+GXQep Tg9IfliKeQazt/sKDXOE7CW6fWCQWHixe0Aix8HLXSGf5UJHF8rpEV0wAq2UO0LvSUf+Z+EIqSUm j6l4lZENOgCINEbMHvPJHTT5DZ/4+8LiaT3Eo6t0v3Pu9UiOWJP0/u8H5OY5p3EsJ1gYQ39MExJa MdcWNJ6RCm3eK9ml1r8DKmsqJBYW64KilrXXbB6OcIL4gJduT9VvYT8StkjxtwufSE3/33dqLVyW Z7cYEofN4sXEVBdV5RvKPWqlyVTJ5dnvRd1lVFlqGQ0pxS7fbGiJTgE3tduk9/DWQqAj6VQv+Ehs WyKOBUn8AFJGAWqiDNlINEaqd3ruFbV5PBFMNoXjmU3v7ZCYgpPLQRtEoPYbXMHa92ndNJW3bapF zd4CgvMCVL8UfyYuGkntfHO9b8ZxP2KzqCOd1fAPD4H+CqLOR1B7djmdTMhA3sE1sVudyQ8cnYWj RyUQYK4xaCzPDriZBD+wgJv/mXIfUx3Zja2TblGxAIkiqvHPeHHCIdtypguvTqdL8675oMxO1Htc maEPYNsoBHJJJ1j/FLy0/rNHXkgncB/zfgyOn0kTsysqhhhZTgkJSSv45beTwdZlyIoJcD6i3bT6 7ukFLpPqc2SRN5zieIDtJCh3X7uBMptqYRFAkS48nDtzNzx/m2s/JjFqS13zKGn6+dxVy83rz2JO iV1INcn1wiH025Cce1uwVfLx25Znp3zm8zjfFxeo4+ug0guGMWLvwmPpd0cgbVpWbTeIuTvU/BD9 Y1Dy0vXm1nPotrpHIBYx/ww4/LYvf3dWc2omub4obP5PBNbO3uFyMgYRJZryjJ7Y78HEyaj35VRR 0wQkBPggA38NxY/8MpakQlw4waHfX0L26Epv149tffJ40QtJ2wbmPvfBsUMsT1MpiUecvXZyv9eb 6K5mUGE8GoqjxQrInDsoU6revaipGhriheIqWL9Dvb0Ae/xwgYXUgxZYIbX/Qx3elCz2aWGrQ7Rx hk4sc/0eCgy0xL0eI9ppoQB2s14P8b/uisAyT42VIGp8MEsCWEll9VWL+qnkEL8h4BKIbeOSSCOy YUeUEA5KPcTUwsgY4cEBPr1KJExAMoEZw6lKx9BGLUiFAKibnbYkbxRjyJPi+hyPV8SnQ+1tH/sa gIRH2O0QVf9JhLWy90FZHmvwx3eHsOiaBJrSIOwHxT3MrYSk14nmFqFkCfGg/uhokJpCWvsa3r8L +TqDP1Y5Tsjy26GZB2GDz3SM1PfR5skMb61LxtRvOnSiYmatQAmscXWyoubzS39poNLj/MaesHbL 8xBI24ocouJ7e4+uGcl9sxGTGLGczPFz/UpV6S03FiF2f4NLxG4c487K1PXqM8iN9iOHCeHzu0eH 0Ot/2dHBIE2XJX9v5kPqbAhs3GzOg+I2c6vPBA+77BRsobkDzHgH7BpJIPbIp6aKrLSOBmvo/S7w g1Y6eoqMjT40aUhB+PyJOBC/bc2awmhW9WEpLPNNcYDOlj8JGc79WfwFaAS49fmV95DVk59des7o hbR2cuM8XSxNBxFYNmzE7ompusO8cqsO+7JfgVTFiAI3N2EwFLcWmZ4znw+T9sPnrAdp36WKn8P7 O8/52Q4JMYr6iPZ/CPAbYIg6wcVtoVbxZTheuSpoRFmffjujiT/BtDWTB0csUgjm9qm7f468tEQ3 811ZmiCfzPdpF7Ca6RvRAc0EGkAGAuT1GP+mUOAWGv/tAMX9vt4CTWKM40jUhApi0KsLY6zDFz11 oG9PjRkBoNhPia+KY1vGxZdp3OCUqn3404KaL9gIwr3cvbAWFhsbqkJGvsgNdQPMhReMbrHtcOMO 70ThWyPmIzos7mSCQ2w8s/Cszu7PV2W9bKzXzPzDoRz99MTi5htjeTGvieX4zEP+6flpVTsu6JYR rgWcOhxQYOvBOnPokx5AHRDk6qxv/bLi4CCHit58aTopgbzW7CdBgp7fIxw3YKvxo878gfSFg6qT Kw7XdkEk8T1ahcgDPKSwuqLkW/21SetV/D9BWojnr3anPbzTpFw9tCnxcxpBgf2jdrJro9hIsG+y I35l+3rXlCjTVh7Bprsz6wiJZcLS0Zhg64h0XRNN0yPCuFPo3zN3PJZGeT5cCZEApmOKLZABUEQP a8lQ403nKJBWKGD3qswEKZsEv+9wozUraYXvgPkjtUv4syMn1tOc/y3GasJUX7AnPKoT7D2VTudD ebDr3rYkMGVFWTJp/nwint7BhH5bTgJWm1KxKgQnbCLZYgDRZfC+5k60BAl1OVwxNgevChrhtPGr DzMz2wP3kg8FZIovIpafj/VuQFfHWnDSl8BTdl6SIkL5YttCDTTfavVzom8Rn+Ok9IoejaXAFdoa xK+ASdgeNk/QkQ111KdFMM3Yte15zWQRgqqsaakUdIKgySUg3RJS4Xa14sMNaOGpBm1+NoVSNOVo zQE5HVTlz0L8BrKOGgDaemXeVgyiCaZyMxBgH1bplQUnyAmNY4gvC3I3eEGQawCXO/RNH8HrtU2Q MXskfA5BoY1g3c8ZAjSSZjroFsj51iAdQtkO8xL/N/HP7rVc3Mpq5MtZViEaUETEKz3BgbKHLLQF DcbR7dcgTSqvsT0vwT0Q1BtPMwreu3Djhs61CEcK4gOf0M/XcY9WDHuHJt0+ALbSBYWD1ClzCUjR BDp+qB7bgu/DZC39XEa8GjWoHN0djnOq5Xo+cUTHvqPo9sEymIv8ZOnVkJQWUwSB1Ez41W9XU3J+ yw1xbA6mzKUPaEipIwfJ5HAIhxQYFuAqZix/iG6hZNF1D3Y/yoQ6NURG2aS+KInX9J//htBIMeW/ 0vkfOlksaryMmw17adXj6G99OgAWrgsuE6L2tctO8a7GWOmzSZ50St1cMomiGyUsB31iXaS23+86 FLenmtBQwwvV+vMRBqrZfqf5auBrXy4Cm3ZDk+lGJNkfubiQcdK8am5cwrv6pQfBpF8SpCG5Ao+n ypxKniCtzKAWERZh8ByxvtagilDZF0w+Hm40aW4bmRXz/yUJyeo8VSHx5OZrChKgpPNTf5tWotz5 vkA+wThFYFHhI1Hz1+S0cUY7rQgI8S7haYUs8ANS+EY0UdBTX6M0DUPDCBXFbVo7Ca9ksEoCvRBb sqgZxGes7kZ2iVpWz1ZhFCVigEUwUSMCXUN95wkYMONiulUI74Hx121LZEIaucEa/zqlRibB0kbH D9bxjopkOMvZDFjWA918K91AjqaqjSx1vmjyoHzTs+njtCcmXOtD8Vp32P/knpB4GHzBv6xXBi8L IeyAeELtNpZUfmY3QSlPjNaYaMWpWsmcH4VzCpgEH0Aeyxrs0nqQlAh8XTyxz4uEG5fNog8NWISm NnQyulfA5yLUXKHlkr0+dkjANkmS1G8oTQyqlvDsHkp9VcvMrMYJzjx91+2W/omO7UtxrUDBhIP3 BUTZlABkWzcoyy9cYtE3J5xBpS8cMbhB6pzebxbrGTQ7E6Uu6E/+EPYYwz2hmFU5EeUqyYqxqADN 9k6r/9BtWj8qiyj5BZ7vMNrkjPqRdzBAiyYfUpRTIciOro7jW19W4NXBsYVARokRo5Yn00J4Ocol MvH9lhGLoivVf8l7ECPWjCC3+IOQr7TQja5voEQtC8wLwCPdHZg6MuKkMtkJDNxYBx02Do2mzQlh 08R8+eToI8NVKlNq8XkB3Nqdb+2fYI+S8bwfrAt98vl34u0jEPUBk4khQddqp9bRPOra8AMNdZ/t yDpDZp9IxxUjX1aRHSQaXn0uuNK6esTCJiQFk5upgbvrgW49rw3LxfHs7hufgqVdYs4/sE7aZ+3M ufwHHfR+3BqRRmqbZX4pEN1cjVVMFos+ZRKdWHwUJL47CMalRo9/Y8W3rc/jp5chPFio6NZK6XbX XTw+0XNKxPycRI89SbE4h0c0gwendWfd0lhptGzXsvsWZCwCYilRRYWkSEeZdfyOSIVyJCoz/2iD Kq3EyyD3w4uuoujxQRnEkL4rRraIRlOXe0EQiFw84FAmH+tLlLI+BN5Z/lV6oKn2WlXeSZUM+aI+ AOzFOPrirNIOQjesc0nWYpFquu4YCPQDgw15Wq+kWt0FBLIzbaIOpZnTqIwYg8UA3GteU3o/cKMC Rf5TJQQA0Zy+/VN8l05Mm00QR5snzEO930ERGyepEP64EwHraj083z48ndX0L52rc9CcW6lh0PoO IZdw+pnxbcjoeG0uaV+Uj+mU/dNs0F0e4aBSvh5/62oTzfr0+7ZVYKrIt9Z243I/bKed7NxDbOaR QEzbIk5TSClxaF2iPmBiYP+IZsFyeQ+5FPGrCjCiP5j8YY1tUYNOGyLsNZH7ACFuaXFsLsTLi0rZ OZiOVPWn4k8K1hvIAwnoXw8MOzjq+0HJ14of8+aUcjLYF+396U1l/EnrIf6s6wbT/J8orxHxlSQV QBjTPjpB7MDWNdZj/ZSIubh7vI1/KHGL7phO8RhQTtezgGHoaaDoq5mRRHcGWC7BhGN0LuuYOG+f zTa6dZ3821U8GZHcSZbePWaHdxWE3ALJUng43TuSq4tOR6SCNI1vVMHV93wekzy6nS/LR2CXn8js RPopwEdPxKZNpB4DlYxnCKBxZS3fQ/UMGWMjYrXKTHvzX80W7aUVdAIQsqz0qwNX3tIYVaCmSZFX h5nSwb8HSMAMK3rgyVK+vOpjvcUEPcEpSW4Ixe1Gqp9AeZEpe/gpvqczvVAzk3zGSCH+QDvPczmZ 7OM775/LVDV1Vr3pNeOohOkJle2qiXM6KIPzwH72z6O0CsHkxFw2d9p3avoZTXOloUqaFalUZmVH 8m0XXb8QKgXsoj7rEMoVhZiufNljWBDZH6so1MRP9fJ/kLPjG/9G/XAP+rYsdoKTFZXt4QkxTdQn Z8VF874DVvpbJZpb32feBzctwNikW/hN+d1wyb6YmEWP1cqzbjbyOvSIzlKWx2p8Ir/+atGlNgRt ZgyLUY09j86oLpkk5GrfgOChpmgDU78vogUA7x30d7C2wCcN6adsxpx3XS993NtRiInpwDiG4KA8 slQFCMRyNxFCIjejPlMdxCran7Usak+RJOWCkFL/q8DdyKU8Sh6Z/5PmJE0som9QxBMbVC6jHcWY Zx7BKsIfK0nJCn2b1D419zTb76IRul7W/BtfOa6mMe0bedPDrwyEj0WhhOpxuvtMYyjfsTy2fnBY lOkI/RQmla4qFhYquE+TBa/ipkmPT14jQET+Ds78e8w3CrqieVA0KEWCl+0/NEuJJdkxq13xYjGT RMZ7AB6BqM7uEw9O9rX5YsFJovyvjty+r2V5o3umjPBYQKgtElbi3KK3hbQqQujHJB7lSm/LHLCt wTiTMs6sjMviyQD9p4h9fN2LuFH4A6A7rFh6x15KYXiO8nMGGuTeY5vXPZcDIFc+WMndSO8b3muC ueZJE+pQ8cYAvZqLadNx8BVTk8PuDeOrfDcAqfNg6fOMcg8WrsAhp/Wtg97xHQm9/8Rrpsr4x03H P0jzESpEOG9PUerG4m1Si5SW7Le7GKaWREBRMHyLCHwacVFbs74lfI38vPxAJeq4vvYP9WFeAkdY SLlYXKl+iW6dlKytMfUZ0mP9Gg9ywfkqd/YeLwS/b+k+b0TWFLzbZK0RbksHcINKfxWt63LRvZxH j/xhPycwegPsjya50uoCLQbNW682Uj50ejrwloXmfxqxNgrnODyImPZnE3O2Tzakf78G+TBTH5i7 +vP5MRnWW0B/BhwPk88LaXobWDyd0WJWyiJ4eFSTlb7EQYXS2LGgq6xMR1t2i9TYfS/eYSfOIPod 3ueDePBJTu9C6QZKdDnj8mzUVBW9P5RFPCheJhUoeN6pQHR3e9JAUwejPK2jl3rNs6/h5eCnOufT SKDAR6bAzhtMvT4JcJecSXnWPtoLRb6ecEkv9BNHG4UzheZIq+DaIoFiysw+58DdDd4WeDvCQoPe IjcIHi8VHCyRsJsUp/FGffsp/t1bFBLq0Fm4ekrcGWwlvB4VGTaplu+MdCikKAHo31rR88zsZ147 kvW1ZaYLqekiZPxcoZKK07SzryCopr897ATODq+HTCkNmeaUWFFjl/wB59h1Xsshn7tEHqJ1WPK/ 1uam5SaLRFrebh9xLGrk8av+h3/WEdVtEUdtwx9aq+y0q5LQ2t9EzuOPj18KVXbXWG8OPOWydHtO 28Wobt9Jr17yd+ttbF985qMp6t9vVaWYNqBYGpTYxKXpIo+orIMlF6LIAV2BG8zOej5bt41gykCE OvBCWYocupUROPErR9JIBZGEh8nM7g83YnOwrBJzGRctHtpArRapghOsWOGX+nx7JNl7pnY3ph6C TJXLCR8keFCvVF5VDxC4/WwKtGIonMA/FbU7yXJOUdwkJZuY9So6Ji8EK5llfnNsohhyS1xoB93g SJ2EitZ7gwfDbTXTue6aNfLn8SxdYJd/D+4mKcLGgAFMGONjj31fQw4X3TNaZBSUgAsXBtg6UUCS ZkSf7SsyrZccRFKNXtz6A+1fFHUSGPITBWXmC7AH9GiaK7TeVcuIMtKTBtjdJKswMpjAJd48T3Lq yZr/oRQUp6lD5TCC4XLWsjR/yOvN2khJbRA6905RYoAVzMZ8Egr8YpzI5jqWBz56gAF+dXSTcX8M JNmmD4wFKGkbhW4TWJD+EpjvbadnaoFd6x21chT8+D9fk9j9U2NUUaAKhGS5NsITIvVaQ8LhKYtr EHAhK1WNNySznXsm1Hzf4R23ux8yvealIbJS8r7EEDLqrj5cLrwf0pvQt0FQENeJUoP4R1KIfrjb mtLEEEl+gmEPqFPxeY2TO06iUE3cnd+4QVUBWo8mLWIfds0MbNJHYZcnpTwFMfCt5ITVVx245V+Y AwsV0Jqj0+smwhAakeEWM2YHUpgbpyoOaiYOCQFzFlvCb0+0eXFwQ3xMwsgiwiZoMukQczvFEuI+ 7XmghznUBKbgYLlUynKK+/Y0G2IKje2vyrE/ApryI+R9ZSDEMOnY3E+wQKWpOcW+0aZZNbTKY863 QUVdhNdOnT2Q7PNWhLUs/W2YXb6Z9TzFAEfn10Tz79E8KVvK6bcf5HBq/0hBWbuNffj2Yb0Ix1P4 5O915Un39BNM9XTAM2ajfkhAUnG8ZCiHMwqm6BdA+gXH1KNOYzYSvFTSRldrrg8tG0aNtuVFOqdB EJljNG/57eXzs2u0F3Tg0BxARqw6iZdpE6AkE3gvL2p2Hu0sr8Lq/TDk1ykZiBiuy12lR75ixhQi TKNlsY7qLfTRflHrv4BsChsyc4s7dQnrcXZWV421gp0bPYvQuiCrFfhKRh3DleZ157UDVs0hfOAm zZfGzgJ3Q4EAc9Mcpi3OnlsYI2z8eXnnGCtp1yIf/fMeeM0vQZnreOTALPYWapI22RrnI5RpCZnl 0fOtvr7tLxCxaeVyNz3EBNGoAvPmyOLKOoF5RzWjDosupQCrxQvqfzfUaAFspeSMfwMXDTjXJEPR 5qAL6g1wy+Nbi2wvCovMbst26kJABoNnA7e17oj6xL+hQ8NuIAX0+xbIH2QtCibkxd+R6A8I8ZiS AjUkjbmDLWL3wybrwGTQjNZ9HMJmvb4TIJOaNdfOG7mWq2GdmIYySXUcHSmXpdUiPv68bhUhdyS9 7pq6LCXLkl2xwfJhd0Nv3jz5tDpy7Gcw6fq8KJwD5qD4wr9X1N4iuIECFzhcH3tUGlDHMgPzyY1z 48c6JIx32wzbfuanky5rJ0L63qKKjrH/+IG38OTnD/dzmzDrFDF2Gotc+Qs6WHUR2sKDoAiutZi5 ZTsdLcfZEM5LYezYxSNuZnfpi94+9Plcqg2+sLo4lPgOlcARzvv4YGjw2d3W4Kc2EWgXhC/FkIV3 uSxznfsluncHAcj8XkfOob+BHbDCggoLG8mMK8PNlZLqtGlR24ekn7vK/yp/F98t4dNnYkjTl+VZ 8y2U8VsBzHDvxUkYlR8POkUlKJd5BHIYjUH8+twfjvoYIkZm0v9mUe4wesT7uz3fr9rhvnc/JMBO KDULPJRFfMUj0yiSkibjEt7GCVENC8nLcRPUsPpIn2sqrOlsDdFzObkAg/cNJD/sjAGLgVhkNgyF aQb2Ucx2Z+8pZ1hVnRe7XZ4GunqoWD4jzY7cvkd7dtZDHV+ZF49/5wUiIavYxB1YWgi2n9EPyxKK L/gg9STvJikF22QV//n5BaZUlSbg8tM1FzHDIt+YI5Kqi5wh3rUcLlw7CTUUuvXXxXTXX1ELrHi1 2csSHwq/ZYVPsMGXffvNEh/YJ4Z/KbnzQSsLG9hqnh6vHoVnRCWccML362xNygMnCswWpOuqJOt9 5Jnad85ea9+1AzSVqJHW7xNfogofeYNuIRfarwr9aey5rCSFwdGOEEAY6l76EpQiuFUnVLIzLiHP dvBra7FA8ms5ncJei+Tbb4JEBhcIO2sE9qtLVUyO+uZ6zpnCMsQPHWBBciVKh005FBJGrIXo5+Sl QGEwY3Nwo7JmbtOvSNLjDxEzvSBvkfs0Olz0uYCZO4q1SGbU3WoueXz1CDcyXIF71B3Y3b6UTHHI 3w2L4wkIosZYbYOdvyA3B3jeeSa7NIaeu8nfcsoz0KkTvl6ay9k2yUSlLkvqIHzV1zmpXW4aqkTM uyShXu24neQTiLwhTD8DNU+qpMocU6r3eMFaCVqTP7y46SPH0vhntVqKNWAWCL/oMRhaYQ1sj2kH Qjp5El6iupqyRIV+y9Eu4AgFifWdeiGVXEoBOR3DJjQ9w1FEllvN05gp98qFVSY+++l+7dqUYWvA /OdDV/oEPJj+3zLwO8LrkpfF5ekxmqhHOB9iGSxWDdYOOt6pYjL+echOujh3BkHsZkpB0PNMNDXT FbjOME5Lal/bFYBNjTkYffYajpdl0hEPdLM+6qkMe/gW9FEhQEOSwQdoipmOkW6Bt+r3yamRJqmH qA930vKrgDxr6P170lvolXQS5Ww5RVWU0MgX+muR6/xbnMCDksoAUgGtAcXwWlyjxkiYqblCSYyU Sj+iFjIz0qzeBKANuJBriXNyjTdkcjWgURRUgyWSwu2tj9BIZsqeg2/HWY11p0Frv7mabjSXT3vK pkIitPe0OY6dE1QJIwblgWVbCcHnN9c/jMkxmNib7xUL2vVJrzquiYg5ylDfB7jLPvQ9wS2gdN9P Y31vMvZ6MEP4dKf8qKfdCveLHAqOuwdXa+cELKoHrlUYcKvgXa25PaQZ4lzMt8DYBqerBlqiARUZ LF3EoNnJBtixwWSwYYN2xEr+fNGik3LpOGnWJWDRDMP2W8+1yjJnogSAktt3dVI9kWstZQjJL0e8 tRvh3xL+xyz7bTrenPCvWXI/WxXDwe8Y7sgbS5+4CMk9Mf2hMXF6WUz2Qa96X5aR4QBzByJ4tZ7u JLLSoAmoXXaXuTZju6riNzoblTWf8IPsYbI82bR6/484Vd32tLiij2zCe24IhGkpJXLYt7uYDwdh vWsgOfR6KjJYtM0VHN0KMlbidZ5iEDKtfuy5XtEJ+0Ngi9O3YwXyCN3jb8+xTraOeUNt+i1aauYe 8u1qWDKQVK3u+mk2plsQs825z0vvttphf6PrEp2Lo8R5ZVwrSoJQy+lr8hUjq21GAtgbkuqsNjyW j87dqVUhkrRPSw7zQVnop3dR8k6Td+y0cbOIGY8i8vE3EyVycLeSq9eRgMP+UnMOoP+9ZakqHpVw K6HYyH0xkp3IAEYmXLIDh8Tv9vo8Mmh32SNeGjwmOGS2P8bnZN6AjFgReWsH18y/rri9mxPH7YCy T2us5/nluJ/R/X5kHbm5erWaU0sEJu8DFqECirvXj37NuJiWDSIkK1/fNZtJoCY0qEvGS3kFmVJ7 ZXgdf55YMJV5PUY6RcwOUvjLtNL7MyMorf30OC+r2KQ85yiUs2bF/FB2LgY8JA2mNm1OyOGAIXXP B7fqcdbLW3TtYD9ylp/FCSTL4jLGN05GR+eFqOFJ/ZO2lqImUtmUbSZF1Vyp5+8cAeLm0K5tMnph ZcSSr0sk9cWG+rciKh2Lzi90nQ5hDFzWy50+4nBhDSDUK1PvSZqDk0ayGOV6heODuNO25O6Ryt1T i8qgJRlvy6RHqDUADxPaZZJ0BDdNAuk7uJXeloWi60kmG6j1PjZp4SJ5jwXhva39edVzcniIEOzC ZrtGYUt6hfoyXTEIA2PStz3pDDk8Ky4Yg1Kvu1bnfWVZo074TPuWuutBGkVtWiAPqaaGDcxpDr90 W7pyETNEKeFQ4F/F96DoQrBkbwfzIucVeYkjZqAEIunm6xYkl1oRDtBjgeTQjROWSM/dY44y9RiP m7DyooSBRCTGqS369Go57s4pCW8OMH8BJuVK4lbwXswlPmbuHjf1aGm49gCZmk3Fp3dRWAqrEHZO xCc8KV3JhgtyTzelNgblGOYyqVDjiUDVk0nQa8gvCNiMVzefUwTPvLdFadJP6+nRXXqoykURB+yS aOH7s79YNlNd+hPX0ycSI9DL+Lc7xS3l+wTso+kk1giLXi2jqbo42RFj2njA8UijozZ1ilA7O+bn AFH9cr+rxMW8dMbyqZje8FxfwA/yqQJ0X4fYoh6U5GRqrXGw26i/qfn12n+XOZmoUHct4syp59PX TGzucT8eHVE7eAVQhbU2uD+fR3/ZEkzGfLaYNRPRkjfPrnixpNMHUhXdnztSIZcCEl9R8XbY3T+G 0ycAsCqQfYhmhbSVsntq7pwSoQ0W2/Hk7475evivEc7fo1vcu2N1p6fZMVxttUMwpvbcZOpPQ1N8 gbE43Lxw63UQ0wu4zMpRuw5soTs2EW0ZtbHrPd/ETn19559OCFqe9FRejl5cXpiAHh0jVords+7G yZHOxiDY15ShOPBS71GFe2SJw+GaeqgxRw/FB4p87W3TfPp6axbR5j0XKKItsWh41+qafAkBnZ4O 9+zXklAhqy0Zvs2EEZqY3I55ypogofol8BULvKoGblZ3jORhK2IKcP9DzTmPpUs8N4g4LHrY40eL mfYQF5QTApXviLm7HQYbcsrqoJ9kzo6CxrfgYzlbExDLnDGXlkbVTeb5jfWlE96rXrFUNoF4luOe nb4HxiHLT8fq8s2EWOLgeb685adBbJKv+6Xa/mYu2iyTMJASyhHj71rScEMaurRAQ5bRL9FSkiqq pdtbM+HZObtCoytJwrjHdLta0KPABS4rBR1D0lj6g1K/r0aCQ1iGlwz3EIez2cpHKGi4yEKUV/+T yyIvJRNsxZsaKmXHyrlAXqGDDSOPHa1iniZ2wcC25xRS4C/DhLAVGQ5BIYFCr2HhYrw4gCIyiOt5 HTcv9+zCB1DTev49S5Ac2coB9Tl+ylKMRiNV1Psi9ZfJ9Y5Cho1rrIHbum+sB7ydEN/BR8rZdt1z V2rNitTOv06WUQ4RuXW+6gBvZ4CU4wKi7Zi5zh6td/h5lVCPujADIL3mny8n47nPRmG1Ey0h1m+y drfOTweHOQwY9xtekTRTnrSGc40PJbd3Qk+4/kZMTU2hQ+LLrHN3fmrbungafYvPrjZg2eWH1nQd rqGNJakGYeES/P42il81I0+awG+EHhJXUvz6VexUuexfEAsOvi7SfWGIRPPP3tM4SSwSLQBV7Klh Y4Y8y3BQd2vjQ9YjA3NyHjYjSznoRmX4kON+DbtwTZbUpfj3WyS0taUxekGX2S2iWDAp9Ju60UKQ I1DXXcAFQKlLy499aY+wC8dXqRIe41tRtFULLygwahJUOhekwFRzqYN5Mg+BNAM3YKRj655q+jqf il1IWz1jbvonwtFf9lTqSBo8o3VJdjHsvbEz7bJ7UbeMG5amMhBfu8RECMfLCbr0WqnIy4Xf8CsB rC9YUQCdAsaiPa/nNqIG+WM1dZfpazmZhVqZdySqpL/6bdCPAuWTPTb2L2A9bkI3bUkbnelQ/x2V cEnuxl0IhiAjJ+t5L7mGTvITIJy/FIIdGR3Q8rZKLP+1phmW5IC9y04W2KgRmVL6XwA9Wk5YJG6t d7PmrWNw5f0DGzdbC/6bfYwPmJNuQGPW4wAE4WWWEIeGCbKCNv/kECleJBlf1Sczkdu7vVrfd0aM cyaCwh3vvJirA8w8U5eD7uKOQdTvvKFBSGiVdOqqVROdWBgu+GZqhrzC+QNbmMKMH2JTBUeOHB1f 80pvfJUYDs8JsntbsPjyHL75kEs9M+vBkf+6NZH5HEECvEJdY7CBzv0Mbi4AMmhM5QE8kMtd2qni YqevfuAxZiso0eQlG9g8R4dNDe8XAxL0uf7M/nK74rFB4lLbBDkC5ZqJI7EAgeM97ihqQIbzALP+ FmZp2dqPphcLacdPn32REQgxUZD3MblLsUk3lCiB1Pr5D/bJ8M7et5FpuegLBrqDEQsCXDtPtL8I bJnFEIxlVoKfmciCWuaQqJ07+jfxZlo9/3PKTBB1MpliFZC3Bb+MKOVw/pC0fHQbjVEj7i+E6Bsr i+xcR3t0F/kATKqJjFTpR0PkiUvQKujxJQ752zODYdRLTpIG3cZOupUCITy4rRKjrq15xE2klExw j7XztWpt/3CMp9cIHYVOlFi6tQFR6xhBQ0NAggmeXl2B/Iy5riEPDk5FeQOxCRE88HCFM/4nyplt 3RPzdY58LletDNCoSPbsJegh4S8dZ+1cMLmsf0jgipuJAyjwt1nvvw2TeHQB9zm5fYFCzDdlKaRB IciCa/lb8ZZhmPGVxMtMPmKLx4Uz+T8IHcj9Z+04I4xw29CbNc/dLUiWi2PNp9Sl0X399m7fCcTI U/JMCQIs8b5VwRfnw+iWVHvcNvsYkO3to6XWGLHT5CRNd7QhtK3LdlIZYUHjbopn/Ph48iFzj96O vFC0cgsrFMXTflkAsmYRjt/YFaVhqQrP/2fHrWaHaLo5/Fr7DDtFhj2EWdFMqjc4Bzdy7JKyUK/5 ZbQkRE61257Sn3xgOzN9NoOM97S1H61cn8mrhEL2B3f942J0mBJJZA7hGpHN7eItDOmoYJ6At0Ia zQdPXOwNSCcBhb/5ojM8Ha0ccG5WtfvWgxkuv0yth+d6BItMQL4SGxE3A+3Dtbjg1G2iShqtL29q jTo+bynp2NitEVTKegOPy0TErGXLtZ+C9PQMB0N7ctndjVo9k6xbCUtEZburydVgIJsGsQL2RKkg tnGBSKaN2lK2gRWcxDEonGc6HHCbAbk+fW3zKlI5wbR0ga4IqyAwLm+7eQl3i8nsbJBNfMmSe5vO leceAkKKKnz8taZLGhuicv0MvGHUqDwyYRwEcOL161LRF+gxBor61YOnJrx/gA42KkZCxLBerfNL 48/7Q+qfxyvTdyzI4a1aeS1ra/MBy2U315ZUwP7YnHx17p8pXrE5zH/MChkGj8GyKbOOC3EWpi6t QLJYVq03ufzLR6QUojXlPodrWgPfBVKLf01qaIJcFldbr1yRBS6amY5v9wfYF714c20VTT+SDO0t rjgvqaM1X8LP5klSypNjBLQyZfT4A0HW+2mkLaPy9joWJsMOrGjwqlr87s2Qkas130W0noYX0S3h PtBMWxVgJFzb3F1a9aVPVeFPrs+iYE+t/9VJS3Qw89/1EQFWZZm4r6jSdALUyI6zWH6r2YpWL7oU 8iyN6OBJVQj4DuFH6Nlz+N5Yb78AjigUWbwfnA2w6IXyVtmj9jvKLTXLraqp4poUZ5nHbL5W3i70 nj90oJ2BLB05KOPCaKGS+fZT7IZzBuNI1TmDjAB0RQZ2y5EFRY+vRf6oveR/zSr6Ht/RLA7kjPXe JZYBip8GBEyWb/nOBCRG1fAEoKNF2D+jzR1oGANKu7lR1sfTVWk5AubGyQpQQUFosyxg2e8/Hk4m cQOaSsN1Hu7ZUpxYqjUsAF0AseFkOMcGgCYDgi3bmTQsbWu9Il9Ss4bWciODQO/SV3AwvMnwNjtp CWCthJqvNiD6PGzWoPZ+JxR2V1srmOV37tXJGagNZk7mlL+er9GYhR6A6l5F5iBUzkV6G/HT5Agq 7hlHnWLwHwT5VYPPZmm41u3Nq+chm+MhmnO4hhBmejEtLPvQ//jCYReNdADaWoX1EQMD6kl9e55G Fb1KaeZ7SpVXdpZLFIu0xccL8Sl8s/msJHXzqqxaw1fvikJI1YDRBJE9lRHAmrOOzXNaePhOTbgQ shLSVml9n7ofOLx1XhGQo69i41PQJWjq+rgS5C56BhwSNLAfAF6ThsGOAIVtuwZfG2P/oHDtWz6f 3F0W8dBY8E7mUQW+kz/Jdoka4EFOOWougbbIK1arDNJgQmBgAqbOXmBsD1YTtDdpxlhee0vAds/L EHVlxyLtRy6MEUl3/+jPl8FrwGvRM7waPBXmpsRgv6puC07LCJrC6+DnzPt4f96GoP57HgkejIoG WbJYcu+ep0cwAKIhvZTH+HYp3QQ4JjuQMv20TCdVyntrNYWrb4j0nhPl2e9gNdU7T53GMT1zPwGN JvSq4A5sTPBSzde48HzyyNazG0+vMyKrAZFEwdIl0ZPltOehk4nFHz75CXSO4ZPnnrSXdz3qNQSq zUvD8WixAAkNk5ePlJNPla6XnMNBPZBg+MmYuTz5vqbH5IOHIc/34wBaFjiTQpL1jrRUkun43120 PXCbBY3MSO2n5vmUjA01K/TOpJaFXFRQdRWQSDgVxcInUswv3frW/qgO4T2mAT7SnRDe5dkcxq4w QaV/2fZQ1v5AIHs3Ndsjrk/SVzbFnwEEtbacojYvv87aeWlSi/eiY2XKnW6VdcavICptUsS65yP6 xF6YkrBs6gxfkGj7FqUNRPZACowqmHCjVMHTMBGwnGdII8BsPf+w1kuHducl8FAm10nmbxtj4aAs bh1XPRrwCvtCNXHc8tC+DIPvPb4rNSW5HSjuOaLt7kuOyiIZdqFEtHydYG/bWPPQAAtXP6peEHC6 l5SG5/8iG+UdDjvI6RvbcV9LK+hRdu9qGOu5x3q10fMsSCSkxw8i0VFHCLvNk2pzrb5kjdAbLIhH moS0iQV+XYom9NXv7bLt+JDQDdB5dKzQmCR5UjezMTF8ie3E2WwdiDH4KVoUpdS9wTkWCTbLDgU4 WngxFVrtXAQI9XBbeBNxD2PjC3jhqsN9bl2Qp5XlKP/8PKXbFyLMP2+5n5dllvycrl9rwb0ruYJd qvL8lFtJLDTPR/zhO9OY32lP2Z/z/dzupRHVnuaBTGAv8Gil8AtJF/7vYV5Gtzr2hMqTc6Sk1NVW Zy6y6HTrC3JhiQDvybQUVAgqYaoOh6Pj/KmE/QVThAcng8aE+x/Z2qhTwi+9DV9AnQfCcoQAnYwk xmlci2oHpeACN5yArk4zgjlYva2lKu1a8ZdHK/C5PFw9Qw71dNok3qTfL1+28j1eSNQij7uU8+2L 0USXPD0c5rigvXvnQhMvpTcUJe3M8GFScKWJvMEYMT2okGNXGjTSDKYXy4yjw4iMl0oXgBMXyQDI 2aEK2Ksp3dQTBmJsLNobudX45CjSx00HXUgDVpw0X3jy3CZAuJwsFKNSYWohvOsON1dWsjW/Pr8c hMGYWd4q+Fov+oEmlMiVLF25SzTKDjr9WZEce/2o/vqSTQFPvFLyGxM4TsS/kEsWFYm1zT1jwSYO QUIUKYfudnGoPLfKemXmFsB6rEA6KTCYHq9WgMKqM5saPrNcEHnY9POXamQCN5q+ZBYUG/nZFgEi YYM4KpT2jec22hovybXe/hZ1innAzXCkGib9AZIOW/tjLcjlBByyxg5VeLj/C49G1VtFVnBLK+Pb SvprNiw51BJpDwfOWNqEfxjoNU33iYviHANJ/Vb5axzUuaUEYNTcSxkBmB6+4vGX9oKF52J62Q0n 3qPPRoIvP2X7RvnvkHy19wVI2XFpGu46oixESnbFqIlgZ/GHn3GdKJ/xRt0MxpisMiP/oCqyzKwY cev6pb9KeVV4r7i1dkkQ73peLAWg+7oPKmcKEOmI7B2p5YyhUhVe/NWwv97/EwiYxGOax3jH7S3G 6FiihNdoQKW/OviJ1Gk2EDMXu4I2kUSHDa6/p0FsDl8QjF5fipqCITYbhezec/Zr3RTeZ3x+IQdr XgKEl79vqXgHLFYIuFJ6CLjpytAvKf3gvZKhxIdMzquw9ttjwzCGXmR3CzNvWecKfFp1t4tNarMW 2H4tCeO5LsHT0TX5iN06u2w07hd7qMyZ9djrZbi49qv+n8J0VdSKfhks2J51q9i11vv7caOZrH// 2/oJlggOYxUEO9h2i7RMA5H40HlaGNiRTiL0EoUmdVwyBh5ZT3Tnr0MO5R1jMpfjToDcUcz9caOJ ONmsAqEG5Df39xiapBufy3zfThhWoDalmBVik6Mp/0kPKZcAqZYTHGybklbdnRO9uFRLqCizHN2h F9WnJ4gw0e4z5/hKoxWipzWvDzO2Ix8RRgNP124Ehed9MIcjXkJxA1R7TEWOXe4Sb/uAuxRjN0fM 8lc9ol0+S0RTRP4wyWuRUybmjASvkZCD+d8aAqyOWZNeUvQW8HRyQhPAauQKi+trpb8q+SGKoMZ6 +Jz3NN2DQg76/csoM9WTXzhvh84qRNW1qpXSuxiEqkpiANkOyMhtJDYVTzrNytmmsE3HIXgH4KMu NV4yOaJ2tE13InhKaYvg/biBCXNhiPApuf/NhWPCWFJB2NSGCZAR2r5Lg7jJVCmzlO7AJ0HGWM9s Qo3yEtYNXHXQ+/3GaqRWfAHsOrg+vPMZKrLi6KOrHQ1FOyGBoAjgrsOD5llhrVTq52ZXq/csBpRV 0ZDNcLWekbZfS/4ENOs8A3oHlh4sWbId8FwIV4WagGCczyFRL4Zvf0Au/dcF7/Tgb6KF3JrYJivl KvDSgA/P3/kAIFgCQ43NsJJDxjzYIUlrNE3VNOeouLsxgPFEZP6onJ2+vLbFzMH36KC5+bCFHm6a jQlpah9N/eOTacjasFC9l+gaoRdwSNc2RtcxjQUuKrVaYOjQk34ooLs8sLSf3P9zfE96DBk6+XDl QPNVGM25xJAPx0O6w2dxeNTCFwLglO/aEniLfTHQDODBtXY6jTOaRx9KLjXdheQ1oxqjnw2netr4 ruqC6tD0UGRvbBGaV219h0cBI0xfmDbrULT7Q6Lox5U6UD8L/75EBItwrkawnPfD08PmCyNmMvLO UymvVDbkV1LCyohE6L6I5REoTVCcGoyrsS6R6jHxrhpgJDjxRA7FdROD+WAI82v1P+uM59dwaOwH m7bdHKI+QAwD96To7vk3PTgHZZOcwnYSGr5+Idq657dMgZy6rbUm5a0RGttadLX0Pv7/caVAiaCH 53u3kp96acNzq+TWJrSlUbf4EWIGsF8H2J9KDjPk7iJmP5eDlHzb04q/hgQUMYgx0fJOsaPkz9JF /ScTOc2s3v33inwqz1gf7uVSo+n4y1NiWTUTmmRw+gpvoa11Vb7lG7rzpFXHu7asO+MFN9veln1Z zcRWCgeJtqxKx//tKMrUOKC20dJ9DtCNzJO+aUIjUbCIUbjfPfyI6rm82hLFLlQSt5t3DMD3vVnD T4o9AbPcGrYd/Wbxlv9ajvMxTPCIFzcd2JdcwksqPCUwW/8XqSJb7rldFw6PlLnGuqCI3IFL1Jps Ejga5RQQ3x3TbGH4/6QGGBG3WLkTMaojSL7DvKFT36mDZjzSufhLe63B59iBMGfF9nzI/x8f4kyl /1AsexKKGH2+MHaYyL7RV9/nexzP3OZZlmxEMs8OMxueAguVgfBnkl/5pV3OzdGZs51sIcBSxQXk +h3QhEJbmnzjAU/21Z06dmMi6YtrH0mUUYsP3kQqtotKqNun6R6xq1tUrBynQ3xhqX/nUfXCYkF5 GlvwUC8XTW8EJoi4peYZDHngcYlmgCKRWnzelv3FpUDTLcjBmGnzb/gEqiUATVbxbG5ezsEMMRHs FFIhYssqXwe/KvNKBHkUF1aNZHIsBb+qBjL/n9Go8km2yW7e4WlkW+5E4mawqNAIUJAHnXrHdFR8 LCgh4dwI0v75iwJRPCulkjkaMdWJQGjHP/7uk4ZyNGROviaA+m9IymOSHnSYiNPwFrMyRh++gCg1 4f1r4CkMLx/6PIZSsULboDG19XrcMg0/p5qv4cMRLWvPpbF3A/q3KVg/kWCUa9mrrTvca3k9fvIK Qu/P0znhTdB8hh2ZUIw/tKoSMztBWFJR7q/C6lNabXPgFx6mbtHudpMS895zz+WdBpQ8WQA3a1EN MIScxhXdtXWyxqmttwbMVztFxyAOv1z507wZJD4K6JfEqT1PCVSE9QrflrHs9zDYI+uuHi5DZA2M g+LZvHLj5Vt1gHzI5cB0vxN7hNx3zau1UwQFa32xg8HhagsZLHv7ENsQDDYtRwI0CyPK45kSeNBt CFxLBtZuELcpXSRLPjkm5e58OdAD59nIvd1qoBZsVW8zfx/A3sE/vzMafhO90uK7zc42ob+mus8B UvNFmAW0weieeRTm5Y2cmUFVe3WfPYDdtEzFFtEXOXdcZjgeE1CM0hPNkmKWQ2gzyTGRYs1Wmckr TjgqoMB33yZTDkof/Nipy3rd8QwrEOibuzopLiJYDXCWXcixzURJxyFYmv+5pVXKbz0BR7ywZbt4 aWpvOeP2nP++lLHw1mghtzXx/RMnVnO6CYBEOo7BvN8GtrC0GipkCmWmgiNgyA1r7Qd3kwJavFpl PGMZKGIOwsctl2X1X6lOkpzeUwfUADYr1e8y/jZVJJizCkgYFz/bqYinugz6PokHsvZ/lYuww/Cw gFiVFT8F4nhyfnhZtOGEiPbAjihyKpSdMAspWB+Eg84p7Rsi2xKap3vgsja8eo4W7WeIHF6D1w45 TpgXeOy+k0XAbOMpuSywu+PBz+jSgu34uPdksv5tXCk11g6ovOSvhFRfH3GVSWedxy2Y0GoMgcDl vSr0Mpg12vC1Gw6xt1lwZ4nkiNUbYVxPLSL6bHbACdqlP2YG/xwM/FzxOOkTM7qtI6MAvsDQCsnu ups+rGab5JLVK9eM8d7N3pi3s+GMzjQctWcXpWx2dnkT8IOaNKWxdkyFKWVIpUqkN2fpSXHP7Owq Z55QTZ3ALni74RYnlLPwzySI7C48YU7m8ULXtmc6BFu7kj9wpF7jaid+EiOZc/OIpXutXRxFXhkW KWZkHL248qJov6nEwKdEHVInVqvWkO7iOS2jDA/m0lvoxXDhAZJyFqmHMY5s5mKmp0LT7Vhm984g zOa+0UHADevJJOLAvbWtLcOIPIQoDtsWW8C2OUp7Grp2jdFGW4xjTt1phHybjH+eelEgMPEqGJQZ IvzyDS4cPCI3ewniw2WaAatfWy6JvF/eRSWIR9bI82yVX0ABAgevZm9GjsGs3BzbZVaXQi6hdtXL yNDSvBVKAPJVPnWJZ7GCOrNqMX1Yb1UIQS6uM04lkUpCJNQeldJgBbI33pLwqOxcS3PXLVY1t7Sq tyShzkgMvN79VDTYd0Bq71G1jZfQHli9BNPbQpmpz8/iK+H/l8SyKS3ha3Up6WQzSkSY2vfz38VJ dT08hxyrFokcNF54wye6plTloufXmBjqzh7Zh6DJDbe+6QvmN7Uc6VHA9LxfO16iNj24PgU6KZrO WqbUzvOCFj22hn8Tv68nEtE/EvyY94F7JctVV+3SDRSIEyZH8nRYcO4mo73vPZbBfxMQf74tthZj wBHMmSQfl15VsnQNpw3YtXjFxPNU6x0ZG++XxAK69KalDGL63XbUay9L6/wlz5DUi5zaheH6ixkZ Mqmvz2S81fRpilBGS+uk/xH4dJZqLRDmgQ7lScuxRyJojDj+G0zEUtyJe1quJrDmwL5skqFyAfy8 XGVQg33rBR0zlnlCQ8Nf5b9beSSCL2y/988eAkymnVR9hBxyc72vYrWGmX+3S8Rdp3tZo/RfREbp STw8ZkbZzbPUVZozYWEgY686A1rLxA5TKFqYQ9m63he+3luD9X0Y18LrCfSOwz/kzxam9/g+NwqT P8b9nb4GXpX4Wu2J6uj8psigQt5ubzInpXGGsanYE8UGuFSIPrrge83EV6mOaRS7mRc1dLj18KEP eVIejoawW/pO3WGP6ZQj84gX9+v2L59CxkxTa6oF26hLQkMAnBtW5JImrQYN1GMKYk72whYnQ047 Qx5cbhLwpaMzDo8Mewu3x6tUzuJfCDcZgZg2uhZaHmlN1dc7MoeNGVnSvVMpGkwGAVibQ2iIf5Th Me4LEUDSd9E8K8LFj440mgP/qfgVerBQKZB+aQnWDFr7qEUbR0i3qBOYImFiFllnA6HZsF7oFWpy hqyuEN5ra5tUtEY0fZJPb2VLxIbESBkPWkOtper1PnKNmVO6bS/pkhKmnq1RJUlaSilDehILYYhv LtexqIm/kmPqzN0hSuHLey0FKR0X2rIG4JLDEooLV4UdI/KdkiRcfTd8yLtCt7NKaW04ITohmM+7 GZOk/nWtqtpeNtzw50m8b2WJREdWoqZd8mD9NLrbUdOcuE15R3KqHqTfET9c+38ro1hzTkL+kdgU sjj08+IeQ2Efq75QItymActMj704mPyDHzwp/5pAIjCdC6b40FhQPOSLWLfpHX5dchR72VbzPyyC 2AQkayuo9aLIsGe+xTEBf7GkRrf+OxTg91xK3GLxej022iXL+6BGixOfdCfYZgTmu30n5LU8XMwk qogXqVBAH5dBrSOGmRN0MYRaxl0oeEg7mTHVD3b4/fph9/s2v8vvGRewllwfK+INWorc5IyC5Kbu oRYPc+DlUXKCYWAHkUSDXXEouqw4PgfN1/ada8/dqZZEfvqpG7F6ae6DOHJcdLlGsFnUuHVMeZIz IDnfMiqiZGgShpAn+u0AR1gM1RjS3wKj2qls9yDv/+2tiBA9WbcPbcAPnMbrSKGL6EzMB47jid0R quDVKVLiOt6cSaDTdDnEHeTeCRHJNCoyP6qzVHMqc2t5GnbejuYy1X8KR/jrOZNOXFEuRjGhLrYF qZiYbj80qrzwyEyE/Y3yX9BPkPUzr3xYiUSc6tY+OT7qUzbm3bq3DNVkK5S9C0LBXvCovsv5uetI XBIrJmXJGKWfHjmpZuR7xWBqhh44dROJbp0YrG0hzhZTKYBlJO92TrBOZnALKX9ZtxEmoo7iydKr d50qvS5/ZeXpP0FDQEhCzAggJ/9zyFgb1q64JG0VcZM5JdVukDxv6RDzbGvGohFvgDugLylMFZtY h+0vHO8xvYYsdSAUgEUkIAbKttE0pi3ipnaVqNxdjTcI+FXJ/NBUNm689JRlO8FB6kHp72g2FZ1v fRv0N0VmCnCE3Kl9k2IareU5mcHhg/yqxTHYBK4+MZwqNrR21c8P5D/tqUb7tSenj7reNmUeBj8S KUfUr/EE0eiQl/HbtVuvnfGeUGSUBFZsHcF/Me9/y7vDe4S0FPXFG9VM/e9IhW8RdwkEwm7HvHxJ E89v4PwvXSLgNUzA5wOPzBpRUSg1CTqzgxLQYvj3dZ83Qz8TqyUVulxzXnoR2cuWaZRQUwz2rs+c BkgAqwZkzxGXRw1l5OjT/Q/oWwrYkoC6ixySPKV+IBFIMye2Dsthwb+vtBOMBGOaRlgHB2uA9lt8 b6k5gcxjmRWJuAxSWZDUjXC9i10Y4fqngGYkVd7B98jynGnUAJ3hqGY2uPhYWqP5caxSyLJZUNSZ Q3xEpaNZhfVCF9GVtx8D3iN6GGYsjIH3niTSGU8Jy3i9Nb0JVeznLUpX/PNrxwtBc9djKvPJxLvR jDF1daAOEjAErHhtLNkJrmehMRTEK3MSCt+yp1BD6Po3MT2jLEcuO/AcZsB408zQcmSwVHi2q6sV J4sNUz0JVhMi4nLRCTGTWvMldPYdb5l44CmxbffILfTacvUGKm7fwDlI42at7h8Y5ph9lQfASve4 7aD/rcrAa7SvKOoGAlvfBrIM02TSLcDw0j9T7k8zJZFj4V/vT6nNYaU0yAYrngeIPN/p1OwuS2LO on+UAC62ByPlhdsGMs7upXy/IZTMVnSYP0XoywlB1jrdyPzV2VDaarSeI6NTuaVDmAZQBFQWbsWG ky87Q+88alU8G55acQqgQRKQJWw06cGptNTLmeQCVpbKZPDjJWriZaDZuJfMXZehGSZ6p7KTdrhE iO2U9ezDcJSYfKcOb0Ba2n8NHn05p03adUCKafB7AHGJoU+p/Mp1rS9LdgaMvev+oc7WcZe6UjGz U1PWeBwSzOmRhkmaoEV/vaTsdJFHFU0onfzx33shWyaRuPd77SrQSe/mzVwtAgticQXl75Cfeb6n hV2bPQFIOjSdlxHcQ4q0jL7lnrwp/Qzbza1Wz7j04wXIggExX0qfBCLvP/kLjOYgbAq/2WaRoAtH 7hlDwaY5yvsc1ZpmsWjhe2CdCRsmmllw6KhWsChLUEtySDj4uL37Xdy33nB0UJ4gLH+426BoeegC 5lzxzjwApOMPIEGsXz6hLbfhZkM1pVHTiHyRN99I1MpRrJqCuScD8fGlrAiXxm1J6H3Vk9CKLIkS FMcox6YJuLK6XR5jIEhalFok2GCFVn0whHTUt595eTb4xZduLKL5mHO0J90c8GZIh+MoPdWNuFcb emryzT3K29QXvtLILeOCXyCvBEdYusXHbendNSlwUA6m/b5q+Yf8D/df4N+6EHHfF/K2jjmU0SXR JAfcb4Lwxy5eLADOnexaHEU5F2WtPBF6ZESpQnLL/FfOM6R1tbu5WUlovDHam3Ds8g3kGa4S4A6P 1cI/lNBlmtw8TDC+zOAKGbb1yaDsxLQmSbAfEl6lOog1srXGyhlVxlybudY823eHnknNd4od2n5A l58VSZfXxIzUFbrefVaDjJ0TED79h5YW9KLP+lyrRLnZLiQRjquAD7Hlo/mVjdWLJqBwBuwjGNiu 5ASnogifYzLtU4i+Gsv3CXbgTVqC4ahZMZF4zvdaoDn56u0NysYzIDxxpWs2InpAysSvVhSFF4nA YIRbtKS+XWLyhvX6xGgDodtler5ASe0oizMKp3Y/ZDdEe0ABaffIc5mpJ/Kvn78ywdzbGqsR2uEc Y1p4Gq7ZJ6xZK6VQFgYeTT9W3g/VM+yznJqtMFga2QaTa64a6Y27J4UrvZ4+1etovPpwsGtyVVOU tvdV6suBY9Akxv+7sBXsm4CZB18E+JNuIDYGDBK6kUWE9+tb3PuFqGXdn0KK7Uj/P4MnWiUQMFei gZfNLp9Wa4XfcjnSM2loDe/fRXEyFqo45ipXwDi0cOgTUXdgCRR0QNmoRn58+aoOh4m0Okg0PpeJ 8x/Nv8sLxxc90PHhI8/5Gr+evZZG8Sxan1Kr6XwGtUBwDWdlaPW3TWrwvo0U3hYhLvGqrpSm8qbK VUbsRlKwdmWvD7qsQSzedzA5YmWLgbgm3PApQsog8ME9EsaIU6A2GoGRFxZM0Me94qUwUVY+OaQc RAhNaSJF6HV6q22zunW6NiepmWKe4qSvppQVRE8aCX8i+r8P/QwqAh7s/0CBOMvb2fYlidDsKB9T uPfRupkdEqvgXZBnZv6hwJp4g37/Y3lhMUwwht7bP5m6J9TwFTk2SOnPYQuT0PmzlOUyA+yHt+k5 z6XoyVSmVTbrFpMr5QwVroJFsYQEvXQdcJMVcrpER6hbtTdK/crM1olvF1KzFi8sshUGwz/LvoTT 1Bue9ahIbnVfGxgLWMWIXnrrWUI7QVe3GkFndztMiNWzYnflLWUseccUxe/0ft8oH6pOHgYk2rIO XAAlMO9sG60YPR8u33AfMa3nkqwG/XS8HPp0MsE/6B6b7mbHgnzUgLX8WuCPqTCSoAz0lBu3AF/8 D+mDYmHbkTTH2FF1pc2P4BwuRN9gElqO0xd6lxmfDgWvjKeDI4YcTxJsP9lWkvrycSH7Q3fC7Afd j7FuCvM87c+721w4uMMjPHJSZtdsUFe11Je/C0oSeB5K+VhjYpsne1WTrcnuej0vd+pmvgwg3+8Q q3wa57zLy1/s38Y9gW03Xf+Whefgd06/oeZZMVetMBr5aX7hf1f3rvdq78A6dsm0reU0YIy84bq9 pQJfyaOwhGEUg4R2P7huze6vgPivU6jizd5CEyMW+8D78Hzg+YG377X5KQ4Bg5EoL7tMrUG2LAUO 2ePK/72cOQ2ugIzLzisdh4ALWQ6cBM/kAnkwlNOHmXM7HdRQ26T9TFObQO9wVQB3mIaz4dlqMVq9 GWaTglcGS8koUAicQKvJvS2d6UzKkifPp3IRn3g2fKD4roKWDBD9r49JYE32YcjIXRly9wzpvqx8 Z2ym0+Urwih5xbGJbBzb8C3cXZIE9EGgsdPWq+Rka2VVLZfymCEcZBd0XxJHM3Hl28PsCxWinqW6 frKgqgQNfYHc6HJGqnkSSGy409g+Vx21p57FWV8JH5kOvNg8v6CiNz1NwkllyowvDk8nEkoY6B6H 2VipMV2SBgHjBXakbtg1wYujOpEyTlufzheCrLjGIAs94fCsLM3jAoa6W7x6tjJ/UOplho063i4x oOfxTUadTToVlsNRDSzbEwYso0xoI0aXsIKt3mjyl1hTa2BGEAZK5kkTFNFKPNEct7PS0YjxfpbI nL2oWj/PfCnAjqvghpR4x2vlg8tnKdqIbwp0AToZHEIqz2RwtygGP82aSjWGbZgExdo2jCS/zDWv FX7QVDSj3ohCyyUMar9VWykhZA1kJf/SsPIn+TUsljNvGCyLg10Zipp2EWJiWLB7SvnGVCb5H9P2 j9eb3NIa7tFzf3Uitf2pnXOPaQdamPkRa0ViD97s+X1kbWTma/l8ciV5CErXizO1Kn4sPKzEB6Ty ATtjOtX6c3y4kPJsb4zg4e4xCpn+jFciqpze85TXCaLimGz7Yb+W1EihMf2Qnst2vHMjmSOzKWs+ OOMBgkVzns2jsnBOb1jqq8fJNmzhNQSSsRl8Es38v5AdzQ6M5ZY0yNgwd2nw1RpM3Zxl64iu5Wem mgPun7GzTOMq7fN8zTRiqvUDPinlh+sKYm3VqOtbMdJiBU1tKbLrbQ9MapjNixgaDbMyT/TnMX5p YfCj0U4B93QhHnyxE4yHnpdE7dckpm+9ux2qimN0PWP4U1Ab1BGRM7l5JA+vkI2YXQeeja/q3U2w l7d4kWF4kvxXO0vBOehVwDGKnIJHdheUy/Gu4kmyzqGL0LCQghSSQvY3YCQRzRshE8wETjbdBInE n7uU/4e/588nQLWrWcZKzquslcKw8nKmz/i49OOICidC7Da9s8r94LeYRFpbXbOKzRpPhR5EaRo2 zGMvuCg3HJyNIKbvtUhagJ/giglPUWKtmmbDIlIBegx8qzK3Dar7UvhUe213Pbsp0feo6IgPYRu+ Pi97ZEKio0H353TFQ2rwny9RgBA0cLqbOI/iT1ODf87/5d9CaLx9GiMubJEIRX2lBgWZpgczs6ty KNhn1UaAy77tSqCAjXX3+p3DOlb7OzEjKkZLakiSQkNNTUP1tyGnr7xv56Ur/P0PE4S+ryQo7IJ7 SVjfGLZezj2D5ASaQcLsdNAPkvdDBJrxBsM5CLpdD81jGHiKp5J5zj6XO+zuqO3IiXaAlxoip5Wp NHMlrEn2fUEYDPYswD4LEpCQwPJjTzOvsOabbX9JSYqgHgwRaDrSjCUkEpyEhqlhza4F421grGcD /B4iFJlvlWThSTFC7oPAQhbrs2PfADpGsJQsxYsKzHSfsg1BYL90tyQvKoAvp+tVHca9NCnf9em0 qwDn+K+HfNfJxtk/4P2QQhv35zT9xfmEkh3ZKY8SX4ph7UZ2Khhy/YxemdnEeoTXgRgJx0VMJGF8 QCMPIM/Bi537jGxsaSsUH6A9RDTiqGdMFLtaokwKXIeLKF3B2hWidakGjXBkiFIDU/SXcBS1qV+X Yi7LWeVouvHUCCpTXUOe/CIbUj51WFnEgd7LCa7hBobKH1JjSdLH3veiHf6lHYe2hpQXHgxomC+F LeTNOV4ekmdClXXiGyFU5ogf+VBiEdWRBPfTOJA1ujZixA4hpG6GQHEfhRKyFm0G0GYBCSI4gNoM 4GA9o6MatC4vD/XvD9iSnDzEgQEF4ovKc6FKKmAUNF49NSnWSVHJiytoRbtV1/K8RBl+uji/K4Uf 0qlf16wqb+iqJmQwi0Bfyym0zPWkzLYg5jBQAgHZWIAOdtYRjvnjwXyRe/O3zxHcQhyxYMDkINEL moaW1FS4gK+l2OeICd3AFk0+YBj5GpJq2ykLq8KaFMrVulm3j2vCNz6RX5B1O6J8UA0vkz+yEFIP LNQx12glGHiJTmG121H6gqk8H0nM0XQdROAJUWq1pt39Hb9Gym9PmLZ4sFT2R84KDuht1yuKGb91 nWrzn8khrc8EUfxiA4tMjvKcIXQ4v///PWJ9/IhjVLUz8Mmet94knco1IysNiglkG1UKC55S7WpN ePxv5xqSlzts6vNfHKgaDxUYSQsbM9maSQr/8rHUevGg+8qCHdV664suobjr3hVIOc0n+iXN1qRS Y77k5VNC8nT0k42l2KgjC+NO1hZRp1EcWKzjlHKxK6dGxP1dn3gxj207Iu0GYZjA6KM1D51s8qyp CaAfvIng9hV5umjEcdrFfAefEu+WdGRrEHk4CZ3eTzTUmMHpEShTPaIPAAdbJCClr56yMLMCsIUY VYlZFk/X8zfkeJXlF/qZ2dzb/DAYnzCXcnuP7c/1x5Zu2GmAqNAqDtesfkt5Ct3eFfm2W5C4gLYC jM2ZrKax4gRQXElBV/eDwcu1Pzp/6EnExEkBvtm4aTdARA072O+sJq1wJNT06EuLom4R6dVtK4eU TfnnJXK67cHvRXEqRywTFekrTEkUo/iywUUGY8Lkaw1aeX34J5hLKin0k9eJbWAzL4qK7DnL8Fy+ lkxffMDJh2WPzB3rXTYeKR+yP2LC59aFMsCx3a1Gri/6PLRGn0VIE1wpMVA9E2hRkb8WX+eIMPq+ EjKHoUcf/A4GOjLSFaXOKToMqb/+vu9Nd6s/DwZasw4Z5zxOz2QBOL1OuLSLdp6+piXIkRN1k7yV ZtT57wn6fLDV3qYNT9L1ZWpy1BWSvWrq49n8X4yAQWkOfs2EIgXpdLvGwz+z0RRvjCUfgKIvXIh8 I6DwZBLIGRul5i4x8I+jf+qt29kH0iocCGUEXsIsZzGrCo+N3OJgykSICjW+3ANNjbTn/UP4G3YZ UutLimVlS2ceUU/4+jjcYhO7PRdzaFfMJRmKStIqo4/HgN8/zUqouKtoOcnkWE+L99emVgwYCmAB JCc0c5x9O9wq56g8fYn7KVtgoYVf+vWHlkCPcFTGJRtZmzqYHIQaQY1ooEJN/UxIb1CZ0ii2MPyV bg9MoICQpIn8jZSi/o0u8JuQrSR5Oej44/aCm166F5y5JbtqCKQEz981Uh/ICiNrDx+0SljjjC37 WVI0aJDGUPTrA8hCmeC28+HguZfJKz/P81zNS5TrgPiX9RbUO5U+jDjVae+Ys3xuUiNSb9Qm5/aK ywghzFKLHMl3A+XPfhXdyB9LAHlZ22IUsPA3DnZfjkxJif4XvfQLJT8fMILd6gjxYZ70MuxD4oGP z1CPR8hYJjAlYm9nms0u+YZPWyPbwyk2JYFSAlD57yEZlh5F4wYsgorNJTezNnEK0dJhlA5BjpEq +yuJk8I2ag5seQ9WzyVCcb4ZQWdwr1WPJNaQQZL3uB1OqOwtz1t/bIRVlDcqRsgugCCs5dwStkPa 6/XivfEm/1yYnjF7WPx3Tm6QPNa/INFPdgmOT3cmORAi727nwlBCOgzkrZSH3JmOq4m8l0dQTk7H Yhe3NZWGBcE8B1986GzvPCmfzl4MI86xitexpjzRcVkx8zY/1nCSJDJZB8yyZtW/Kfgpn0a9Hwny LppBOjeiE0Xlb2x/3KXo7FA9sw6TaSmLsSkNnYg2qHiSAyTjfDtNP1NiDJjv2HYmKAtrzKZjryUA 0cG2QnZ3gMApuyeBCCym0YgQemKz/n24AeDJVIlUpROIEE/HC2Vd3oKjkfwHnKnm1uIDZnuhRZhQ bTjNjm1QmFhEVeSZSGKY0DcEIPlXhmyPICpNwxkahYNBQ0z0tiQ85crGrHPu4E2Wje1oi3t5mU6h zyjSNiSMFmurE6/FZ/RPaMfgzupcnEf6pTp02panmRnslKwtILk9PdDpEB6E0s3MwuduZ0BGtXHJ XliwC0+dVoCqkGg6Jm+p+VCkKYYcCMbFXYlBqYkZEjbD4oTm5gVVd8u31QY8ZeGbqK4ad/Ok2nE5 WWGeT64nUOanTgx3pZ1+GGmgBNfy/yNSMHs6qT+pMyvZF4J644RymrHqgb8ZbskAwgIjQocLAdD5 fv64fiP9L1wosL9QqZgMi8FPGUwCSPfM1rCdFyEMkv9O3I5+w/QffiNZVKbTeqeG8o2qpblGg3r9 Olg5FxzcXgI1WJVy0Y8Dsx1r9iy1lotOCd2BknoqqM6E2IjKMMREwKWhVbIn1RExAnbk3Lr1Y+36 I9n6/q7WN8XNd+DMdiglvQvw6sBneOKi1oZYSrdU/mvHiVqqtV9Pp2FNDD5aMSKVhZl8H7DFwSuX vwDDis8d9CxVIA0sLna5nq0NcWnNxWDHLlmIb6kAzrPP9uBB+lW0ObAewZCYKTOpnC4ySRoMc7ij +HuBUZC0HzA7xDhXPXvjpTZVmnyo8u+461pym8V+5a/tioeFA2e3jGkPMDv+RaK190JG0ilhBDbn u4gQieHey9MfAt4ZniR00JlnTQFalq/OQ6FRAz43mKUO5r7BsMRGBtiLZwKMTM8TkJz2EkgbaJBh L3hsI7TjW56NktNwXz3QccHT/NZ8zPg0G8BAxrZv2vPYP8dl6/wBNE0IASHU+yMByxJstEetkUNY eYaP+vXdmn/3/ZJYda0tY1HVrHJI5Oj20xRJXmdv5BTQzPYg+r1j+68wi9bwrD4vDo6dtKfc4Qe+ 8hACdr+U5t9xzJ/ecRQKVbvTWSZuS40WCdkfcnjl0bJFzZaFsaUPIn+7FUjDWZG1aM7voaoJVC3x Bq6PFA3xUt/zlMcpMjjy/RF98mm+pNFSP61JqWiEroO2QnY7kGJoQuAwsguKCmsDFaTGRyX+aq2c oeed8YFWl7fG1ncylWM34xKa/cCyHvRFGeFE2aBiIrI0GW9Q/eta95NOEt5wHsEbsvYNdu5vKk0H hBD5bLqr/yFUkXLfDrwJE+eisPgOWysQzgPyNfob1xILU9623tszdxn3ashH08xSv4L/ysANXOJj ZRptbdFfIkwPP/1bl5CKyfOOeleijFW8px67JNfVUh7PA02Z9lHNbsD9GF1ORnS2rc3Kc6EK+K1F WNB1qGoKhny0BXOr+ZbvbY3useKVbzVHxmzxZ8c7yNX73cqPIuDtqdvzw/NFQgL1S6NxJ35yzRgi 3NdQxdtOo13WO2aUJvxzgTyg8scLQDmlQsU5te0oB83NSCFljDOgl0Y7+2U8mIIBGbqJo/tZkiOf uTm315hP1S/5VluJvszQn/c0djclW3aWT3DLVTG1DzOdA6dxvVyDuY5qAD7EMdFgW3d0w47EPgA2 iiL2S8i5ZUD/fQOg0oq5yD+AxoFEmVJ/ADoF4CKlRH236WgCQT703ipWTw/yoHww5rmHOyjDYw6r dHnvlm81Dz+EoSn2QJPu6+xPcaW2aJL/3Z49HHktmeWJ22/u0ZFPc1NiooUr9B46f6nrCY6wePkQ 3J/mlsBWxJVtUOy2Tm5PLeaE/tXCp82uYacxew8EDJUDHSfXCKxwutdXNo+J+vcWQ2wbD17bTqM2 HlqkUJWaeAL7lVlO4M3BztkSK5GxGEz8gQqOaCvo9Qe3KDz9VmwOVUNJGTXL37uwtPDp/bL2FXHu q3l/H71iNUViLw4R6RGn3Liwi+9hpgJDXzZ6TkPjW88DO1xoN6BncJqEkIa5860mnh1i6M2van15 YEkzS+rnK/lDdS3Upbbvof88TaGlX2AjtmkpFmnKXoXOz+xj75S8IkzzTFmYZ5RUG396HO9CSfp8 WwGnRUAjqFlif0A0XMVgfJL1zG2mMtpxee0nSHSiuIck+GAtXWnHvFqi1QBNeHy4zIdONPtqWn7I 0F7dTWI6jBXgkHvv2CjFA43+HF2u8OBo88X8CDneb5btJmHueLeidxP5oP8Xq8POI2KLZBxosi3a Z7u6kvU0jaUDAgR/fUILKQVbqX6mqbGdM+r+djMWy9/uolrc6KvLxAaeJpQzYOn54cpzl7/EtI4z ZCrRITm/sx/Bg4JaguE6QM5bx+/++o+ML9vlr1UTZW/v0ViIU/ychGEoxpr8QLBCo8LYw90GDnhy v2ZXK5zPk1CnI6+3b2GXWMVTRefolHJVAxhEQQZwmEdBvvyF9QBri7Q3y1sOue/7AySsfvkww/4R z4phf6r4R1MZLtkfwkzfgfKElehWJtNbs+R9TnNeAdWD216TgVxCcWs4y1BHPXALC133iTPDGi3/ ByjzJ+OoU71rIxe6Etf7lTf4+JaN8nMYAQgVJe1KvTu1YcfFc9nL7icRBN/7Ciq2u2ixoyymTNUk Mpa73CjNw4r6kXaDyfUhRCO5E9RqMgmTnh9BA4L4PcURWn9dc/9b882gWgTpcxw7BOeVCYhG5Ok+ 0cK1tl2inAHwfk3ygYJFX0xV1DXotRU5h08KQTMuCchr3FZwlacM5e53fPXBCTVuZQN41BrTgz2u kpWNriegzmCTOej4I6Lzjqu8rqJU8M1UL7ihFTGaU5l3FZFcpxwm1UJ3touICRFdFwo1MwdPIZ1F MGAenlWlA91K/jZ582o6Kfu3BDjYCb2FdgxKy7Hs88ZX4CfhJWjVwy8d416etBk1YrN5bKG4Ol2P GDJKyosNxRxVmcL/hMtIIq+D47ypW9VIqngWFJZiIdsfit/KB5AqY8Mf8QAxqyUvONGK2q7oZFwT YdozFbBSMY5jKl/Azl7+fBAmCpbkmMVazJzNFs47k/XBBgHBNLiyWqhWJWKEkcRaT/pwxjpGRebY qazsZdcjjsgEyq8jMy5p6fcZmVkDApHDrf37UXVv1m23B7FUW3ySQ5S17RtyHrwm76156wFm17VN YIudQdvzKsm/bHULTSbDLbpr0BCm/Ph3JRw3axtU++IZPEziuZv5qvtgM/zW5ZDCbdFgk8r9I3T+ /1fORIzK2zF0KF30nLs95+Xy8SScSYRsvnw6z03SiYzt+nFnTl2ZpMl/VGpuzG+Y5g/TukTVliL/ vnWDd1NQzu/hIBPF1l6iLkJv2+trqJo3+bLtmECCY5V9j4+l7e3qpTtxvD186i6NYx71Z+MDLfb/ JT8aEcNFJfmhzh3fDOHDFl1s4+ZHyH3SRkahA/lr5i+gVCBi5nYX9mYfysPo18jntQffebHEFHeb qAlDe93Rn8I4opTDWMGNb8u9FGhW6/o3zndJ6nnWPUFTZsWXIJ8FlPfbIiAAdVmtiAYU1U6ie0ce 1G2tZvAn3yUQRWVh8F+5B2CzF29ZcZRGcyDCcJ1+BVLCwqJfVM/pheOa8uwv40/WMx/+iP5u6ca0 TJm6KxuySmQV27cgxkxP1y7fnqOdm/u3NLF94cTzPfUOqazeQZemC5wEBqv+uKBPTgvX31jr3a0W BG4rgdMabSKCFs/xZtWQL0ra3NKunR8zE+0+AMcIcDHT6wiAqqiOJZVsxnNlm0vQ5oSgSQ3B7Xkx RJ1vEajF7AjyZ1WQSMG7OFKSBHsLHDKxq27XH5SW49esiI6ZsAh5Hp9tCM07PrzrR2F/HSZvcQ2V nwf8MT6M45EVtfOEb4iGYOBTQ0GYUICfFkoy9RuAOUz24OP9ZQW93j2bLI5s0QcGBF9EwqJ+zPOW Mg/G8WQvdbIz7gldNV7+s+ZieWCduhz6xsjSOnYw3X/wfWPXCa4qPtZasWdb3p6F85shQvlZ7aHU k5cU8R5NpyE2aP52Eg80gQ/OXOg1ksUF1Vvku+LcA+SeeXfqDWklBLvtW/nKaPXtfmLSjuLoosaR j9ZYvT2xBwhhwi8S5yo8deDmv269NGijNBeUG+JFI4X3zrYJB0GL2ukgQLVROb2KxV82ALCJ7ntK 5tV8O+lHriZNm93zi5olfGdwQGRkdQ18fnn6JhVbcj0x/djaH98x1RpoL7wnesA71IkdydPsfP+d cdcuGD9GvjE3xcbJBJCkXB6q7z/izXskdVRWDnCkbVw6kjpnAbQlY/xeOJjJE9cOsZB0j/0nnOdp Z5/WQeABmgrfX5o96T1qs4UAnNu/pPocrcahAmJd93cfyGi9AIl54SWXtkK86O6eS78nCtLFGlQJ MegzpOU4XQxba0hzg10Z1plOdGq2mZCSiEegNDQ7EREKH3tHFlGN8YPZaV/89QEXjxE8ZeTr9H6/ 9S8nYPg40oWwlrRgEaNJjVWIsUcwGTHF53eFrcth7aXCzuA5kg6CFKJe09yukCze7ydEuRaEUE6z 9XCd65hJAUxXBbZGSPoxbZQS5mWmQ5UU7EhvdND/RjfuWQCIOyffGFhV+qRL9fARJtBcmv4n98nQ NTHM9SwhbUtTe5Yq22qpJnCu/m50yrLDcH7F4bHjNC9RKsFXIDD7TixMlG7/VS718WI4TRc/suj1 cvQCbsh5a+/mrO/yp9edcd3qR94nCqQ1dZzO8fTZiRzu2H5hFT+pIuGy8c8GIePJB1EIrrnajK/X frHFoPPPzg/Ivdr+5PIwrmVDLmPgBu2PnmezXeLtdeEk9rSjoXhaqF5kE5C/1jwT2mb/hGQz4WWA n3qNGmXLpwL4YWUuuolHYKmRkduTnSWCrPTvjHjADsY7gt/JZwe3bSHuKjp2rcfq0cLSOCz+uIGx zzQiYtYG/fO4OgwBZFd17EYfIjxvWEWNzMOhUHDKbExUVJinTTqxEPEtC+MS7nW0MAPsN/RILulK 7mg5JkpbnVhR4db29/tqL7xnZtL/+2A3dEe9zy0GGLJsdznfid4DoPAl4TqS6UYWMx+D1EK8ZE1q NeQTObwdD/sfzbJop3YyTKaD9sit833fTr6Zd7+H3FLB5/9qoZDklKv8xGntkO2WNrRkbjPwISj9 42OZ13E7f8re5iKpGpW0pwr5xy84MizPmakB9DQZnRTjfG/uwD+Q82+YC+1n0xnkKMmn8DM+lZnv G6A64ErRQa9Zh7KVJkn6mhIiNLV+ORCjA/m6N4l+P+EZ1/2Bz8aeswxwx0zC1ca6IMHYDqBz3wEn FDmuKULDovoL2ImHQNh9bT4EvyCcjp8jclDL5amSab3AAwrLqCm93NGYhcNcLK3GQ3xqHgPfV8Wx BP2G88nWSivPCBLgbfuUPDZROx8kTx/OiNW9hSIMQTdWOLK2n1AhsvLbrRdhmjm2TGrXd+yA8Hxs 2TtkZ+ggVNjnzKgTcrOPa3nSl2ef3Rzny80T0vmI5pLDYNrfLZ9VCxVfxKs85uftQVtR3r30La64 p+pn36cH2+TFaGYGyOCFwtXZMxPo+79oW3+zp3OE90ul0IE4fHvv4oh5xl6YEmZ/DjRXvnNVS3T9 JKNMKGrAoHq+AJHIdHCMaIWL930hDihYlNW68s5gqI+DfmAV8vJQZdI02zN4DBBgpcKTfoaSWsOY dm4Jz1yUJAA6CF+cEohZcH4LGclJAjHkjoDV4yc5TUFYqoR0Jo9WbGLbUMPKpkp6uinnZue57GcO /pZ6awxrX2aDwVvHBKtAhjU8pJF3kw8Ykq3Fb04rrTyg+/Rc4P1DwCVpmlA8msemG6Gv7BgoI0iO /K7EYd5A5X+6O5Qr4HuJIH90D17zOMOT92JHlDe6cKT2WykwSmJoRO27r32fd0GyIvaXLxnrZC77 bOO/capj594WIpJdwquEdlud3+9cgIPnCF8cDcUYtp3Xia/HSOJorLyqsLCqksu0TsTx6m3FtbEJ Jn1l+DvQ+cUUUJ/wdpPTmSEGCayz+FEHwY1x0mG5ZisvGlTerI5qZcVNTfsJRPJ/jULzRFGK4yIY bPu3AY5FSW18bit6hmZ1Tuq/vPp+GhlM8QTSjivka1sMU3fsAn1ZYRQ07S6MDb2XnpQ8xH32f4sx 1NFoMAxLzMlXKVVDhfDNZr2h+aEdyzQat2UIDs9zcMmeBujTf3moEK7otunCLwwLr7J8OPi0lbe+ uk/elqzDu+u6U4fdpKfYKQudlzJS8kx8V1oXBkfjB9/zBhCUmXiYgwtdtabM5mWShhVNhlsO6Efz g/Ulw63vVSe0AEtEjj/ONaka/SFJ1Z/TS7ofyhrGYcfafz47Tu0REgc5Xdzj4uZxvVJWn1jszwuF 0uqKeRmtKSOTd+aZ/k4+QGcsz2s5fcV3je4voswKamy0/mLjSiFTAUPpqi/C/cPYJqUi5kZLHbXN AnsWhInk6meR5uXhRsxkR1L8dwmci9iKs5CH7sMjmp/XDM5d+zwz6srN+RB7S6J+VofyNjq4MggS W0R0o7FgKvjFBHce9MHSaKu1t7zRz8Qt2AS4D6SRgfs99rgf3mb032DU1k+MES1MFrtmBjit48xR L0sm3GxyHnm+kFN5VkIIGK8Kaot1UnBswYGySZhGETpPVvwZ57sCC5IC5BEvmMjjfSO8CKJtpzvy IsTS+PjCnoNXRNyDyK30oXjVwbYxVK0Tx9WsjhggtX6PQaAPdTuWl2x2qs2jN0qJl9mh4gGXjDZ1 SQUlxCGAOHr8LyOPRvuZIMMpn7yvJimJM3voGitbGR8xqG+U2DN7HSRG+SPqkzuwHY8/b4c1Exki s9wtM2Jrc2SRU4u7i6v233SJ2ZjqZ+8Ow99F34lM7rbBe4Vs6id68prKeNhQm19UwCBfejiUYBSY M0KQBdzcBiyfJxh9Wpp7g4CjaDcmHogKRG01TND6cV04pvwUGnQ3IrUBJ7ZA227gDUtGbmH4kEIP 55hnrqBQZeZAV9JIMxrT4ttyIDmzaAnZzLRWzxFhjhZLSD0omAfPh2eTSwuePFt5JDdz+reTm7ow O+i1UoE2gjNA1RVhZM49Sx4kjy1Z0VjEFO2PK2xxYJXDysdhhWlB76/rlCz3Cjb6vHdnNj659yfT bVMY18NeFIVNKYhdWdmfC9FBNSR4M7g3JYXsWKqnMOclghHu7CfMqsVWmaw4gF5DEUk1TeI+sGIp YcQY/3A9Z7m8Zdwjf1rN3S4dcAgxdhai/v/XcC6To9F3pEFT2yQPDiwqaxkKFRBvpQ8nQmMkZBb4 kEwkuKT2hkvVZNcMrvqeq7shbAaUg31QE4A0GSKa0fljWCKS62gOrlQbUVqn33ttss8BimONkFLE cdAPYq2aOnZsFcnr3SbpuUzJVhgNNdv2IT0PgExT7Uj5B1FvJG0R9yazpv8kq+y+qJmDUpZ5gNXd 5EICq5saPy7R/+t+LtlJmshPaBwa2d/5AvEOJph5iAW94dWaEigSOGS+XObdPkOMeg36T1EWxWZ8 Q1RClDETT+G1C0knQusw+KxWR4ND8A/bucckR6oYi0l5oMunXbjWIb7PpHz+nXClIwO6VHK4Moak +NsU842A7HskDYS3Ae2qQnFqfWfTVqHLHgDTGKVFhhwIJRK08xjSTqxY5TmpHHzzur/qJN6bQNl+ 7JmsINCibvmXD93Z6k8ZKC4Fr8HpwqWjbeBF6uBtQf8drolZsBGkSqcIbv3HzKUZUPwSTJexezDN NK9K0GFLAY41/km71G0YPAT1SjrByBuJs6X9/zIw8giXZCBQGhz/J4/RvzcBE3D34vWnke/94f8a sWF0VdLikJo92q4e1JN5GQGDW2usNmN3N8C8/AaYEoiSq9ZUf2RWNXWn+tyoI5A6qFV7BCAHx249 AsE8jUIJkKSeGl51adGpCGfXADiP49h0DPU6hzOSUYAi6q8u7p2ubwKVZwpULF1Q1ysHYGcN8n72 gq6UgkbTsyeUTgimUDI1oolqWV2T3DwTCcZN0REM1Wd1Yr8/J3Hyi40D+ZEt5/VPLLIZM9LzKz8i dF5KyjHj17EBQClGCA/jFlq7abXa+McXdCPUitK20pSkDJ4jSAh3TScJ7AOYyAgJPdV9xiMP+oc2 FOuxCMH2zTF3VUJ3FCoVHe7a9gxcp6M3pD1zpyfLGDzNW+C61h+4qPomMI3tmNn6MdrYE7craMTx QqytAoLRqmI4/EXIJXwIMqhzyFem2/UMX0v4uR6rzkDRSS6A2WOduDALIekQzmuD5+YRBEWLRa22 Kh5XiAgxp+NevmmEIW9IdYuoqWD9ruS6uqCfO2Cjj4+qSl3ZrUL5f1XIK7XBYq/XL1AZ76c/o2oq 5c76qxR6tecL5rEKU3W4C0esAp9PyQ44Gyf8sutI/59gbKe6RHZg5baQleeMGyYH86R2qD5m3Ry5 SbSGyZjWU0TPzqPtKHZ9Zq8gu+A4/xfW70+SjlWcapuJlFLoQUAJwxSVrgf/aaPxZDybXOm9uMxK e7kv4q5r6IrM8nACpjlgis9W6Q5w3HtzzG7/ZtQrjXPBMkxe7SAJgtBsGXbG1Wwjgi/tOCsigaYn 4wgXMuJHaHgq58M6Hb5Ok6MbFOU0Y8G1b4eJwwsJx4VO2BJl3NP/rFLcPx0be9vE0sn43IpGtckj IE8DFF5DOLA6u7GgX0kKq7KrYrmiv4vs1eEZYCZvCkJOfe0PQTUI7T7hsp0zDCThyIewDJxm5YJT WCS2T0WSZMORqhfXWxJzKa1mZfjmvlWo/LvIgO1qqmK/uLVd/ChZUDBXJEtEOWLoUmGU4syzJ8wE WhVLrl6i8yIpR6Kmc/BvFLZZRn4ooXyMFQac+mQUHkrU+h3iy1DOUGh4jSEZYvBo3hAdYFj8MHpn 0zTvYOTTgOpttgzfqXFDpw1VH6zWl9qn9z09doAgh3Li5KBKL91mzcA+f+ehvsDmxU1VIQi6WCDx giI1rO8/3hF8m7D4qfdT7jwL0CJDCO6axI+bCgfjMFrEjbYP4gXtohRaGkQW0+z9aj9uH+zKyeJF C5VOvi6lfPCmfQdumfMJXR60eqdyx9kie58/8IGNrfVgbZnOEOgrjq4A/k8lD97SMHCW73o8X1cD Wl3n036wxr4P+XQyaXq/awBirMDZFlRXyQ+yogEmqlGqxudVjoE2Z0RXrNcxf+chwA0iH5Z+KlQc wV65FwhU3k0S6IFDLSgdjdAP8JCYiCMIeSVgRqEnCSEDG+0Jq06+NIbfhq5asuaQBmAr0c6S9ul3 5aEmX0HAAuFEWQSGAw42PFTDxqMP4PfEwvIUVigBpxPs1GHpW+R2LLOhWZStUL/wXppIh+gXFR6M NCNGask7dD0BEPZT87lEekv9OobeJkWvf6aKjpWVo9xOcmaVn0jYCTzV4mYrlUCvMWeKK8CO3MyN OletPkaX1dygRBl8t+4hK3k5aprfSGmEpVdukOWpf2kKW4N4zPaLx28s1Jwl38eHdffJEopMUOVR cixUgRZIr7bh9wR63Qehu3//gjRs5sfVrswGocl+s1vedSAHWM3pPWzLZprEKi8ZoELhaG+CxxC5 1dTarPEvuFWfcy8oT5vpnyHVQ34kpzmgEEvDNrt7omtiOe4KN45yMnwt/OXUDacy8++1K7f0QQmd sw/if4r7B2h+qEEPDWJBindFH0a+0DtLDxboyhJ6UQhHkrkxAbQ5JBGnRYG5Arp7ArFaFlNGWjka 4gEPL6k0AptbTlQNbABCLHHmQbJznpQ44SxUWvMOMt32qgT986HmVodm0PXV8JfriGINbLafTo+W QJ+r4WiDNzE/rFQckWnOmLg9qhhhV2SgbX/RGvgAPUfijTeWo5ISxGCmrVPjqVNasUOWCZ2gvnoX s3YzbUH3AALMfEaI60t1oGJV5S/URqcOwGavzm6dzKoYWtj5foB/2BYd1RDAJC5Azq27s2Zn6gyT jzkCj3dT+MSSP3IWZuj286iiUCFkRXjTfqE3nXiFhhQMsxTDAkLfXIZpRMrN/UOVwT/BDRB5kgMb eoGRvzXI/wN2hpUcBJgXHJph/iIdY/9izlTCeFH+zwaY622QjI3tQo8HYEe9iv1o40Z6FLKcAW0m 7w2NvjVQ8dVfK7jJrkMgtp/f/snLQeQByJV9IpmaMl/MQTlrs0cm0cP8n3nz5nMrOB93PvQd6TY7 U6dopg5PWIq72kyCcyjrhRzJ6MFjX5ZDi3u5BmtO4UwnW6w2ScLb7od24FKS7gO9AgM/0hJaXux9 ZBfNsQMLlD1qfyqRbyvuM8eeH1MdV/Gsj7LLSLWniIcU0W0MKlnm6N3NbNkPsbNvBTGNEyaq3rkp u1+ABDN/FMDdMlylNaLBahXAix9Zdl/Azf5CYbhPLbrbwwAPyhf1E4uErUupDWTbhlq8tamgCEL5 dS3zjNVGiKk0iBx0pLNsXWzhqAD9r/ExKBARkbZtub+864lG1a6tA1Id0OZYNQrwszbzyE46CjYX H1UkEeuqCv4Th7zGVMg2yAxM3uA3BaY0HgSmEyeUTB9Wffwl3YCY4rEs3Md4DRNuAGeOUarG0qJo 3Mmr9n0OZxs62R5nWxwrtdLYjsh8iWuI+A7umN0o8HzP0k+g53xIr2qLhdDTsMR/CrdPc+NqV50B b0LNft8U/GUzGV3quiwefj/oMp5drxzIxjj864268WCljDZLfF9LrjynfkU28CD90bTNFvN36n2e laE8mN8H3y3Zw8ytd+xrNJrCN4W+Dn09/Xm3mJPMWU19l+sbGA+QIeUMqGnk0AcNT7bgbJnnya0Y z3shUn4k+ke9ZoyuGmV2XKgtkC6mqAx1Hlu32BwdTTSeAkNh6ASzOLsygFGvAKsoBBiNDZHfA2GC PDHCiORw2Bu7jE27rEeYSGQhMA4DZ6IY6Rq8r8p6Dr4+KFx9rJPjJMxgxC2xsjL3o+QSEMK/oRmx Qr8Y3GXgkZWFRFhTlSCeLZUVztbpmJin7vzoVYXi7KAgoq6TALSwycBQdWgrLOEeraJDXcp0YUQw Flk+s/nvXLEY6azS7GjUOXhIABA6tlXkVdLLnT5FQdXbYJRgHUvY39U7iH+BPPSpD/hbasMUiBQN hBTeCxY9eX9Zmp5GusY19XnVfhPz7ca1w3VE/7Jv/WxvW8nMP7oU+BSu9ELau2Pr2ep6sZ28l0S3 C0cpvDzTYpRHDLZjcArbskNpeSLLWFZ9XSJh2wPlG7M1/m+SdglDtjplX+imFts5OWfIXF1nCsiM 9/iAFeK+RGSGNZlG5M73dC3bZBCII1d6XTZltBNncjl3I5A6VYZXgy4zwSSFLakW56pmALQ/5PbI X3Wf6N1cbE34JxeKWHWfDzyr83p1cBlEeQn1x0CQWa1T+iYuuGaNv/tn0nP857B0rBXQzu6Q0SLD 5zR6qnIs+QhzcBRiyrwaGpvXlIUoXd6rneFwggn848dQJlL2aNd3wYQ9eW/KDIbKdAbuGDnxQt30 LkP7Rt6AtdykcBzeMlmd4/Z3BGUaTJNrNJ1E6hKHsWAiHXjXYxaHMdESKKk0uv6k4fDZYMOFjczX O7Scavq8k59upLM/GRgmw//sRbJVJ8sdMCHNjff/wZ2lwbRZpUb3t+md5fr05UR6Gaz0YU0Uts3t +Nf/RoyH9ZbasWwJRXX14p8+faFaB/4HOplQVrPZhSO/54KkYL0NrzXi/Vv07veZQAaqUriQTyyw 6umP6nZxbY2r9oNOkNu8xM9ycOspMU9ZfyX/M9x3rl4iAK6ypmztimYIS7t3Dlev4mHoRJohUXj8 1l9c/rVm30IfKUB+T83wDupDaPBDGFzc/YXarJu3zB2AWpwNADY1/RKa9W/6BfLtwXnZqjtvf9IQ Xj8RozXFssSpqmhxsU8vKSEZ1z6LoXxyMXJOhjxyfcGoa8EiwViv3HDlNEFRZf/ZBRqQi9SB+hRA BbZkY38FhgJl8toUE9MHdWUaKqg4RA4a+G7S87JThGK3FCRihAXSjJnmOLgXUNSWgvMceUUeqqk+ gyxOo4rtx1FirhtO+QhQz0UYNP9Z8Dc8CjotTpvvqQeyZU6aK7hhHNPHe7Il2J8qk/5W/g5LezFs zx7wQJdWGsNixIFsmi2kn5qkXvg+BwyU8AIfkp/O2GlX5oidPJq6WdZieS38O+xKgyW0wSHVW2nM 4IQIpzs56c94/Nzhk//waGX5RrBWrimWbCgNhKfttfyaM19jw7uSvc5vL9EXEDRrf7eFp4I2J+QE 9JqzyB0O0UfFmME0I2gxZtr5pcgQQA2ggdIrbd36OzxBXW4WPzRCrorXcHD3OWTy7OiQD4MVFnSv SD0ifjiqnVDzThPLexWFYAce9vhV9Do17AXIuJvE43Ysj3jTCY/m9J2muFF45SvZ4ndWdNb3cjqY HgigSlsviFGkQhocQZtzOqiOhXk2addudV3/UIsFWlzW3ByKqV5sXuWnlkkI91hjBYsalIyT70kT XTTKlICXBPNzkmbgtKVQFWOugCy+Ut5AsQ4rqiYmUfoGlSfHbuMKFPXtdf5Xp1ZzGMXYTALAGAm8 HI7L+sBxoQNT/m7fZektYYD3K2FqpW1u4evwOD1/rOMvedHWVInrgsZfh+IN4JVU6YEoiZ4y+oeO gK4KNX+s08qqMiyvgcrLmKiWh1nwJVOfK+OSW41NfgvSFyw/rK3hfwjdbIMvtQBt6DiRjt33rpO9 AKAQUCXrUyuE9x9fk25tudduQckprlu8JUSM/c0lPYQOwm5+C9CqJae49b+PlCxurQhyB/Umf9tY OPXAn82xDGzWDlHw3/aPGmLXeCQjq0Y9Ld3S0oGWQAC4Cji8rkGdDlcJUO9k1XW2qHqag5vDnv1W zRuEFQYecEr5XJdSX5SLHLWSMhFHP+r31ltXHwekvVogYVUyZFNHWQet/VECYldADqMEc/Q2vtqY +7pOiW9eeg1+orddgSGsyleIDOW1qsCvvvyvn4jYmPtuhqXmA7Wv1a8uDhvWhlJHwS+wvsLCHg6Q hemzoqqY8jZBiFsKgALd8OJX9uXIXIodDTPeBxWw+h+aNAxGlOMV5OtWQBxpGyXypjTR/Smo73Pf dyzdtBVkh4/W9p190VGYWirsEnb8rMlrrlIwwIwC7TADv0CrTn7OVCgjJBC5ypr9stg1ofUgSXci cCIH/bFI8JVOJRbiXtq012QzgMnf5uwBHznVCQy/K5pCWNz1r9aIgM76ODzyTqAvtDxfBfgaKzUh Mvah6Ilq2tdBm2YCRcpe3dEM0JnIu/m5w4NS43Yutc6kUPbYCKEJ8uohTekpxlNFhZlfXz84gckj FNV2j+5JtRXt81t8PdnSfF2rbzzlG/IQZrk1K39Hc2yjVjDgHsVCO3l3WKPe8P+DJtz41/SPIiTQ 2i3bhvoCo8Q5Tw1PO3O1ynnsjauk7UKXKQ8xZReMJIy8a1Rj5mZ1gjpOn6/ruTia2o9jrhsVAC+W wKjQP0N1o3whqyN0l0n71FSN6BgIQMVOt9RRtp08hMnfWiFeeMT0rKa59iGMY226Um+q16wP4mER p/Vg5MCAesDIkyNEyvBQXf2Q+a2+fau8XT+lGt0JdAnS2YXxKJKephGn6Gih8IYfw2CIbNh54/rC yxqeLYjIYq6OIHLkjwiX7zqTAnADOOGi/o7EyxL5IKa1e2I1Kogw4EFthClUQ0iGQU/V7k5PCkpF Y65yL4NUPjnbDYm4P4R6fFsZ87zgER97rocxsShHQljP0yHjMUr3qSIsLYQD9P2n+/j/sq+TTGUI K+NWI2maOaLBnyqHarHyJqcjHYHLafslN/gim0uTNeLhH5aa3JCE3i+7WezQRhF0/acvNuxgMHQ2 QqxI5vhdWrRPBOt3ywL0eGdwoAbT2FQJtxMjnUG4elekiZIEdNE7nLvKJXpWC1MrQSVvXZYBQWIf u3pU3dkfGebR9NG1OQdSNEj6ylpT3wfDi6eWRKrvD9D8jDmd+MUHuTG8iS8ERRvu4jWradQBKBrZ cyzjpf3MzY9I8tiM0fPIbkkSs7lX7ggLGSubwPxH/9gb0kSCw2K1Nzc93Z5fJsOZ88WlzSaEVf9b jnJFsEbMVNBkbbxxhm6ydcOraQCMsxu5xlvHFlD3DVRchfJkFq40RoKU9M9zJe3oGoSBtnJYzxRQ vHozEHmHb6rxJVQbuJdmIIF5bXAcbefQ5BryGA1Z5GnNOPCYwPndJ/N+qkKCPKh8Uq4AbmsyhPlo TYRYAqI9fR+hcFdgIUDAC/DZsQZLtu0G4vQ5L4ORlKnS7tmtovd9hqcjLbfeyBkR2ZXrIxlLw/LF rbC6PLwL9HX0vjiLK2aHj+Qj8XV+dLtCRPKxk6iXcNObIJ6J2HUlaUwEOlo2m6iWie8zwRU+gTON Fj3a+muQleC09yOhwKDBwDGkCNhq+qDLT7I2RjlKABYzPL/adAqu1uWqnioYwBISSiBWhLvjV8VV 26iXQV4RK6BD8s8xzaBtiSbfLHn48ZcgGIcy5DEHfBGfVkO8Ln6ojnpnJDud6oBCUC1HN/G3rsjU Njq/nyS9Z6QRivXJP8X6KPmLOYUp6p416dP9hQ+xsemlHkU93DuEuBGDpYm/5IX28sOL1CnHyA10 e1eE11cYXNywVzilD3Jo59Hb8OV3qLsixo/AuDiC0o31XxFQ7fYHcLhIF/UKsbfPhDbDOxRQ99JK d7dWJ4fFV+PZIzXiPda8hv1Yersm9GUTa43ylsbBf+93Z3Zydk3qWCY+L/+0hS6VmeLhJDOsj7gU mzakOWW4MxTnSDzP9LyVF2NJCi8g98IrjU3FmZRK121cFW1xp8C2VL1jFX3uQeszDRi9yHwvXkXM we/EXrGrLpNUQro/nstFF6y56y1cRwr4omCAXSb6NDxa945Nxe+EbT57f/IlaHxtFmfSD+dt+gC8 oCt521119x80eB6/NanBkDjK68+BX9bG1R89sc18z8A/IGAepg8TqHeBRILN81SWiQofSI72hREV +4GqD8CJiMM6tDep1wyjMooB1QnD4iwSQcWP46WU4UOi5G/AKwhDoMmGT3LBUuOqsKxYAkgXJM9V NElt/krAcgcg1Tj8bFiRUCgTueMh4GEcy0IWfhGv8G4aQmyl5yxRUO/SVsm3l14SxeoZ1upzpfFa V1Voeu/X2dwvx7PM1NYUUXOZJNHVTv5bstP3KY1rBh0sn/hLnL8EAlN48pjclo1K1hPOBZwAVNHb nIPg83RqOPE3bXM6/1X2WowNoJVP99BkjVZ+jp9AFbaTS1dfmNGJUGHol2W9f3jB9zY3GO1LGfzG zW/+CdaZKU1XuL+wO+YQxGnGw4hedoCU0DaIeMd61weMDUawRZOCQnxpammLjo3rDVdLNMGRzj8W dzOztde1TaB9o5P5nmrHax6KkWfCRAniYH+w0Ysd3wS/G7U3dhEi7I/XNoFMXmAQqspbxZuEKxb0 NVkmN8+zVXqrWb5+8+QiXYnMkesg7IeWWsGktfHna71BSi4KAyRBBR0AoGX2tz/ERYgAAqNxmjIM GKuCInlgankg6aUxFPNnYTX76KCK9eIHMM6XiyQLNhzUeJrFn4u4ydr8kce5QLUTVliZnt1W5P1K S/Hb0jFP13PVWwDqQMZISrdVc6isSvw1mYnjLlaHJsfJsr8xubu+P5Q+f1AA68PWh5XIIFr4lhF1 pAlH4kkKTQIi2gl2ymxnYYmz5O4c08u2vGQ5cgafBpUwcLujUIN0t0CZ5JKvD9BwSi4W5LeqFVUg F/KWD2GwkwVVsPl+/FgHhHkv/Cy2ExHQQrCKJ92LRo6nwPXxcdNmdih+M4kyR/ifeqcekL3EenMh Tut4MGCp65ou884h7eWPK5+Y+a/t++g3Tzd+Kb1yDoEV5h66gNEntFRwvFW50eino5zKr7kwfA5z DRUIlSSZ0mtYr7xiI7b/MhPbLEPYmuF6wVpSFSqZME1y1+ylJp0Ycy/4/zVC0vCJJf576BP2D5Gj VKPitsgiHE+Gn+kCEfct+8gTi8xc2HcdN38bZFByU1yZZuqBj61qHvCn6OsL7/1lMOrGMr+YbSvN 5SeRMn5dvimvHsm+vceGznepQJHEVhEGAB/tPIZZSqSuTw2Ch8TOMa9M8FGQ+ak6ujfWRGUu3j/o TVnZNXmxygHBrBQSTMDZGzFT79w/0/CUtST0N2zMPuUuUGRYo8kyDihEDaZrriFzC3u3/3olZhyq miA682t0c4oei60D5GTLe9GjZRWMbrwcPSlFXkkGsHM6TXz6xdnEeu+ieRn5RijCalPBODwr3hVZ LKMMsD8uVBYN+LptG2gdo9xkjjNXiPg14C9tWA/GXJTZ5v+hNTWMfKGPeqDfqBUSZz4a9+UVa3El rf+oe3erIazyq5qrUpC7HjKgCGQ5JEH16bWR2NrDNOQMetDu5QXNjaOuuK8xLzIhf2Kz3kniIGz6 UExp+ePi1f8/C2RjGuY7vE3phEq0bmTrUJraE/s2OwAjjYe9O5L1YhP2hWTZDAMXiAs3tYHju3tv DPOuCRVzoSXP9ZbFyC49f6kSqsGl/lAMeUcgBi/4e8WbzhqGKuZdtAl+/q5pFw1KN9wDRzRgLxzg ckXgrbPiUAB9w+/ufp/+rgDJVZDOyqxFlsSkR7r1Pe9QJ4n4J+oRXunr/GUuf2emDa/FmaC3VqTR +o8QHeMF8WnEQ2yPXHAwyVygp8uHNCwpERurud1Bxe/pd4PuuvwaGHE8qeSCx2isxcM0u5kcZP4e CchNC9/wimPKzkcmIlvqWqsMZdeaG9IpGKsjk8FQTdqZ5FH+syBlM0tk3eWCGlJHMNQz1k96Lk9K irYd/cy6Mg56pYF7IPtsWvw8eDyT58W2wqMzF0jJLDoCYHxbkPcmvmymC2R7qsNZ2/76UbNx7Epa fsO0qRCsDSF0ppy5YqBS1Ln3TMI/MHTV/LDZxFstbNKoXyaoncnUJ+qoMOD1acWRKWfJU43Yt1DB zs9RufHYfCBTDgW4vEuhaOpa3ce/2Q247/f9kBYsMgZwF5k1CAUgRzJ+wOWpUC8PSRe/aJLfj1fN knDZxsSCmfiwdGhegqjy2VeykauzB71o3zfYpOKiz/+3tb6OgOnvqdv/aSzdTORNBRu1Ki5FDva/ 8it+A/0NN5xkoA3oNCJK+5TamUck/WgaJLrQht6QiqLgeHzVn0LA66e595dTS0ZPv0ZhRfSiqgdV TLWK6SKQsDCXqO5vn01q56Q8DBNp+rn0hKOtKak2KbaADUMXFNr78V1Pyk9KFRsrcJThfP2i4HWY c/shVjf3qilTLAnYkEzIbMOk738BJtzQt3Y6AVbt2y+eryRxCBqnJxXyu88zKvgZTOCcds2TJ+WT Gsx/oEaQCHwjr21At2VpN92AdHecFNGHd7IuxthXlfYASBy7vFLpwE1mFAEOHcLYSroaZePxR2No yrZ3yZwElgw+nly+g4IMC6Wtt5kK7sh6JjQGIT1A/xFvndn2NSRgEbUYLrE8djPtK6AIxaXvQSYW Z+2mDt5CDApeir+dE1YDUlBqmTHaTXIYGblsNzSC4i4H8iazK1D66yrZbhp/fsC+C/jYdFpvyN1C m1Z92u8Noh53Cako2o23AsTLLruQ0Vqf3vsS23Cz3UQJdIW7R+hNTEDmOieq6RDMq6PAoLZTyzfX GOkFCWJHv6LpB0uUM0C1tLIeMMR/TeBCrjgLAoD/zUtUdxJ0yoUGr+CWTD8rSnK3MyLB8HKIwx9B hUlNP6fe54eT+hMl5mYfiOCqS7jY4Tg1gt+RuWCDVv29dOs7cfFsWJRORN7gW3V2zNelUL44UQNk LkGeDg4j2JpGPK4dLFPq6k0ojQEuOXDgQicyy9mK34JaiNPSuoWSj2S8Ms0jdDXwxhV2WrW7yS/6 MnyCY2gH8qPd4FlHYOtwlrUfZukO4hmeXrhuLLiup48QnW3lmxpdV2Se5qeC2cKveBaUNbN+0Axc nUKD7i+3B9f5ma8EfHlyhUBGkPfYINmuAB/I4xvve4wVr2sVEZvXTokvI7/vhA64BV5qRa+fMUrO dNUeolDi3UKOkNGJg12VVRkdp6fv0csSURkedJUVQJGuYoQDWPsNSYnc1wJelR1ScGZS7VjkxOsQ 65vI5MPKiNrYTM/230MySzsRfUI/42eW3uTeIo4RUnKUSZ4M3BhLVnezI0XwRHwDO0KeEZk3nxx5 4jtlJ1oHFwdRvFw8XO3nimcU4hHx6lxNQFVCjSc36s3g9UUMMispcH2S5GqEwOsxcgWLk+IGyl9x 3It1BTt4bOqonSKhDzGlR7uNY7buOBA59nF+TKmIiGiM/PCw0pruqQhFb2I3L+BPh+4yluYKo4Fg N7lYtvp8DemtYTbHAeXffLTXQ/Z3hSDjyj68PM/vWIV7xDsoHNe6+EHG3LcHsQ2GCMJg7z4ETWqc TEWRjZk38A2B9di+HWRFE4yyATgJQQ9fyAcDSFJVjTfpzB4m/li2SduMTiFzPFaImXFV4ms3MLm3 tpAwBv+7vjxsfdT/e+3Y7yhm2xXA17/KEw1Y3sPYLoWi1nG+WbITQn7r0HqKUhig+/2mIAUx5X4V YZK0ezYr26x7/d33AsM5xXj4B+tXVyUhBpg4zJEuJonwQrlLWwU4Qiha7+b0XHdhRxJ0NW7edfyU MJLAelctF5QIpuM33gtsnWDJjalAUyEz/rUU4TrZPxzWyz9A/mt4OcRIYIbMRZl4D8kbOZPGmEH5 0oFnlUtvO0sCOYZp2kgXK/Zoue2PtVLeAhmkLbB1Sr+/nAJ1te0mxydfsPOCjq8e5Q9X2NZc19ML KXdpnM+ybg67uJsxWcaNquReMLIjG1UgOTMmWbp2ChWQ3jo1IR2G79Ui5HwFoisGnsTKd6CkYQ8W VAcn1D8NpsEF3A8gv+vI8Kf41dD/z4v9DWibtVhVpJnyH3sxaEe23GobkUDMVBuN5GgpoClB4B3f /xBclIIL6ikNXwHGGsY1uyqOWYmh1DHWW6+Mt4gfr6sWKGJyN9kbko+UVFj+5W67C3OEJ9Ax1a8e de4DCdf1l8nsQ88ua6iSFpqaWwokW40yXAKi+Cxo2atqBan7s+4SWehbtkPgSou/+AHkqXSMjAw9 fz5qdi/24rR2IQsCjf9475NR4uDLhJGGDibz/b3af8MoC/A655Syc+/k8UbxmZo8DNh2M3s8zDFd 0wlw7egp6+oZByxYARid6Yunochef8j0v6EN0pBybCBh3wD6qlAgJPcORY+2LWE12TPPmLJ5NMay 2hHYVVlLNhFQgmnIKdPe9fPdI+lF3l0w9qy4MQwI55IOjOQKGkZAOQ0ztY2ZrzCdLV686dm7Pqct 00YUw/39rPk4dyxHkygRa8Xj6EyDYNEsn5MYBAOJJzOqwdpqK5MudhcJtKorT/dvMwtiWIzm60vZ ggd8XSY26jcyLDTSTsCXvsrtqmryuc992sMfwkQkh+UHKjvjT0cDHyT+lgH4vlN/1TtPOXfXCaBk AXblg2H9ge3jUbzIFBWXsXDGlzXUO/OjPtXYphVtxjfwu8wgW7ME4WX40s/LeJz4J9CO2B7tuFAk QOQNMKCrMv5qEunROrdMxjN7px+dMurc0RmBrqVyx7yDDHPNJBSpWofa3YxvwnItIbAw3retVeoQ toN6gK91uQOKJGuphRpJnL9yGh5T4uh4Nb7HdaGvY1PNKlV4jFfNIurKB1fDNabrfqxpwn0ikGAw RvHO845GVVv5z/A/+P3LeZFQ1n9dr4MTDtzem546t2EOm2RfBJPXEbV8KOrEcx+bUc/PDLXTQ1GM 9OSbTeYh4HlamgYjjO9sxWy0F/270wtVaAH1VHaSjK4FD9PN28rAJjMTx/5adtW1PyPWVyyHHa+O xSVe4rZDGealvwFDWX46xyonWjCFnzSZUArDReGMMOL5eZXz4zb7LSm6+1jmWkEHgNdjfiVu2Zqa y5asSL53QACBHW6ZYWmUx/MVgXoixZtHbRt1hILGt3JJzBitOlxj3wvr5Uk6db32oeQXLLyU43eo Sqz4Bf8F/+FC/mMnYBafZ3oLunPxeWyRmLRh8xS+6B9zghk3s++GnWxzZBsHpDMSAL29Ls7T9n7P lcItBR0DCA7A65h7ubaoTwjr2rlhg8SdvNOl36Ww38sSPKClWrpUOW4cP9MJROkkkEPKjjAH94+X rd1DCQDegROYp9kZgAd9tIiBQJ7lNEW+5y9O2D6OVN/923aOym6mJVisYhASb7CaPCqW7AeHgzjq HfJ6cHB4JcQv+QPF1zncnawN8fRSHDTTthWnJ6+X/Eh/vevnEEul+nve4ylOONRo3mF7bLmyqIn0 NS314S5EBEWoL5ifArhsg7VOwrCZUZuhARDAVRPBov+rSGKGaE6jjcYzT/xR6ZybJ86S/+DCwVUW zAoMgSJP0XxLKP6ffFBecpAE1B7VyICucV1DJz2uwNE1og5wPdjYyHZhWEpUJ7Ux47Yqi74oKJjq H2lQ6kHNE5+HaP0HLJHlkwC0UyAyJDOMJppPQVy2eQjQG3Jt9TFXcxrH8WIo/3btcEkUUTJhKrhG rsOWhP9SCF1yCUqWTirqKEkpY22R5i6kEIVfiiSYxgG2BQ9+19cl7mnZq3cKMpSBnLcEfzxW9Aby BcscTMO0JpxuTUkMnHyz1xkT9vnKoyfE+dGGy8Lvbi+QZYKA1taK14NgGztz7s7Hn23YMUTxdZ9d NkvSCwHU4k5ac/kK8YHIAfmj2dwKZCETXV+GXQdLEJayg6NzZdL4xWcywqFV4DPtc67LfZO1ggPp eWFLSAd0Rd2v1FGX5/Uacsbyl1zCprAH2qSgV2PTPxHVa4eTCTUe8F7vJcDalSn0CewfHFbdKTbf ZcMcldjHSEThfYGbWGXoSWub3Ur3Mf8pQwxnV/in5YiYV3+ETrd7ncKyD+FjIIzMXV5VL3NeTnnZ lF7wHCGM8iwyU53SYJsMzyCuQKjpS3jcT3SYuoY+LstbZ/lmQzMtrWm4QCLinaPZCkSx9cHiNDYF szrobhiERJPPK0n5vNI9d6QqH5kcrFDnNy8mHsQUBDzsfLQY6PlE+sI56vLl+v9hXbsWN4/SG2Ww GXUGWaPdzycxxXUa+2jR101lAWJuaSs3L+O4Kj8VS7EELRY95xFvvVf799cYeAaGVaCbF4sGJtSX u+YL9y0S60UTggFYHDDK4aVTqajAWhpLVxcbBuJvjwC0NjlbWQqVUnhjWw7NIMUYLDnFA2EVui3V AM0Kt4tgAgB6PhJcZ+mxH2WCh+1Tr6unMtqzlaHCVHH4WqGWnOF2ef7I+TMreGKt4Sfm3lmt4u+U 0tSX7ye3JX5jWQNUspIMyx0TEeUEcoY6F/54WquV96WAZV8x7mkVdoj21j5DHouflYSJUcMWN36s UYruiFIrh5sCDgSvx/J1vGG2Lb+l7HnIzZobKkEuhatBQfnv93mhZfUYGLuKXRz5oQJfQf/gqxtt 6Zd9FvForshy1D1sWunvwacAo7RmE1B83cenFlvnUOp79Rtwz7HF8ibUEyqRNgLKypgen3ca+Rfz 8rz/d+1419D9xnXSVAQ5DB7Hxnatvmt7+sEmdJ+z0TSwBzpTTGLfIZlD5Mj4EUIX1be2400Tn4gR +j0DmQMjo8+oCM8LJZlj+20MocJOaq1Nm1he8pnQLDKGl5jMpdlbjstIufWxCdRH06f5/dNTc4SQ vtbyVeLaTTikDdZkfUsLiDZkqapVPgTmQ6saHCD54cs1cv8+kf+XZj1pieQ+SeV/cuFInFTCpi63 Ulvx9q7w0Kd90Ys7C8AQEUVO+1S+gC66KHCgW5t8w+4TJYJWFt3+NZ0VEbQ+VQIhVQp+oat53bog bm4RSKHlGIS8pZV4/3eEXQF0h0mSbg8P9o2y7ZxmmxYFyDHz/MVWgYHtfPoQZ05BdnalFyVQMGAE A2fzRxdYAjYejMKJAQJB8qZd4DK6Dctdm1y16VKwLCT9rdq8+55qom2kVhb8tV2tl//m7mbQXXZs uv8xjkzjCCwyP2nE2wfSIU4TYFcsdJs1LyLNeATsy3hZvoMXxHoLAC88MDcgOoGViydBqFuQwXg1 cCkKI5RKHsklgRO0XSufHtKMzEkDQPRijJHQw4N6ZCOui2G+7OvgzEPbhFle9EYvMQOqh3oK2mfU gGkqTCB2eePLFGTNXyZFpPaz4VR54BIB6/Hyk4m7gDI5H7eCzJJ2c6BS0lqvKEeunzPxnjpuGTAe +YC2PtGlSy4jlOEVjlhK/qhos6IV//Lx9cBxwwX2BFtOaHx94CnBImNCsvJj/9ZDo6YRkJ9cJfjO 8asj8Y+snyoNaQuZZVMls2bUrNF+vSBynhV72FnWX0rpGHRTBEfAIelqWR4I37JGdLLoZ7Wi/L3S 5/JVBYehdOTjkygOp2B4dxhngfyeta4JGcVCSpHxDZLeLseKhbbL+WLONKUywKEeR4JMH2SuLrxY W83IudbUUVdRfJ4w1PzTSM4xjF6/J28u41Vp5GHBEwSERNbHrO0uye4+Fe2pgNOjPK+2/UcTD0fa SMyqV5YJTp9NxRgKaOB4EakYv+QoBMvmRcyG++8//4e3UOMqx1z1oaiImGtLmFQbL24ztk8VSQWg QkSGhZ8zOlCt5bX0DHe0XGci2+BPiq9gETFU9xN8m4Yru/3E/F842MJrpiSGkTvzzZz1nS+XNKUE jNlbJ73ZBz28PQYFUuHKTuJNrwjHy93fTWC9pqDeVHqMTmzDDGy4AgKkj0a0PWZdzAytghc4cA6q cKWZHhpa4BktFUc1OUDnhCint9Sl2xCQ/I0QwkOtEPGzoQAKEsIBWa6fc+JZAKMVo56excQ5IP5y G86hEnmQzomhQ5DXTTLlDoyj6R/WzvE24vRb63o2owc3UW6PdjZaehQACf/T72ouymHuZrACBIRK YKmIeodCoVldof3oWZcfAbuccq59g6s2gJbSjLSwWG1Z9AcHNGwN+hwJYJeTTgeOhtrQXuzdaKlh yxdganI5UUjDzpwQALDZxQFyjCTkj3LViz0zu1wqs1afn+O9olhCS/9dRNLZMpCUfbBGbCDaYWDU IIcQOJljKur6DqQdNNGKbfztNgZUiJdiUMfdWjZ6R2xnwPNny3plkxMAkcY/CXC/4JyaRPGRSgzs 6ivcwZWhHc8DOMstGqGDq9HxUcrh+4SBfw4/3FpvmZGoqnXA2vSw7Snj/iF8VRLP1n4Na1b58LuP ut40xSmBVwB46XbppzOt8k4bpuc3thvaNO6TCkR1uagV6lVZGgtzYxx1wwfFBLlmFl6rwYhUA/dG DlYF8fsK3nrSws2xDVzdL0muqd1TQlziOC5kpnDuMRdYtjGKJUK9ycj9vHKpo74a0N3dYEMo08Ei ppd9tWKwVIYMOZNuneIZ7Xst2edU0sIwD3IY9jRVMPSDtnw6riroh2adz9KSFSMZS67zTxlsTcZY nKko+rDV5RjpC5k1vOrzkNOKdtcuzON0pOIxNEoYXiMIpaJl7qs8HgxJRxlr8Zz4ZNfNKUtwIUbC fSqrj5RuElanu6suN8z0uDzK7LunBpUjS/KqEOM/Qhb2R/l7N8nlbA3/wAcOrIySLJ+UDcJnt9EO QpxLUwwkdJOcFqvBTgJYL1kwBC6P+pIrRcJroBionbyQQ4xRiNN0P2VztRNeuor6QzyqrzT8o/b3 sbIiXdJpdd86XobdxtiqVB0MdZK0NvpfjQKsFivh5wODIzaLjZH7ffvW1P1MTI9+VOG7q91pVRZf rWEI0KSyTr0LuFr81AlTDnO3UWt30aS/HUAPrsfGo8TsDG3U9HdSLs1HJKsZgVFi03N0aC1Qe8nD cTKSo9alam5GV5SxnvF9VPXitECjmE9p88AdgNFm9su73KMxZO07mGrUc9cEl2EsJ/eitBXysF/b BnL9Q5iRSKFwhkbFZoaPMnmVYxWwjmyPK9pfFzQ5v+qeAoUouokiDkvD0aWA4B+CjZzu+2coROrT E8rWEpEfyugFv2AN+CknT4aN7f+VUMPOoJy/OgFBScwbkENHUW3CxtdIRKxhdzMSOaiXJAen85l5 K/6CL6OvTJI9u34IeJgXCIrHbRxobWk2E50vHu7KHGBiQumdLyL3Jk0FKXUnr8RjCff2NFh5cRWf b2nXmnYmC8qXlHzrffn6MqCSZPAEXbawoX3yWVBl6IfaPVQ6+FTkmdfEnR8zl9RnS64UiWDXZxuy KQ2V6Rx3Xln/ZqDgGfmwotfm0Tn4lICChXZvJB9yyHYQ1HhUJze6jytwapY5qpxCSkyA+QxF40Lx ifKdI6wDtVwM4ifSclg6siSYTG+W+W3OElng8AOt9AbNsxFmcoXCwIkFDemwnzx8/zlYA4ItseDr 9DnOGFhhk03aPiiaQTTnhg45lMKgq8j9+oP4cvAxo1EIGht2+FaJX9cs7Ag5Nt9zrSpQZO52eQ5a H82cDuxnREpsASc3G1ATd+fN+sIQBhDPOvhT0+IennGff2K7LiJWkGoBkZ7BrKav7KfFF2AMVPfx MP9dGzqP/HBnR2HiZCYLPGAXGdAsumh+nFv4KkccAPHvZzEIV2Cnn6sM8rPz4bn7GAoXTTLKFzFh +oZAK8ljrRDHqMdU2w0iTMXwG0xXdUU6k98zasZkPp4xBgN8vAfLFNUw38js41S62Ywgbxoq/U1l AE5QFsN1vCsvObeWpgNvIpF/eGpYpp9QYujn59eVe/gsGJ8zta1T8wyq2PMn69bgxAvfqcdQyn8i yyJEwE9qweCQGYwIOfCwNAsNn64QUfN8jjWf5mSVhzzCqj1y7qfjpjRL9pLnL7+rv4w/A8uXxYjm n6KGAocWjHAUKVo9NDwGACAjWf9EtVPgJt+MFGLmabw4cJZuh/ZdcMRofyB/ESn5E6jNWDjBv1ND kcJLKrDzwa0IVEFv2muR7npHUGz5F4LQc4AgGgceedEeYBX77ORw63l0cVc6BM+g2LLb7FdguHZ0 U4Uj9kqJrGQZtxOhLgjCGBzIdf/AW8tvsudqjkTOxoeLvMDoklKpzxqi5f0HjHe/IKi408BFv0CS Wp5d6KBU19RE90QciT8K6mydGeR8sb/mcBD9RduIdeg1LZUGBJ+zD6dA+u+DFwB9vLSmHC+A3SNM 6Z+WZxuUdBU478vBxarf/Hn+pFsTmvwg3FyRZ+R7dWPMg7SZ/qYTzzrP+1FmxgCg10SqLKldDsvy bLvN5lNlHdupCVWLlDHDPICrLxjL/dfvR+7gZAs/YViX9hO7W04DmqAcAq75ewGRdce9P6zBP1yi g3H+VUJDgbSp7Ao9XpLYELjfPoEYIhVXr34ZtllL67LKzqY56SGsEg6ONh0rMEvBHj6vMIckPWLc ejLFTe6UpGhqizlf2bMR/Uk3nbuKz5BqMOfbrmm957DZhLhHWw8o0TatfjvyFEaY/F/0M3ELP2Ct hLOkqQ1q1ZrkuBkwtov232XI4b8MdUacnX0yxoghJqyxpHzNOAoa8kxFTss7r1WnfSbMGoqBj1LJ 4cB8y2dgSxciHtIkIzij5db0cSpjr8XN9fPj0Ph0GsJaIqa2EntmFRFAyUHH6DkzDM+IgtX/hXXI 11230VGW51ErsT6Vx/JbWhTo9mQjIgnJGtWwyzWuuQk1Y/4oa/AAibMzzU4i3JoTYCzRLtJYvdhA xOCDiH2c6DX99+W43UkQu0nTulNiFtPYtL7siHmOMGyukStUimc9O6lAYc0nhGF4vwuT35yvHGBg 25T9tLjYY1gyvrfPqxT67ROFIhgMJyViAiln0YxLTCJe0gV1r7IipfyKg+hvtkb9BodSgMdYeG3w EysAyzvDyycOho1VPIxzwQ5I9ienVz9Yl1n3jahQGVOCZiyVn6BzOB8ls3/12cBFkxmpkdShBsrG b54Qv9Gt446fuUBrv5sYMFPdG/1ekTTTvbWcRqxXXEsVFzqFqYf/nU9qz3pNJhJRFhXPSuS0YhoP Mm7Wslca8qdeeQFGFbHNmE1dj7bDSd1aluwulh9flhljN+LWGFHuwmIsTudlv1Ex3PV5c8h/ncrI 1ciFL6WeS0fNAAPg1Bu04zju7ZKyUeDoJKlIAHVHJeSUHbzjS740eKt+To5NRSjlBDyucu9DLRMI e457sDRfdTDgqQIIYPHLd6SqZ+cR9bBSvWhrxn9JQqy2+T7VK5IazKaoX3HC2Mq4yjcN8xcQdUNQ n1IJq5lqARyCK8uSFnLe9fPwZCYBI4UK82nZJ1HaDSmiwMGwLlEaVAfYCsdCYE5/eEyAkrRrSImF w4XOZalGHIX6uTrgGJwdhc/ki0W+OE9x9MpcX29w43QBxKgHqW43OqaZaofOIQa82uWDJOe7rEEV C+FUE6cgDd+5mE5RC5hvizf5cFzNRB+D3kCdccDdjyGdYI7+ux6kV3zyzJTclSE0Lpv2WME1FYkE Q8g1ms9oMKJ7oKb0uM16fK3Hrv/tzz4skWza8F941rGIYEkUz1n0oB4O82WeVy7D8hKHF45o6nNu y4sxPsCCGJwd5tbJXf5Y7E6CSfwpAT6x4Cif+7ew6SJ9cqWhUpNXKSEpHmBRQ/eWjsQhdl7BiAi9 oES9RoGKiN+TF5AgMORNX2YkHZuB+dcT6qm4hpNnsX4xhcnB/YhPlDiEo+i9HSmeu7bc0dp6DBPE ID6OJojIs9xc6HQjJZluP/7Lvd1ZUJWaqSpH5wbdAsHgMjivyLxB4v/5SgaUITSrzcrR9Zq6I7El 89evhMD63RIPfxp1VbXVyUkbkJKimfBSdTD3VOQyYZnOCDsc2qsRp1/1Vk+BvZeYo6SrG/1sGM2/ kBapivpGiMY5HmpESUPr6LRCv7NHqrc7dGyUyZi2VzzvP7OP+z5a7AtMX5G2NefvgAgltNXEimRa WiLBAslL6PU6ApA/dUhFwMXioQbPv249paKrosMYk1CSwLyc7PIAR/PopbMIJkN2SRSsem/RpVVE TGvKvHoSB2h2qSiSHHOF8dAyLsPgqerWFrrGIHdAwOdQO4p2f/bkQRfn6439utYZJbJFHvq1ecoB oINyMUswWAwFFQmUc8YxKZiwZS0mAQeU2wM8dPe205BBLREGr1nf2WlBVxWLJ3OhEFgavSoJfM2X 5cu9WnCvuSZ3ShjEELP5u3Nappu3Ryc929fCd6SeSDK08rto47QWj4hG5UEUezBiYHJmmmqQKEHn iJUAI5AkDrYzXreNIHkJnoljTJNIFwIcVkjC6vp9KdvOTko3BDubAFicmol88zU4qHM1ew7WyTPs DlS6lO09deS4zFCN5bZVr+oIknEWA7B11pklgbxbcdkyUNP4wIiAVF8vJCpvD59jjNfCnBbnAbF1 I6rXdzIu6HHKhL1ES/LYVRTRTkTcwjCxvbV7ia8ZrrijHZYx09NE84qTZ0piQulN7MvaFL/gIbkH 9ADPS0JsKueZ6yVgaoPEOLjNH9xY5X2AgLTd22SERxEB0G9bwT1+E6N+UxHCKeX/bY/Qd9jD8HaB k8q/15i+vY659/oXwlMs8v2NRmm5DB8ocp7z0470Z6uHYuzMmHC8Tn/Tq4gnvWvlLV8GfBT/i5+2 zTocRkjDdTBqVMXGLW2Y/tKfDWQCpfh9uMmr3TQFLO0DLWRABmGIvDo2qpFntXQf9g1YOrWgGrM7 4JqKNLptQkJQnJgbzCBlWW4wMBKwsElmCbsv7AGJdJJt45D25KC6/Fq6fzvUe586nbOBw4dIqmdZ SDnMi41cekfhQLiYIIR8cOKyFkjxyYHS9+qbQ8zlT4f45J8ehPfmlu55t63iHc8gFObmfgRl9qli mZiDSeuS5aWrm089vCTEmIGJ5mzY9cZ4jfW0TxuBuRbzkM9rcv6l4JHPSea5W3H+nSW7tmL4bRmP 5zBdo1NYqR3qI3LSTCxX3oNAqp9SeMegnqTczRCsnFpD5KK2Z7pOE+rSkRrftXa6NgLtRwEuw3zH CmiO/086LN4rsLCfwXSI4hbkaNJOI3VSA2c9TKtoHfdKYF0qnUDq/dCQanaUjL1ZCPWZdsDn2FDP xxQMV9WUzpUVQ+q6RuUv5fJJityKwmTbe9zshCbrO4s302t5zgvp5iNc1z2TO2UWvMJjvmfS9g26 95/h/2Gzgwx8vDFNTTDrhTRF5zNGr88mc10bOjtERUKDD+Tm8KrlzyM9ePo+ffipk3h+kkHTIwh9 tFcqYVO0In5l2eY2w9QdoLODhrMAeqsvQD1pDSYk26l36LrrPWc1DDSM+SXVBJj9ZNESmtDypDoc 6fGo1AD3iRNTiy9PTufWxgnMXLUYfqfpwzJcq+rz1PNalT4Tzly188xTYwgCY3PTztMc6itScMMx fov0RfcieiPve0lSuqGEygfFdVjjAPqDcfnkFa80Ym56VSa1n2E9F2yqNtev4Cb8/9ohvZtdIpsL Li9XOXh2q8bJgDGHDADBt0V6p/+XdLEle/DvkCDxeTldBWLcjI0A8tyNjNXI9t7lTHJmG+EQYAAF bJ0h0pFyZlCRzCQI1RNxpxIKM6LyaW25T4mTLVFQsPmPipAp1+ajpyKEMxoFtuqRZbDwd814v+R3 Nnet6rAQYi7j6hzaXIYdJKL8QOOPCw5fymWB2OiAt2vOa8sfq6Xx3eLWRvTyg2vDtDmCGYcfZDqW Xa35TQE/F4dCJrTRLNVeoe3b/9hOu6lMLCGRBdRpS/Drw7iUCKQjg/I5q/+0fU/La6G8ztbzWsGI pRgKgXaX/Eujqcz6xaFNfOFZ4mQa1rr810WKEgk/bygehwlQmZ8qOVjg9R2dmTNZMG0c/Oj1m7Ap WrtIHYC/3+sGA84WrQ3OqWjC9zN9jT5hoTXeFtB/Q44u6TNiLnls/J09tGIfalDinPVjmoS1XdFM 51fZFuQ2NcxHXIhRGWxc9HTWvIG0pU5XzEKuuaWliipeQeIsTO9mKxYPQe2a8sV5PSMCj9qU0GWv EnORvigKnYOmKfDzuE5ZZG1LtcqVKjnjiOWWSoPvnHPJaoHF+3KSPBhpsAANOIZwHVeK0EfUV8tn IdJ2rxwUzY7heLhNn+xSg/Av2DVEUjPn8vxoVFM9Rbgxmvfn6/LQHg8+NjTV3F8inw74GV9lG8Oz 4swrP3c9PGQeCkOGEDmQzrXp1GNBX1Y+nYxFAltKeXvWmsiFjNeYaLFJSnodyBedRpd+Y5gLZeMF ziDmHf/mI7QbN+1/zyuCOxT02ydYN13VvMmZ5D1vR31fkA1Y7Mq500IsDyC9LyoF0nsWv6LsquzQ +K3qMONSS8GoPOVUSJtZV85wUGO6SeAfvJiCdsAuYYbOe7xGAE5ARnSmnFO7cHLDOb7psaEhzonC 5rarwYyyY7lGtAuUB6fbMfUS1UjjjWk9WIRHTgvZWqCYtBX1oMyPef9Mdx6Cdk+2t9pRqK8yNi/v OxlGDq+L6w37Q2JZ2Eehio+kM4MXv1GA7d845DX1RZ3+6xxWrUEJur5n2mpu9rewjNn26TAYQ6sE OJocmyVnETU7mb8SIZt1pt4VTQ5SAOGkjV9wOHTG3LfOqc7WBTQCF27l0Qj1/yuV0Fymq6DH9yC3 NoOrjV+mDLwFZ+g9p5moUEm7K4eggH+jAAsIN43PLgkqlbieggfs50HmZnS7atmL1SkEVEzfnPdm BSxUt3aFvtKRVbPaBvIJKmiVleTCQIqLjSkigvt3qg4gJ2blT2/N+ecvlrWzc5e8QdagtzOB7Nmg 2hs5wV26BcaFZ9zebcUfy37RtVjgp1CIrkSp7AT1eQL5hTwYOPDj9bnrQUDj5rxV7Htiq6EATCJ4 yYGXVQoZQ3iWrWD41CbjO0wM3nyptsKKFxiVgeGsBMZnhRbqx1g2Xy1edeL9/vnEmC/pgzwd4W9e TT4Omt4+Fr/Z2lMNzBUsjDtFOkn4QPJStr3FVZ18WZsYVohzVgE/lcALT/w+vnozEXqZrSY+Mdhl k96hPDNhhl5PIW8y/6bdRGNNwELTH3XNhuKQEtr2fiU1rIUhPfpORWmIQwrYojly5mgNO67c1XcK Xjx8EIcS5ym7f44oEHXYIqM2yfzg3MyLuWayBD8xgOrfraEuccliJg3L/dJI1A3oxbxHXDfmQ7dG sSBjXPe7XNnr8V4UZps1rVdQJIX0ArJW1fETS/DSV6r4uC233EfYd3O1Vbyd8TDRseLin472AdZg tJJMeUdjM2ICDvg4g6hqcsofHoIHsk9VyS8MTNhv9QNd0azGv7Vungy1/Y7VB15Yn8I5nAf8QtIb WAy0JvaN7SEsDLal42ykniGQL5cr7CXLxDGbdZiYKeLl8tGVqFi1R8Qzwe1+1Q6ctTLKROaeWOv4 0wZlHmnTFB0mOZcCoYgLdbOXbrzQZ5IxPFbXUPl+E4LP6lYIqQ74u9w3G/LULU7haosDqZ6Wjkgv hDlLaHwHcoyuXsTDk26zgmrScRy5FhsUtlnELE3iFnGEZU7QnlfaBAGaJU7DvcWAdecMArQTtDtB FE6fGmvJlxFgJOvr3U37pMTdLd+AWoN3xK0W0b6HWc8LI9jTabxNJV98kdV/viROn9S6OUVKm4v6 9bA4e4mlnKRPcmzkLOlOpg79XUMuUmnTOEw1yyqtjJ3aO2OG3L8IEAzAThPOgje5WOIH3Ls5WWDX EL6Yu+PFwUPb8/QzWL2W+k0rCEgCkJq14kGuzhCrnlRmS5890HPSIToilFni4B725ivnoA5oOSJP v2BDmvDVhxgiGwx8Kf6yl3FiiKEZVhYZOCj1yGjdVxjps3kDHjSF3yb+YdJdiiNUhiONNnMceTJ8 OGnYNRrdZMxzN4roTFfiJANgLnZyTNe6WJ02g4P7Lep+7JNd3Kjm+Nvfhh3suycdju7dtBOwPf7m nfm/qq47B9GpOl+OGMjUomrhVRrpdGUsd+9NMi8cbMHCLUtRSIP3xZutGbOftekHavOsQisJ4tUE HUUvZBt61kQhtrKcDiwqCO7AYQzHNBPW9DRA7xiOqGafoZ3BCj14X366KVAgMIx7zirRDANuGlUV doTPXz5Nk7YT6kt2IIwbqyPsIkOq8h0yDaGucel5JVlK13OEYAbQqsTFdPfnmVqszoShjC0gWtqA TYPDifTGsbG3m6zZuv7IjotjSdjCjnwQ7rYBNdJQvMBKr2C8thca67nGKiPqZsT8EYFgzQ91oqwr KIHHrUBcYVpqVOMuyO9dT+8qVo9sUN8Q0N7IutQO4Nz6DRuPZu90Ly9Mz6YT3B3HqgDv4hC6s+Ka ZHX1HdYVDs0bLkuqaYLWCK1/mg74nxBWu/KR2pTT2OdZLRuS+oiqt7thnWifKkmea+L36knx3tsA OkYYK5c1nWrTmsxAKK9e+ZwFJoEkOO+qcbdfw4PBTEZ6doQ3sXLaI95/CzkpVz/fsEfdpcxkD4NX dsqO35u8XR6vjkbtTC0QiFWP4DLa8fG1qWjoGUUm2AiBNicOCky+Mmgp2uNoaJeAEUfQy9ag953Q cw2Puw/71kXXWS9YqNuZ4g5TvoZKiv11RBLTDZYQbDBqNQRpPflLNWzGJx6tRUc51g6n41bRfifA h5ffNoKBm5i9zMq1vDtb25eR+I1US6p+29w/jeBbnI6yhD9ke+npMhPUlz0FieMDmRY28B1H9fnn Vj+HZvCKwGyhZx+NmsCB5guFItE2l0MIakghlLl4oQeQVzB3MjJx6kBvG/WIoWd88u/vypHXM1ms R4y9vSBWmCD/ftxHckptVGr5dG6tXrO2pWFY+LgQln5Op0hsUPZpwrDPZ6OYJsbR3tRbOvta+nvI E6mYcW00Ow/89jhboZpvqNNU5Km/YPsHiYz7WrvP6urBpbFMH3ZiZYtp6sLyZZhareOSZNBefxy3 q6bFV4zvEyxwmgwdhymdiSdsGDS04lwxRR8O6OjPs2qRcRcxqI4ZNY+fbxB98wdPohOuKlNrDu7P 5J9v3FLPBTt5fdJ/Rg12fE4svb4QQlfdWTidbbgPuQO+A+y9PdTlKwVuo4MsrzqlKcyEZO1tz0bA r+E8HKaSK7/QRgey/l/CaH24EVtyGpSMRKHEsT1JgwhE2w/IXxm6LAwn0KbwjEHjt0yrrs8n/0l9 kABsu8E2oC4BMQUiFrDpl72ka+y8SlY1KSMNOzrUtoWwUh4D1uTP6E4Du2RYLK1WelNhyrmCdI3X 0c5TDPl7B08eJmsdxPD5fYnHD+yvD9e8kaXeDVYbIp/v58Tjk4zzlhU5yzaWJodS8tpQqUG6qSZK YFRgX06Gd6IQcvid3+MshdwG4Gek7cVkObMP0IhTWoE5UPhoJEImB1JrzUCxwn36HJcEUKC+sWzD buq982LOOGG8EXlEI8fSid4cRWpsvWFpWQqUHE5r7F00q/eFdWFw7izIklEG5wA+M9fHkYhEylvJ CqJggeWZFr1Ucles7b5ZNzqZHQyBQrv5QLdijsmNyNOX6aV0VsoNbg/zbTV3FcUNvXHGisvC9Wuz TXEwxVk8B2engpUjzj2WACJBwbpTJTTFjYTZRb9JAW/kzoZxFeLYrzMEAilVYOZpWMNiQjW8o5sJ /lOANsaeMhKQFA/NZPcPB2+PC49z1RkT/NvMSNYzGXTk/lxzmwaOVSZxHA2+hxAtl6UC5NCCu/WP PBbF1MNtOTanuUnK8Dgnt8os/qDIX1vvkLN6pPx+riH5FIzQhvXRMHquM0tL45O7rqT6Qe8ksYG1 iPP/A8FAIdAu11vCkzPobX5SW8SHbhN1ny5qyGYyUH/jmjsY64NdnGepnUGA3wld1ZIZWyNgWl9t e5JlAN2ApgHrnTF6hZGP9GUTMOsh5jvYeuJcWrTrgbdEKjSI6roBcKleu4+YtFi6nU1gHOf5Pi4b TcXCtsaCKW2lLf3/tNAY+fR6hAOSRCyGaCc6DtX9+baSRROLr1w6pXVWCVOSp3cQ9STXWRzI9m29 cnmJBN83x1KHmixueC5Wfn9oYl3glYbeo9X2B8BJMwuMlOVSlHaWx1vay5JUerVPexQ5NoBlctqi WtgLoEb4ZG5qnzwAou3Bkz3qFlzvEC5vHBlzt+8HsSJ4Pdeim1A6pWwTIVxaJGxqrEut6TmL0q8t wSa2nBe/K55vlQVerWOnHOSf05h3eHZRA+G4cpsO3m3RKLo73JsM4wprKKM2XaVKdZXiJDLYQGIQ n3Aw33TRE3M+eVQs7LHQG3hg9/MNBVmPo3Jpnv/64jBORtnSWhHQt5wgzAcSro9i8PMMaxN/Hd0g OeLDOwUe2sV1jtgY9OEv3u7R4eyonhyAdNRFOYWGkbUIgvwDMj4cucZzhK9EF5JUJrVrBEvbqhlb do/ebYKZY9ZEpsNmnNRufD8CBOc4+SfYfAfMwa5pBNq17LDri+XuLpAeVbPznEFrATrMJkrc5F+7 j4OKtIA2fMPfzCtyV58FsX1MOeK3G2cjR6D2LHZ4Ndfvosu01Pe8Vrd4WngyETZ3YUBDeP/JtCEz +6waHlHyOPjJprxz1hzcWpnyBbUD7OoDIT3fjqvS2sbR+wipJds7HHXFfpKd8LoeL0JYxzARFk1o nKiWJNSD64KDTl+kqSA4QEB3OHyZOWaBSg92c0+e74ASVE3TSUiIcWyKkRRGoGSuApVv7iXKQ+56 cs+pa8qBf4qAF7281eN/IqS50zJ1qUIgl4seKDH/dWFIN3sponI9HXXuQvMOtPT+nrhBwjD1BMaz E7GUNjOvaFZL70ZL5ckjudBWPptX/icuJ7g0s156O9L8LVz2xm2cb8FLXoXinwGnvnxDFk0VYghW pXPRejycgYcFTJDztw+V2Wo5BDJDNxM4TX2XkIyrphqSnCoGiODRv4pUUHOCdQJxOtP3cPJazRFM Yt5paSVF7iZZoQREAekVinldkqIqvLO7bb7CLoblrZYmwYQjDTmDb5JeJ3LsbOgTOPPMiRJ/vYIF nxG2Hum8eHlezZu1EE/wH5bxWb5fuAAq2QEe9bJS3bhMLTWDnxe+WaZIfGKX1aRDDwgZ8/B1u7ti 4tBTfVVkO9JGMcL1FVEVwEeZ0n1feo3FOJjdyGVgpNLgTmEwRYTLfku4Nd2++wJsHETkX7ZVDpmQ Jvokx96y4Dy1JKCqJYjWQmhw01kRUAs/YCQiGBkP+BVqLW1GsIHsiuflR3o2BvTQxxilKSoVBHJf mHYT/KVKjBqgY/dVjlQZgaYEnlIrhQhsMbvNIFmdgczlZnwV5BPrc50dx5lDIE9PKDc/bFWj1EHK MaczjOt3C/MdvtG9x9VmDcMlJD/zqKlGzeSkt25W/2ldCPkwli6Cn33GDPEd4Ff0YLsJL+R2cYcS h9XOWz+/A+g5xsGy0ixqiSbVlHmqm1R3QXYsO/IhetFaw4A3WFlEwsTpOz7PNiyibM4iz7zfNn0u gwoSrpBvrWRbp7r1UxOEm/prLV4pSqVZzYCv6JPmbS3hX0ANe92k70WmF45ThHUoskF06JPkzM3i nHpmTyHyKqPIulJU+sKUvjYdVSidf8Vlup9ZjB6d/2UEi6K07WSJkvh0WSe/X07ACoiDOeJyySNg nLJhr0lQR3xixc4Z4DNWJem3bSY5LKXuCBelNKjTsN/gdeax4OAWoDSvO6LQesyT6Sa04HbKSHCV 3KIoll+KgRiY5tFb3P57ASR4Gl+zUTx1ZsFy5TVyzI6YoaodVQkVT7tDBtqSLWKKNjk0DFhRwLnd SVtpUptGEMa6w82KZI004I98FN9mvXI9JqDgqJjRxYl7Fpuzl5pGyFyz/jPhVOKKJLpEHvOHjkvi kTKhhEQ/kiMdXlXgQhqr4xsAROnCC6iKEVUBKf33W/16i2t7Xg12DrZ8Ft7YwPWFhGk8mcyDO+WR gRd3M1oIXt/SaOJpiJOl3bYZJop1qPmYgQg0UT9qnDeTckFD5GNm7HGYS2zMm78BbFaUZ+W9wr+L iz4ZzGOhdgmvWz+pxw4BQxv7q+KFoS1ZR1OLElf9i33m4GBEPnWfUT5Rc8bpvMOaMIhiq0k7YfOB LFTPOQc7g1ALMLrio7Zld2gAlz0RMymTLU5UcJqcTpvqqKDh8i3ehsbvVa0DQFbjGwPjAPUPOYz+ wHBBmY0HiQE99FsTcodYqYmEz0D3fVlCKjnW02zcD687S67ZvbZgORbwXASjInWJS53RAWTwKYA5 UljVfKpEReZ/DppRSw3cC0SAhp+mE0BU1Rpx9h8rPxVFd57sPQq85FXa0s1CCkEWB+NoQKIjLCQF xHVvUJxFqGXe50N2LcyuHbeQd8WOZChL2qj11sMP0J6RTKKSx0e5coIiGTi1PEnvJiX9Y+ng5oyZ F05Fkq7ky1oVr+cDujE441lACyhmgOdnelzXf7l36hn2JWqNromBw9evVu9fUTUwIS/pkmFdKdNQ 7PuGdiPqcaesn9u1NQS8HEfi75XpFLGgrBBrVCa2b/tn9E7yGGkPoyDsOXJaT6m2/nMuUj05N289 c7RyRUFX/umk2sOzkiHopMD8IzSzQXjiyVQlplHYoyFTqEg+ItnVvT+F6w2UDutGbW4i5OBDZhA6 FySBBikicyJFcuilGEUhqkoxrRpLG0S8mrWTtYb09ZhxIgiUiFpy1Cffg5gCjDB+nm8qP7qFpM7Y SWPzmrDgn+NDb4Mf7P12JkxoborZBDk+QsGN/74l3F+SbMY1ASgMr4PAqcicgny2Hn6GrEcP6Pzg g5yOWWMs2S2Cc4JXJ2Z7X2GwjuU8c+b7rp7fRDoZS5olNPwGX6q9j1i3NWpAMewHe24U/1u6evCg GA6cr3I82pxWH5I3X+bD+a0XuJWNVFAjClBedR3fVIHHrvj8P51bNC+rkhYjT9t/asntOL/cinaR h1jFdBD5hxPAyMdHK1zLpSgR5HgoasGuyXXmOAwciqZ8HlDOxPsuTQAQm+kNlVnhq71DCwfY2DJx EN+tK6r2VO+E4Z4q3E53UYfL2iPB0cUeqjV0qtPOXnM7qMsR0fNxFqQwA2e+H4Mc843Le+W8FFgF OBne/uvpa1vdO1r9vH8F5coDOOfHkOF88c7WlaYseO828li71TGPwx6LWhuudbSNUgXaUBmHXgWy +i9yK0gtrKNHLnD9jpqBJ5R3KXPy52iTaJurUDZrl0Q+XNX/W3GL3ebcIrWsW+2h0hL26nEYZMrj vhDU9XyQZbV30nNWB+qbeenAu4sHRxqz1i/yoAue0bhdFRTz9xJlCiQWJ0/ERpWnAsJvMAuoc/aj MHwus3BsCCJmqjY6wahd16dTKn/+eJpMmsBHdwPEueeEVDSsla1/6XW8LqTtGtokr155MEW9pLHt 0WYxF4TX1GSWoCPzWtFhOWpWJoJ5saNP/c74+us91scogjuf4CJTc9R/M3euPnIHECHXmJ9WBBOd hXnU2h8P0I4pp2XxTL/0+z/KYy/hYAw74p0gR6v7BqQ21NECa2Z41V+NP3/oc7Nm2epTWu+FuJ9q iVyY47t6AF3lDDQErwvBHYXgzM8LCknyYkZoC3eG1DsoY+PqTdUsxoAsy4XMG2E++LBcE6biL6B3 fPOdDNq0IfS0YN961v4lxDASnS30ss4FXXKD94zpDvhnuVCA+z6EsCwEgLTPJhDvCmXPB03Jc222 wtWe1fq4dalyLE68zTDx5G0x0jVw+93LDkecpHLmzyjeLSQqgGTpc4Bu7DiUK3XUPJCmAuj32YfS qD82yyvz2aximMQlEkUeeV/d8lwDl6rY7YG1VPwDsG9gZo9MdOLeocv8r5BnLqFUqJVcotL6Q2SY TXpQOUW0snarqXbPTMeF5wLBrIGcG+J/3GV0u21qdEHVaY8KDF9tiG4V3kZAqcBMHHD3Tu5l/V9I nN/Hirtbed8ix/lCZpgfPzUUP0k59NhkUbwRtBy2K40eWugZvB75Ywt8+KDiVIG2iDIo/KqYCEE3 c7uzIWlgGLWpCSJX9B21KbAUQ60hh9KV1Vuo1BSkITsjbUvQzVieb8dp0KyyFFHk7pPm3dxjNjNF 7ig4tEkEDflbrgen8OZ5TkQ2uNFLpHYSDFPMp1JaPKvi7BltRjn+QXsxAjQuKjvsSp9lpX8/9MU1 xupQ9wI02av6dMcUlmAGFr2Z1MaQpNTRkZ0gwnK1Mi4kDlhcnzCefS+dvhcrZyx9IyRiubKD8SCy 2YTEHkznmSc5FuBEre6aR/POEhL1CT4ogChFTTkVlxJDJUA0vydMpfBALQzOrNzWGehJo0skEuN7 LXUkaPlfeKPg3IndA9f22e8XRXfL98wfJp60UolH7UfyDak4Kqy0Z2lEEWf52GrFGPo1KyruIABv B5aT3rwcWH62Ei90wVd8xpLhhnJ1IEgFq79Tpa0xXcRjGpWFUPs+K3Vd9ykPdp4Dk5hFFnPTT0dU AZ3mn10uYXxyLpl2eA25RtwNF97QNm4oVmg90ayrQTMGHE0Mx70RIjS6eLhHRd6zAWKcJNhneIY6 1UqQuvkLR4c6wY9x79RGoBiKEaKDwxQVolkytRWneuV2DNCksWW+zyvmA92L3sIRSLQM9umrWCtU zLWdthZoGgbObjBhtqyob+9m1fJ292dGjLv/1mITh311zX9jSJcoB0ggiFcLH21n/ZeYcuVUzWh6 Il28ncB0Qo1dJFbluO0iv4roCQ3OTTcz2/0wLcqo2yPa0N4pX7fJXoDygNpDeFwPh7YAcIHtk+WX MAC8nRraAnwtpweXew5oUp0sv8YeXM6xDN2jSz7gms8h6ymkqmdlxVoyBBL107LgM3zF/8Sn4xCP YD2xAUzIOhHvdp4LVlX3wm2x9Ggb9VQXFwZAHZxpMIIVHSwLeOUzTtV9Nv7krFyflMcITetg8Rr7 QoJhCOZTG1lUjJxBvf3baoF+woIJKpXK6Q7LMLe1xvtqP02cX3/io9mD79iiPFl5xCQ53s5xH0c/ y1Qqbuhvdjw3GsTQ2cSIklQCpS/65MAKEIomUaJP3ESEWVKp+e/0uHvYfQau5YNFZ2sc1a2Inyzn VLMoDEQw/elq3jZE9AqlJbr+WycILGynlRaWmE856un65lnRHawnojCXgDLjwdxPk8GwDJFseqGq Uwq8Rs7FA0sNiKRaC/V/q0JbC9pRt0DhEq7mwoBCT0vOWnx0DIPDl2aodGbW3POfVCyjBclLlyql PlU3w6Ka7cGGNTpGuWc7SRWeEsqnyGJswPoqZLZQw0QaiLMPnir08NJSgvjWRLV8xvdfsAdWcjnn o3Y0bON3aR4nR0cMA4VfSS+8DcBmPpOX63FGaIjnmxTQQjErUjxlcYRusIEI0eqVvZzndDJ/vkPk vqOZpPphU+466C6LJ25xkL2PS+EftmEL6vX1qsmGnLX9rNVSC3873zpwxxCgmfs9Sa/Ok2vyvMx+ dy7jLfNUTQWLDNYxaZvSjjhMsNQxGG1cOVWCCIuSRivAkfWnKtbMkEorOtO+6P9is7Z1bp0a18C3 gkqs3O6l555vnoY8ZK+wjfiLQI5QLqUdz0L1eeD6uCIbsPIHbtt6JRA9+Tr1T3R6X+GItaF+/H0j ojD2jZ1R2SGRDk0f4ZG/H0wjLf+8X57pRFmUxHu0cvQSRhsjbjg3+kt/6hB+QgDunokynwsPCnA3 +0BighcMfdlv/wBCumM6+D7RmJZjxPn90SnILsp06HAAwwpPO+C5x/QISSEeMm4+uMFVIllRPe7h mJ24Hzp6s2w8H1TCK0F8phRswVLqLO0SCIsX3MzpQIwSk2vQKccq6dmPQ96tFE8L/KvgON1FADyl a0bBwWUOjJ0uZQePM7LA1CDw2IiZ5mstkPtZrC85OS0U/0Ut9vWZRULjGSPmifWx2jJjS5MgauSI CT72UJLiY4OhvvJo08qL4+FypY4FUGobVlor+FBw4aV5a3tqPiKVE5UhOJ/yCl76IuGZNm2ww7gf /wRC2025pSKiEaexILkzBvG2qJCE/H74Ky297Vtm/23WCRkmGZY4YmgpV3pK3ntu53OklOeRcXpC v1231g2wr6Y8fE8QWtVhSqqVVylCl6ahQfAevEmrKSlwLWRsVujdMv/XMzpOoUG7QEDtfOb1uVOf IgFxVsRkHdVQHgFoEaQYOxwSLM1lkFtz37TsHascwrvCXPF2rNSG2NYpbmHfaVrbSHJc7pnSF9gp +ygaqQjF9fpJaf5ox7NNkjFu1gOGdIZML0B/ILTBmIkhXk8bi7yIhRO6BjB4bhh/oKo+ZnQRAHIY 4OqJOp5+ev5newttvAqTYbWLfj7g3BoKKK0ZNdcdXAuwcRi0QiMGvgiaJgRnWD20LFvzK4LI0BUb m02EWjlEN3Pqa5kuVPvj4m4gTH48aeLDkgYoj7isJFkj4olbBHanEf85oTfhCFUhofopy8ShXo98 gXs9S5HNomh+IhAm3qxGCwlPjOk37OJL03ZoqQ1jdgbaioyyQ+LIW2WS2oKBJ9fe+s593hCXl7IB 4qcuydFiqoz5eflhO+hv+9MiSnsw7u4FVd7EAHjLgSNcCri8mCAbVcPJTmY5Uera//3ccbHMgZ1u 7W1TasLgSVqXDw7YYTWdYc9gxl76skrvfaqEVsHbEC9xDmp1qSVzKYN2A3J+kND+vGNeEUZWJb8R zMBXJS5VklDJH/3bLvgk915zOhlRT2y+XLvZJW6Fj9+fQvvdhnUCIhFPJre+yRQPa/om+N2hqqBs HmMFbQebVag9iGqR8Lpdy4/FgX2dhBpJ6RjjHVCIdOGwfTj+cOlBxNEfzoDI6iQfgVMsbnAZtC0E /FCtejvQtsVDqsS31jcdPVKVY+2i5U5txTnHYMyRbnJ/01LnAMmLiq6EwVkVsSrhaT5gtOCjFyCw 6oxHdn26PWE8YTcwS08kNlXbWRuZoSUovDhRmtS1pT7jlAfj0Kw2UaT+W08dRDQrDel5BH6ybmHS xTmsuQzDBxXzmLxN8s+aqbuv15hMaxQdePhbCAka1T9/wWXXDlrvbKiZ7+3zSWWZY7UQ4p8FvMYD o24szpKwC7SBgPfNB3o3p9i+hBI/e6LSUjMBvfOZFiPBXhIG3tsld9sCQHEBYXSqChX4GMFHAOq6 VvYisDpDWd/bXFS2eKSQLO3S62UKnDXaR1naPB9PC4SIG8F8WtAZj6vn35dy5oumP6eCNI9YAe9g 3/cHkKeE2Ddu7RtMe6lSsPu6JHsjdNMHv5aqKKhf5QQxjPIHNzTpGK4ZWOAmagXRf4RykILAxf7O sESFhq6++8gEsQGHTZqPbMCw717ZvUxS1jqrLrxmS1zUXIQHzofvlTsI/PA6uQ1YYSkbQu1PH5+j /4pXfcRsjuFavTanzgZP03Yo7PXJgVozS9rYBY52TnvOlprjRtQ+cdFo5EHCugHIuqMAgekq3wmi PC7goZ6UwRkzKy9G2xOAPCYxslP9ssfr/8DBYGp1c+zEIOgXmXA5nnHtOU21WdsxR8iN0bSly+Eo dlW2cAxUKvmPulcYUn1HtcUXBiqG6hI1no+QkNWh4HeVBaoKhToYK0HuG67Tb8TM5zVmtlehGHPP EB53r3uQAEV/2pzkoz1mNkscz9TT0m2ynixd3EdtqXAzkAYNARKP3DQfLk/zJyMcOn/099Nm5Khi BXnKS4AhG5/xC3hxEI5YsSD/q1veLpcVA5q1lUZGF+3otMJ5xNQ3paVNf3N975B9YCLROboWrVhj MQNpFr/zQf6ArkGjnmtHL/3qCl4HpJJAcGApHfQZUo1LpiKtobtPt2Y9y2uYLg4Z8eD/va09Mze0 3bUHjFdpF9COBS+uY814JjWATHdTrj0/0bWYr51cPLuu5y3Jb9PhJPp5Uej59wCCB/2Z0J+fR2Lo Ps2lWrl1noc2MLgDCL7RUlWPcvsJ/ZAeR64FXsQQAcv4mKIybsZI/puk5P/hNGD6jvlkJTAJJBtL +EOousyrQG5Ricdr5QG1QIy/YArDGUpSdFN1K6VDf7IPzmKlxZ9OTiu/PqBc9lCWEQs6wv/9c4Zv HNSWEX+jOEwa7xftyQRQrxBBCZTrhU9PZEw+AxWkwlvrd85JH7f7cpovbDtNzr9ZuZONcBie4KFG +7xoTvlACpQm00sTOtZjlorh5Rkc9jDOafCeXhCTpKVUchiSBm9QhSpOE4+yKlDQAHLhZ5i52U5I nOOuaxXzhZSM6aoZ//yUGckfXKLudz2V3mtg/fQwljr9oTHBz3w/NxgJ50yb6s3FZi0+VV4euesn cogslkC68I1zxBlcjiJCXhlHXXpwRjr+FUTRMFLNxl/+Sk+C8qExOxTrINU5Z7PmZ3QObPf7rYI5 PHfWnV5cieZjXPUxsPbGWKiYmmacM6G1+hzZp/rYZ4KY0cI5mnE+PfgEL+5h1rfD0jt4tfJYVigi h/BqKGAiLZpNjNQ7o1yy+GJB2O4caJ6lGOQ851QzHR5WO7qXpOWJ8N3NjKaTfOAcCwVjx+QXvVdz TrqJDOd4VfiFfDIXZyq/vwMl4IRQJkk21LcME8NxfmI8TWGKGxd0n5vPDsWWko+/tZfRAP+LzUgX u4rQBD8LCtxzJDiwD4qM51ohrXg56eGGQ9V9+zEjeHz8O0od79Gx7N27hInQThHmljPT4/dOlsyr chzMRZLgGz5BGpV9q9dKn/QRKvq1WFYIoxvFCrUKZAI328xV7KO1lZjv6As/upwaVaiK8UOYXDXg CosmZzs+VYHWsJAqPTGyn/HvcHCa5fHWThIm5cVus2VxSVB/qYaYVLqnMmaw/3SCz3L4geMJAcj+ eRUV8nMwKeTrv5vFFwA2E1trZA5eAdYOS64TM0bvvGHYJouDwrwzzgtZ6SVEJg+3n0ml859Yngm1 +TG8XSxQ+LYlsNaA4VvN/mwx5F3JbKo90Q0a9hncbDdpNSQZCZUtcaOyydEPbfDWb/qteyRnFvFl Ig9Jms4eN9tnHDT2w9iffg0x7KWLREfWVOjIedn8s0RxvGoYNivOOnMPpUT9+hseekFWYEgViU3Y E11164ceY6rA0g+/7ZZPdHMhKdbqnLoFN/9kVb8j2lc/miMBOQa7KOouTlNLIY/Iwz6adrTaPdsG ewPw/xp05FxFAemU0ktJFhmT0D7r0V6IpQFUfvcJR+QakOPNaXSyera2AbVuQL+x8EJ7LtA4gRFK YdBIJ+jH2gk/YegUhYML5OrblpnpMjlPy0GRFdHR1sRbtdCSzKf8a/357vcgqElwgcCZ1Tm8hL+L bspnJ282aQqxBs/cmwwDpEhE/M97XH7zZVYcNgWfQ67wsUVZkncso/6FA6asr071M3D77SxVpbX5 Tnp7Fp7ou3sh7wWymoJsj/iPBo4I7l+MNz4ugTNgb2k1JBtHJC/1CebeDzkJE3Xf/eDebdIQ1Ut2 dyNhwywkgHU3i7z3o6ScI9LZ7rS33i/ASs/Hcf0iNMHrnlQQk3xHHCxnY3GG4RdMDjlIfiizJwWv g9GbBcnM2Qtxc79vLF6JfXUeb2xNU/jXKLrDJpe/ZZNQNKaUB5hCWfsLkO337bmjs5aRR7GA52nz aaj6mV4lkNpUhXTfQXPFUXDMgcGp33SO+Mn+HC4F91+JWCxZAlAjPyNPogKp79rs+CtDconhZFab UT2y0ARLH5BZEg60HwJUydj7vjPXtw3QCimZPgwLSHsSRWDTyXIcVXN9b9ZKY9VGGpbWTrVojBP7 hZsexmI4zM4C/zbtH/08zC4MkkD/zY3szS2bOCd2wZzNNjekfBdhG6/VhFZBUJY6iDySM2f19zyf 3+PH01TM+J88gltjF4LyRV8HuP6gEP36KqzHlWASdkJy84FNt/LHVrYcJ44Rm488DHTYSS94KLWA n1EH8R2W5pFLoxDD6bCYCqv94XlpiGfQfq6aRQeZ1U7eDf5RW41VxuQJ+43GLBeculcYyzGapWqZ T/KVZWZTJ05qy4T4lE15b6htEGAhHI+GD9BzrtjzJqZ+g3OWkWo9rpniDRr/r7tGIfn4dMKYdLsM BinPYfm33YgtfxKaf3CFjk8e0AQwtz6AkOkkSUw/NHmAwGLEdFtPyuNlSIMPEH2S7TRrqtnq0qjq zeVsi+WcnfsO9cjf0f+G0/+XTsFWXNAM7Jdhoz/dxLx6h/pmWhgV1H9VhMjKNKb/iiv4UCK7SOix y0dmFV5LfLHw4vNNbonqDWpuouJdtm/x+tQwQkZDmxUZuQ5X72SnjBs5Z+VtbZ5bMDLIUXZBbryQ 5JgpeNVTuU+qJrHYrutmE9wQo8pGpBEvLEy7hO67xxdep2zuk7ZY8C1JHXgW4LkpJTVOIGP0LXnq 3uu5TyHGZpT4mL99mSxaBanhwvlWrN9zWasM806KNppVTDfTeMXru/4oTRDPG5/4eMShw09tGtIC +PtVx9lTRlVi4uGOWGg1hnNmjvEAVOGwBLpQ+zRE96x0LbRH/jzkYIimVDAVfwq93Tx/VBd/bzq4 Q3KDaOH1zF+SIMEWIaSsi9hNA3m/JfYEFueM11PszKH5MCiv/XW1wo3Ax8Dyqt2P/JthKEfFpreT 7xIKUX5u2xIIdb0WrVjz/VitAEp2tphDe68er5NzT1rpQv82dS5oGG09Bp82bAsTPwpS3gNqlW26 JzNn5qxxtJzQ9iH+p8Oe1iKNvHjyvM6YMvww+LPhovf7DkGFOa+hcNlzuy+AG96WkNc5blovWk+l bwCE5KY6Rq+Jq25FP+JafNCJvgx9Y+mwc0XV1q3zwM3HMndVvyH6v+88g1mqxWOSwggBnnlRRnV6 VAhVDW66O9gBXoGqD/qy/71tdG5OybMzWDRav5W65DLBw7wJ63xa7vsJeLxvT7sb/zzoVoQ4WTE4 ksd61sOVWCm8RofD4WakX2qwUe1OYQmlCxSSFOh/gQB0ZGLAdTvsXbFkLjjJeqCDfynrruvFiNpi /7xYY6GUhA5Zx6qNyLw2mXKM4UC32DxbblD1jDEagOOWo3qYksqKX28gkiFMezlzIaFN51k4JrO5 Hg3/7mf7Yd5Owq2tdBYBsGZs4iUYQFtnT/f92ljG+i6D3wUpFxadAmzpRNZy0vKnBDHeV2eAh+B7 ZW8ZXA99DWSFPVOMABNbruGoU0P2rRu18EaVKSm4OAZeTnmyMx6EzxiHpnN2b8Sh5qc2PWK09ZyR bnZ8fpco4UVnIGekPhsI6KK+JtsMCY15UzUkSl/KllA5Vz2opQKkYhCMs6K5W0u5Ny9ifHtMUZ1t 0+V88B+jCu5LPEA4Hm5eH3k9/B3WhcoBC0+kBCddLqHHTK1AWVNAo4LbhcHnIkhA0pQKhOU0sGVs hHnFF47C8ebrAYNvR3VPJhqPIuomyrmTqK2C6BAQu3lcdzRagPl7U27Q/rIigA1XanXchV3X44z+ zcf7U8qn0bMwnWm3H6lbvJDYMARhVq1TGHXYVwVHsK+DjXkbslFYhxfqgRow/r3BDs1JzBOaxiX8 +AIfwSxCTHUHAxZtjMZb6EzeRvv4YOsu78grVm0mx+YwXihSYfNpjDXuAvgpjZ5ys4JFio2mlp8V PMFPM3uO/igBMFUb3grHThVWKgf7EiMyadRAPlAMgWFBqi+E86qNi2LKHwZ3YGgm5DaUUkiAMvr9 n5kxx/Kq1VOqp46cVxHGse4RoLHocWBoRiM9CEVCTLCrN8+x5FGJPRZe4iqK2HpWab/0MHEbk75a Kyfv0e/as4KHuqYUoU6Y7P5qSPi/vYgjPsrqNWSwRDO8clydI+ZeIQI59nLgcOlSbRfSwexZ735t S7Jv5h6bjeZc91/IDgAwfW2R4th9BcREt9intcUQXs0uaVjUH0JuapaTxTIwNy4Mu0SqrxdholvK 1nvzM1cY2PPB/rE2l4znbZHTKnaw7VPs147OyLb8zWvfRueg0nr0U5NDhevHvoZXxkNtXPw5F+PM PObTxaSx9uhnY5mFNHTQLlJEVrdHr7I81n/S42Ir51Z6aJOXvvBDcA5fA5jpVLzI+YTO5As3ssbV E7BDgg5pEuQ88GTRIlEV1ymHrbMn6lUJW/605vtFzS0RjMsmGmHTBZdCSZEPVUQpymN5ey1HOImb v0GCFlxGFEiko0f7+YZYIP4PynW9Y/KGZSrhDbWIn+q+/nqYNEN3tAbhJ8MjZP3P6nZ1GJyCbN/z uetJqOALj+k4Q5Me6spGLq94A4nsqhIhSHx1856P370qmyjZVR5PXloRMH3l7Q9t2yDBPp18iLWK L2cfYzLeyKyEMeDEQjMl7CrEhs9PdueqlPKxBTLYinOmszS0yaSNotHImHyKx30pOGl2LfLhbTuK WEeYw9kBAiKe+JGk6lmAiD1ziB2zlT/rEY2JHnQKYqczDSFIvrZE9ShCBJj1hjeXjvz4IlCuVOha /7oSkNDQfufZ7WxCie9szx8VtlP5REIQ1E2m/v2zKyvhg1jKDXMRB6poqdc0h+H2Fydr0a4qhok+ gNSJYH1/SiRl25UG6OD6HkOYWsDK6vJd0TzXDYa34aAdX6VL9RheqfQf/cPlC3G9CnjdTpKs36LQ EMNk4c7KfbxY/19Rdpms0XRNHYqSIli90fTK8CQrswvhUPJ+rk2+lFnbcoXZEBueSomHrLRRaE/q 4ygaPV2sbT+8S9UFlWJRbkihhzvr6K3Px5G3DofnzI+e5pyWotJLXUkIEpe8Mmmf19Am5l067Sc3 AU30hb78Bn9eiNe7nE4I3IYfhNPrjUUVcsNO7yHn+fX6V/+jp8rY4xK+zXE9iUzeakPHw6qq+df0 M0gfsu5dhdDfkZAw2moPgUDs+lMQnWMwlm3oz68766OHw0P7t7rGh5yemmCHsHnP2yS3Pfz0wThz sYDRMWbxU2JD+FlZwjEoUqq2PvciSzLlZaT9LS6AeGLCY7re5zgCbwwa9Jzqe7TgNL/TLW1YsbGL nY+8ymbM7R4K9FJPRZbR9QF1a+Voh3flD/Wd1HOhcloA2gRQhXHBz7xKC/AcqoIgXy379Ubwu+Uj WdRP8uVbt5rDCShVTRlGcavr294SMvpANlkLOjrt8IAqtjE5gIQc/fK4ZR6pHKpcFk8VYZnxp/eB Rdpxjw909uuIGWut6J2N8KFkpLSvSEJ2YbPLc5EWSeZQQ5shGDjRQwkSIN2b5txhHiAQvRcwTUzY OgLEXKVX1cuK/EDK7xF2VHw79MPa4MKLxrrR6Jq4p0m5EX4+W5FEHHeKouSdygHmapaz1NpGn5GR q8ICA0Eh8JSaynk7dpNrX3CpiPpzMqUAajZXHQNxN60G74ldHFjnHCfgrdGYU/ONqxHexYhHuLAu /FM/JDqstPbj28qhhnnnuTzyx1w+84/Pvl7cxvjlnAzuwOXKHmjVRb6GjbYk9i256X+VR7+kD8qi 1Hc8+9hGxkQKf4HI08UmrQIIbJCQ4SiO1iEc8wZdmRlXSXzr1SIbVlJaOc3zaTFUygIKgnXeVezN BdVIPRdQ/IKvt8egPEchAj5RTjg+/AsrTtclj3uHF5UguxbA1xpFJmVL+7h7ysNg4QiFyn9jmHci iHIKT8Eb9wW6nxtey8CeRfEPxTMVBuRLiy8gXNV1gd8jhqJyhccNrRDRyU8vfTjaCDti9lZGBEVv 70h5x41suMRm9z99o3iJaFtcdOGJYSPryf31wJl9vzbHScUoiIAaHEBDbLeShI4UgNd4sZklX9qP kTJJCjkjHlxkVPEGgVFQab1ZA/0QCyf7dQgD3+Imhqw7CU63xiZ3c/Fsmg/q0NL5kbT653/MvW8h PTmIlsxA9s2jGs0LjQfompS6s+M26eksTcGA7kj516JvDGRJu6TcYDuEdUPF3p4MOWtO48fvDXNC IyJ9rnGphymRRqaE+s8YImL8o+iexO53DGJbHRlAeOtXVZPWHszRBskWoNAu38XjwylH3ntHhSt7 BiWi8dgSpIB30+CZSQ7gI/a9PtHP+p9Kzx1p9NpR+WSglQlb6XP6vcSofzicXpCkCFi+o7VGdV8k rX2fa+6yCeEnpMzWcDPiLOeQUDGzu3aHgBbtfhVMRG7Fwt744UCk2NYYvgm7gYEuAPs4oKneRC9T 3vXZohAEmZR4HAOk/bsBc1ADIohMS4zsi1AWClDSqp+tPxwOtoU4RrRgoqMKiDbTjRIJyXwZCeac 7lavr5BwLVIupFOVm2D6kUP4QXwu1cNy69kAF8qn4P31hdqWAddAKiDxVHeJi8NCp9iBLKQLbFRW YFSGPIXUWICGq7EvBM0KKo8oPT5JLeziFz51Itu3dm/nGB4U8rBD/X1OhLqqCjag+ijOr62Pqi6t q5d3y9BD+OuCXcJWTNhmHi6NHazuGX/QMoj75+tAwA1/wA+XjHs4gN7KstXHTOBRzk3/nzVgnHXj exwg3DGB7kcwGyw79JVIpFFtP0yCu6LuM4Id/1DUhtHYzotkJLmwHQSKAFt9e/l3ask0lI+HjUQL bX+iOG16Wk8Mgbl/CuTd2WAnW/aEWjzIYrLhx75pvtjiWUdWkEE8xnmPu7S2vk/I1FVesB0MClNp sTe9jb2/hbzQNEbvHp5+kHhkIabW3pdszZPWvsEEy9BOG5LHeOnaRCPoQVdOFPaPN4ApUYYDb/bb kAL27oKHM2Sf2HyizRmb4Et0IgU4LTf+aRgue1Ps2m75K3m+dGjVKvn73eqQ2tKBkGzKVKIbleHk VpHKxBC4EbJbbvYqAaMay1S3aKGn3Z0ySNRe9+tujG25IxHvF8OzOJ00hjjT9TszpdRk9HV9VXHh 1UCTJSHNJx07HMrMmnf/xuEEHtOmSQfqZt6/9ARI5jn5oSNQTQIRaUCmVYFNqgOq9hAxlGQao7Y0 0rkj2l17Dt9FWvxJQLhm+WdGGxQVrRJSBDyg3MNq5M+fj4s4VDmhV284T08K5QBQkDhtMP8MZvn9 6z7p+LDPb/VY83CE9PPHOsiOnCJb1HxF5M7qv2fBwbK3h8lTO2W2t/5aLvmFv3qVgttQej3JLXhD Bz5/GDJ5D5q5NBDgMbNXIjr5oZ0H6+hnSgEu1VhKXRJjSt0AsytPmfc8R5E150xVoYj8zDuZi5fl pVBI55oY34wLd28U7wmp/WuvPfyEtuqp4DKds6DIVAZ8kpqSF3ZZZ/PLPLBYigVAR3WzBTikZEID NR6caTf9PTuOdwQggY4jtEaEXDvYMlQbjSOuBmJ594UNwpESfz3uL2MWLO3FEbNO1FdOsgB95jk6 DE+G1NxZfsdTsiW3m8+/9C8S0OUQU4HAaIT8j6EQ8kX75RdxSfkFl3eQrl2u4EEyXe1VjIfE1IF4 M6Nt99K2sgycDjqjMh8N89mApWJfhgUEVfCrtMprAWr//G9xetBrf3o0khbJS+V0xYNhBK/ob8k4 NU5Hl554kPw700JMUNLr9EthMLCW2gvRrTYyazTUBDxCZ9lHR7W8D+a2Rk2OICubqVvfOE+Z6THS sFTzhdnIFVkhg5L7muSzpjOixFcfplRhejj96tKS1oSi9RhgqSfe9TiRolryK/isXHFP2FEOug2S E+f21Mzfo/LKbxZl775YCHo6b65kXWq2Z22H8bDrzWzr7FbtqDNZ3Qv+s7qdAfYaw7Xfj3aphizD f9aVkL58dJaOfQ9cUyQ1mmskNXs0CCXkeKsy/fHZtC3caKDD4XWt0ihKjSGIQ7h82hdGQG0krUMj fDwu+MWtiChmz2QOE26UGWYSpD96tmF+aaqQ0h+x0C//spSqeF6fapF+ZdAitMFKIh97QELBn7UV zaTXHf14Y8df2uZDelIoi+S5Hxoz5nhD5FxkZAUpiKdh2h4h31ITf6c6Hys0PxpvXKxSiE8dMXbw eJhrE4KtlDJF4qBeVd8acqubkdgx+9phqVqaetRpMTALDB2GlAGuoaMjmg9Wq01Uz/XoeP/n798G J+Pq27xmRfwy9E7qtFi6b7HWfvtkdQ4pHlWi7gyI/v8O2Pg6Ik6ZNF9yXr6tSsPfSgp7xiuljH6a 4kdhIX9cfhO3uRn5MSetPdn6BRoue+mfFlFMeZSCmcQ9Zi0iPs7kpjKDVtAu+8jSZ1QmK/K0ijlZ 5X63mErhgaF+pLeePy90SLBWvz0hep6AtlfdXqQ1YqV8FtDcDJTGBRAgLBB1fICV4cHbh5Xhv7Em Yw8Hr4cz834TwW6JIX2TMHj4y8h4IsKjz6yKNX8AnR113o1kaEI0/UYhT7TSxhfJykqCDabohsMI 2K0egW0lcNjyfoGq/jZezsanWQAdrSBS1MXTRvTUuTqetAtvAjsEAFABS+MezLHPjo3yoDkIfYBM oCC9mPTelQzkV7sfc5zZVx3jo2GOS2M8Ro/SQIiCzLQ7KrLK6md4NYP+wzaTzIK/bVacnzxedhX9 LQL1UAm7xcEagzgMyddnw+RiJtw4aNiloO3OjziNdxgEQZho+YWZ0RxscTLZvae54YJA2bi3uFba hO2CmsZP1cdYGHzZE7M6NDN+qg0z7UQre5UT+yS03kqDRvbvown37wEqdjZr564d+bhTTMARJLUi qtB4o9NMz485NvKz2M3uVQaJ2LQpMp5niA9sQius7EB6iez1S3Yezr3vMOv04k3GuGfXIPQrldUI /UnDU+3Yav+YdEH8xFfpEgsfN5u4MxCwhfZItVi9/1qsGaiXQxiZQdDuNwKS31MoJMTPo5bYcuRO FwQk8JeNP654KY4mYPWBsp/S4l5FMqj//MY2/a+ecvZUm4EswZTZMiqTAO/8FY4MycAljP0l3keG VxADW/sp6UfuKGKD8axFYRPbwLBZxxmU/NM0HBro4pwEgjHpXKjaxaGyJBLvx/5cbTQHns2DX3fI 9ST0NDFBg/j72fr2j4zzqvD7i1NToIHM7bDrO3HG+TLIOXRMG0EWtyxvAK/sQFW7T4/YvH/0SNYg x2zE4uQCgeIxz/iKX9qz2v6FX+H3r0y7wV9IafX7crBdvBDc8/TxHC04pCDQVB2oBiLJgYrXMsl8 rxnibTwNSa7Jfl3sIStFMDVmcIwYUAy6o8KGmnERLC5XMbuT2tFJfWHWMEug/B8+as9A9Ab6gTPD EcRH0yTooFpokPj7EI0AOoaeVZh0Ea/OE20aOmgFqT/D/iKbAwbH1/cNlXNrTND0Jx8uE+c2MXlf NQhla24cEXiXznPXbLf72scYq9JhZxtX1ydn/VbXgZSNUazI09XEHXqf0O/F8Dpg03lSXp9M+Cdk 21f3UkFkhDQienE3F/FwnsBJMFfPG/fK3LMk2BDTPYh5xo9cWvaiF8NCj1iSzAmo549o1klQrtjd GtzW2ZhNvRLM1TtDMKy4gEFg6w+0iwhQ9vWF4OZy8dKd8tmRmHfiXToPWAVFe8qsBAxnT1BQR5o8 zBYxPByyC/tJq1jYOrbKyNfh5/914JWB7DlfjwoalwqaTkeHubTZV58FGSUkB7y9NxXUf2OdPE72 ofchxnL2OT+y3AcW5GUpBGFGOq02aqeS+njG8YLsin1/W1KCqBn3dqbYajqXYgxJtr4wdvJDViro ZFsFKszwMbMun2ciEZIDNTzoA7bT6o9Qtoo+PjE4W15nXqBnW2cHxkmYW5vsJJ57PEd2nwooWF3c yjPc1+YouiKL10q9wsm2RgWb89eMtPHn8MGLHuF/XUtisiUxvy8uaBuYZdeNiLgbhjBAaeGSWoeb ntG4OFV5h7vR67Q4qnllAoLvvt9daCdRQiMOyVJl82/kAuyzloulZ/S6ioGPeZ/trbMFf1uLRClT q+ZHUp41LCBJbjChY6mhNgHzc0Xr639ezlBrQsZxTajoQZIvML0bhOfvI3pRGRbGuRrJb9pYWJEq 5ujarUq0mMJMBnVg4rhLS+QV7iLaGIv9sjpmQm7wi08fxMFgqmW9BhsDiArdxNw4fxVa/Bcy3tlr qh/LbHwKIjXKwQJ39YVfQetYe8q4MmbGlqngBkxBMATaZg/JgHg20dl+dONa5sam4/joGQ6RRIIm /8KQoZlJggYSukbwnmGgNsAW/KY/UD6imoiOWwNzOWTDMb4L+GZwYhUG3faSYzN2GCOo6o4fS5E+ 63JIbQd+4btMypuScHmZSlkEUOBTNOS2D8Vr9GMteGUGGDvktmaHOo7umjy9EhM/fY/gN87KoXTb AS5eH+nZhu7V33MOr/QfhMdwsd06OBJ0gKEH4KKsajDpM6y72czSTpfCOQsCzwfrAYZZvqq1+UHm hH0TqxXkpvbYQyiRn8w9iaBEYt9i6gkXr+X76FNxfMBukk0cZrwupBkt4LmZO+mGtBaDvAWLgS50 S4/DFbTp8AQw7alxmlt8RNe4CclW8SD78GplYOQ1VyztP/GCUcG3cMZn2Pfw2SWwTkwbGkom4Quk lNr9jl3sulUetEf7ukE1gvYwqLb1MwnTyfn1R5CXpmeVfegXDPBwl0fo47zTnO+OZzoh3kb4++AE jVCE8koKpfv2cDGKv1EU3G2cxIWhfOSFKrYreGcXd40ka31xwBfxrUcNquHwrRY/7SqyymfTTQ7e eUktRn/KCAT+miXE+9AeqH1zZaRpg/0rqX33kpawNJkIb0DUfTPCXo46F0qw13sZ25HCyHhfG3dk i0clMyUBhsTKsimr8IEeWgt3bdtfPq3P63X1voltT2XncZTyeFgMwKGH7/8vWPWI/3vBwCM9EI8n mid+t6PFYIw6wHHVOvzksRei+b76z6y0Sse1k8SqXU3WSMZ6qyXCRhjw3RtbZNofcYssuxFy/B7A cLSVC9M8Itc75tzyhS0Ob0rkyni/VTRuN8fPJDqAMiL6dlqOeSvX75glPFyjfA8hQuddKsL3YqVg lo9cKwB2C5yhFLExQEXQL5Zo4Hb6gItsD2RaNv5ZS557p8xoIRt1v/hdL/jKy5uEMzgJeDmETbdA /+TOB/JHVx6B9JwLnRP1Q6e2CgGPM5Pb6yRJj4uAxqAoDaBXgk3ezqpWwLclx3ZAUiMeHfS/AxUb mZtFP5S3t56nmlei2ydP7FpAQHYUP0crooMHpT2jD1uJ3mhOmN387rw8JZVvzRgwqc/nip3E/YAL CVmMxOxWM4NUtt1wwzFubI7f+HA9TODScFdeW4CghPUnySBa6tnAmYf9FwT7vYCjTGO7ZzJW8Y8k C4fBV5Ln/kJLPAGHTGpHF/gNa8JPvMoGqIBlH8feWk5k25RVtALPxWqSzPcn7sZN3wwNodA4WC3z 5Snm/DystdlehXUa0bVmxhHbUySXdUNSIjZvY3YPltd6UgJmsdco+Ph8DR+5fK7BovdbaJKLJLji Bzy00hUaCB+Asqnkz/PMq5fEEVgsgs7oUEJYoP7sLwreVZFvzLaKgmEEeFv0oZGF0dfiq0a3KSSc DvSRKF9vHgNc1/II+OTZ1NE2ZFn+SyfkmqXbU/m6Zsmvmldugq2epH4j6otvqCUovR3RIGGrQ1BE QAXSKVEqhh/Jr0N1woZFFSrOi7xTkwbVL0sceKLuVL5HojKPBrANs1+oqFPJ5bDxlvQcfkPDDp6q cI2763IPBDTNrsajSwLmZeCLgh6MrmsJUB6pLvHdzTwsrZ07gvgLNFIM3td46IrWkjFWOc+eQw8F 2R+WOGKjZoS15sjtFQ9MdSdFnE1G9xBfrtUS14k31t7ypW4xtyyNlfPKggZXUUA8r/dDSnHA9fjZ od62ggVqu5/zA89ix2aXoIkCxmiKqlGHP9JcGm507DC5o5Ps48bgCPqbuMd5pRSXeyNTuH+rgy8y 9OZM5My5j7BcfH0ykkbAl6OCcsDSEl3XyRs4pxLEKH6X2laPNnfcwfOT50QmGnQ0omqQYlCYdIcn sb8vBcqpBMrGqZOtJ3bpj9uRO6yejesxjFRbj1jRJRXmxoH72iLZToCUXB7e3Wor6C3yhXdc4ajn BhXk6x8B/pZ/FXsSG4o9codCu8hxfyvPdUdOv2Du6JQin9kSXBACYtQfGf2M/T4XK9wQMMzZNia7 qITst+8ZFwhOl2kDnuXaPHgY4EzAfPskYsRMNizbcDm3dppB7oV6K0RqmvPMmwlhr+DUq2ZiNdf6 OrwFDnmcRzHCaKZnpgtqgv5iM/FmEUGrxfBXmxKH7FZz9x5U5PufeHQQaY3Y+xYHNPY9DU1XOUz9 h2r7FDppuqwmnXyGpRpKDdb3dULh7BCUwUOwPGw91aggq3wSZ8dDei0fl/UmlGI2L5jOzPk/2znB h0jsUHtbPY1eMiGclD+imyKYPSCy9A6ntpB9u09W87WPNsNZbP9MKKMNwA6lmFaC6AN4Qf4Sj1tY +uGXlVx/tAKKr/0NGVEetXOA6MfvUJaj5dJrJM0DBpQyOS53plTkBapJV2FUAOFC8ZHCA+Thqp1D H8i6F+fi35MsiPL8RbDQNyMzb11vrdOLy75wCkFErzr7pM8gD/UBXr+eFcQVfarLlKpR0kTM2+uz IjRK8tP7sZCa4Vab+0mRSvx5ALQNvrnt3XfmeoRgABni4ppevgfsnUM5zrr/c3X/+Ufm9WbjcgOl bXKDTADK/MWH5SWK003octe+DaXT/5dLiZYDpiSkY5ytwugd4Sw+0CLJSzupPLbA/ffSjohnyKaJ kT0KlVF3XkF4U+ZUVzknyqZc0su9YpxiGRq+sMossxDpc6gM+Zq/BGwHvo11rwEfknJUUqilQSpS fZXUQ5HNXwdB46vc+2GaAakC0oz6b3Yxq9/S1EUfsKz3wSUW/1GzSPQoQe0YdYkOplOeiGmz7utR tUAZqqW30/XVQE5qvV5JHioCQBKfnD+0s9YIk7LwPm817/bRjXSFsemUNdD6nOOZa437YX0awEp1 A4sEpOAEE/WRRKirWHWmBl/MEQMFdd4b8mzlbSIMfILbr63IUZRDCCTjB5TAFTF7KOibHPzu1jCn oyQiOCnzE5D/VMdnZi1cKBleZR1x/4cCG9UM5UKrroBE/RgVJqtVzmoMeF/eJm1XD6+UBNOA/NMD 1mb96cq+9m3wqzer9VVSJmO916pGbUWFgLvcwHMXBGfiD5GLWyIaQOasVm/cKgTqZV/h4VvgFqnw Ui7Z1+Du04tod6f498vwP3em9XRXva7/+08xat7Ee5vBt9pJM6+XHsbL9Eslx0QB37GZ4+M56Wi2 +fnMVvZKDfIqmBJHUdoCgwWdiO3nxf8chhW/SYiL05j9pDFY9q5eZwfCGXZdP4SmMIu1XGJ1Yr7R zo4ZHXCpVpIWMayVfWsCkpb+xv61sgWGCRwnFWYjQgDi1Pu6IXfKCl+OX/Ysk418Nr3C693ygNpv f2oCmEqiGsUshIK4kVywnYr+/i61Y7rghPByBN63nQypHqPKwaNrMijqL68QG7Kt36VXhqMba1b1 cTUfmvQsVr7JPMzW9Iy2hxYYeV3gCvWiaQujwP018Xp7kGWjQGQkeUWcE7aU+rLipFqMYFD7N446 9CBhOK8HsFWJqKGL1pdkS7LspvoUUUi6A3Q/yZv7Ve7H1A5hlzv5Lkm1j16mX+4h46Q4c9u8goAM xhpaPWpRaYyAnYcSlSX1uw1qtPCEtQqO/I5jNgRApMmQtCTIgf/nEdIHwtO8ZtJLVUzh9XmGouBN zhSAXvH5Zqsf/qafbRd/HhDF2URanb8BM+o1VrgPeA2HN8GxQ+Yt1GFffgdw9NG7sTQ0M9tiVdTH KfR8ijYSVO0juku2uxjRLb+RzlQSis5PWL6SfwB4k6EQPkspvi6yYEjJaH416e1O56NPKtCYllG7 XJS4LSr9Xmk88gDX5vndO+/a20Alo72RbJgwdGnD6g1LVVhUo0ii5/JY9VU4GVpjXZXez+2rw2za 72wATnNl9dVUfMqpN2SJ1gQ+50Z9BkPoAnZ06p/KzfJTINkWcXdaXyfKq81GBUXuMB+BSrNNFRHM Ik5Ht8Vm7pcP6a7utLtm175i+ixgJuDxp/Uxv16HnmEzhbudK567MZj2d/FOMVbEQyYKfj1t+XRr vFnKoLvvUuJg5lrG1UY4aTaSSzpLenrIAHW5OdoPF+fm67VHpsish7SHw8XEX4HZNaah0OmTv3X7 wtj0buJCxm2xSgVTePRMv5QexlHtaJfpuur3MDVWGw3Wf+a+MH5ojo9MWyX7V2L7kDYJrobhkr0M Oqfm9XOYPkDBX2bUTCmFxj0EG67ytIjyMYMFjeoDeUAekgJCHFHn095Z5RkvVjogU51XYcftZMR/ 5+zTBZ+IEMcdj9yXdjDNCDuqNUPYfU3NbrORnoz0FjHEBTvHRth06TFBD3F5iNkrc7C46eYDIyyq tM3lwot0tJ69kglz/SQ3UWZOr+aETzNfrJxCIx+zuZkCHRa21z1QnM9I9/D+vGDqBP5AbR2RrPWz QYyrgBgWomgDwe7k8i6sImDsNr85zCk7Sax87lNMJWoaua3+G7mgcrTtYdvrTx6GboFelM095fTH 5otH5+frPEos3cbYzLBu/swDJU77VTdP2+QXlB8wX8EI2LiN0eLl2dfbbYcLLIo5xS/ViqaRH+b6 a8qzv4dBQz4R92tZTHiEKXPpJKgHVDFS4q1s/LevFqYb60382efZijnvWCg5mlYtK5J2m3gEkcBU Vw3OXyJ/XAmVb9Wz/KqwDSeLkb5TAIBjixlhHA00m1yY+zFhlP/VaoMPQb2o4+4U1Urg/y9BPO3w YTXNxJ2+npo8iquP5i8Squh1CS6ZEFhpGA9K8l0kF1tJHJc35dGCracTEmWOs5AMhXJ+ohH2lnVe ZHEYQtEB/ismDLRRGh1isaiAvxlkMfU0EjvxGt7SemVjPScUGeIW3sluLvPiOCAMY1GFnykGaXU8 m8Aejr+udPIcygyDG7vlWQZ292Kha4Kor0+kt5HETbG57MgpvJiVzFBBhvK2y4453c+rq7bBuWqL Mo6bE9NWh+M9+yJ+GkliQTfeqOEbjQYPoEtHb4XF0GB9GhLI01FRGMJ2+WJqAsX6Fq80KxjaifTs 5ESxkkMzUu1eoEi2JZaFlcc9SpDI7ikYnuDfujE/8KC0JmDzM3EizwqrBGSCrIn1Sl5oOZLqc8vn MZKqsNM4BNjh6Cf5txA6VpxdtCWxhUIX5dU74n9YlnsFJH4QLkVBA1Tly2lRCDM0v+Pr6MhoYQ8l QsvdhwPGECefqiq/X9mME+I06+9hB/Kz1caU//7Fz3bCoE36swEf7ka4vlC7CLGkYlbERcXA30Fo UzaACJCVl4W0M9zNBWhiC+dCR8Di14pDLIJAJbtmLr2GVzOedFzJ6DndSM5b8FF/WfnT5JkRExcs /8+srdoGykugVe2RzNBGaV/7bFrR7DPh6y2Glsc6O4usdJQ3JL+Ae8RdnvdPDv79/ABa/kW8OiSa GNl7gMz/5MmBRJaicv4TzshUxwiB7VdX0k72YCFy0Gnz3fIj2LOjrwXvFZt4BHPu+qyYyLGGW2fv qTaBLQSeqVczYzd+865O3OMbCRfdOhuMqRm9sCc8jkbjZnj6W5TIc3/qpM1GzQ/4TgQktI4/qb1C agu4HmwJQjr4uj5LNotmoYyfPVLW1n6aDz9zrmPdKXQeoaXBs0/rnCEvsNNnO4TPSSc64Rq0+dbJ 5gw+7QYACjxkT+ifi4PL+3mK5qrRMGzwmSWvyqTtneeXaHGyGFt+aWzFvHl6xkx+jgfqI4yNIOpB XGITER7mz4MVndAx0kK82gIiN2oSETzxn7erFLOhlAG8ajX4oImd+7kQSlH1fgunep801nLaBcH4 yMmT6+KU6wxMUB1CS46jrpDQ3C7oujAr1vh4BtXmkXZqlf7L0WSA4iAjmwXsmgyQGH4p5loLQSKH 6FDVLarWDvB6Y7LsLBkdHHY1oLvK4aCYgY9WaPG2UZbdLPurnK4By0mIz3EwY5Egz+jtF3RVm5sZ JZ57ssFVPzOQTVI5oRRUx/PrAwMAmxtvNzH9SAMuVnA5mK7YgWSiEz6NRfdOzhtyvybuzFYw4icv KLocDrZI9VVcCvZ1/lLJgodu3t7LEr9NPoyB6uco8uGMJsGkdI1S+teFP/s9JHl90JCWWug5/Gd0 z5aV8Unsm307L51i/JdNRd3TOPh6pMSYOGJrT3G0V/GNjiohjZepvi2KBb8BK9rIufyKhtpsBA9s KIBKIphALcU8f1vsqLxCsAdxGOwsTVzrN7hDaDRWWVQA5W17rfC2qHjXy9+tFv52VAV8s0ADCKkB XRVmdVvaPk1ed5EHopW6Z4v7Ot0Sgx1Cld0l0BdP383LHNNfU4BU/71/yWlJXp2BZJkQ4apHzVch b9ntFmEeXhdxKkJz6/CpqNtnYp3C4c5wkPosYsQjskTE0eyZX7V7ZUmomGmHeAWpwqcRCv+rAjTd 4EOwm50BoulVcT253VGAYQTLP8DC9sEUAVsmqntf2zpuF94FLn855akCXjebtFse60Gs6Y3x8eNE 99YOGl9h8Dum7fcwMimv/9MdLtaos6TOep+FscvVd9pinAVjfQa7DnQADK4MJI+ZmbCw45p8GHeo 7BlRdl5BPynHOMbFa0E5X77FawBaaTSVPsLz80QxUqBiXA9oXtAF/YkbpysP3cweMMXCSv5PMrZ1 p+AZyzA1cReFP5VvPoDMRBpG/qp8IeqH4Jpo39Og/N/hK8lTcOKAm7PjjVmykVR4ahiJ1rx5mBzn YTbfr+NDaPjW1wsTFLomIhMtI0maaV2/m6DaJNlRCmVEWE3q2HKRl8IzNvkdrD9hCcno7+hOa5e7 fpYEbe7x3Uj+HSfKRy04pTH1FL+4dptdqSFIyPs8JYayaXDy/o+jvuGhtfywCkBi+9Ky6EOLK1mH GeNgiL1xknDOcDH7kQmX79nJ8LDln9zuLlyw0hsL2jken3LfelGSQUIw3Sx7t8MnhZhBXgPaN2IZ KB4ymwFVVfakH1/wBPUOD/hIYjEd5R2dzkwqPdD8nMRuq7rFo4A+evQ3WQfwtI6IfKQowGgZmE3H UTGFfGn7Z3FMSIbumUtR1cuePpYgjpxD4Hehm+1xbRyTg3cQGElnyPvPCNwCQc0iy61bPzkbadhH 6fj225EH9frbEfNnlm2koCtJztiXJUVn50OkWMlnbqRG2zM8dC5aDHTC+Z+SYmJEyDy743VnrAWd XUSRj2WxyKTV6m/aF/tnl27VT+6gU3wfve2MppZEDUsr9D96C+anorcfrr/ihRx8YT+iaVL8lohv 8EiSASwEWxgBz5JfZO8dqfdsprscm2esh7BoAuzM9eyS30qJafN+bdgZb1OietfTjEely6Msipi+ pjmEM/WlHkF65+23OXY6oDfHSX+ZDM/kdWpccfVWukImdfx+NTl/7Z6YObvMiVyOGzDFWlt2cEPg 1XTDm4ZwogkbaMOVbhXxq3el00XUkLnX5sqrnP+2lTXgD2NKs7stOn917Htp01TOw0F8pojk9eXI UE3zBqnchjXUshnYN/ZPTITOS+mda5064v5vx2+AhOGPJXeWFKmnZf13AhZ1+UCWGn6ChW4KQRS4 jzilw8r1rtibC2avlTiXGfamVb9IPPqpzpqtTnUMs0lairjqm1z5OsdJB34YrbHkINgSxQd++G3y RyIhb1X/wrsXVZRBQHrlyx9KK/HFUHow/3iSTKn/UIJ3GMaz8xQXKaboj24I05fgcjTcmxJL0ksa 08tmF9ZnYS7tVNudGnB0XJ+5xieapZkgHqpz0F53iqnDIq2MsrEeQsB97416CRJyeNHnUHczRaT1 U9kEM7QTP0TVpohgq4ZeyWeLOhIArHq6Pa073BTtVgMWfl/kIa3E+dW8TwO0Dr0FtIWMRbIsXXLS d5CLqTz6EwsDnwqlMgEmW5m8vmILMze3WMKR2JqCFTaO283seJEDNZP0UrYpf7z+Egy6fKxQFEuC 903gFT8H3YvftUxA5tULA/LfVjTi9pQUh170pOObAwXkRv26VCowSYMshkl46NcVi3AMd+WnkioH xDCUlPo6LruvZWUWYLbo7EhTORlXemBdJGgXCPVuqbbssfxKDve9JHgTiLrRn/3Wor2dJjKYoiSY irsC48GKjifISrHK4rZ5fyPoMdBMUJruZZm0QV5fc4IyVoaDtxl0EzUOnyvNXv1Tx1zHv3hiGEJb 18F+YAqauqTQEpfiD6vT08qjGu+ReFmmeD1boOL8WM7PWQRbfVu6h9bc4H2yd2JfHZriFP08EPz4 P1hl8AWZp4lsvovRkKnVu/cqHIIEY86aL6USOvL033GQkqfvThjc14VmvcruRn5IVAh7NTFsoqL5 5WTOFn3bUpsO6Uq1f1AIGmBcQlTYIdb/UJfz8pSXASVNyvSr0U1xX0N9P+jMnwP6ywMYVRL9JZn5 5AuCrOYTNu8myK8JmCi5nhTIfVUcpvT1oTFZ3GrjW74yLvh6g2/TWpK7L1lH4cerLoyUXVs1c7++ 6kc9mirf4VCV/AvaGw2I1uoC6BHXKe9EpNP5nUB0mJBlrqRRlPYB+SlSw0ttLEVqXC2z68jy5tWY 6qcICp+54KOEnkFGO2rdTih77u/E6kDJNbv/BjmYfc/cPvrjmH3XkR7qAFKOP2kMhlYmqE99LJ6A NDig98jKm6HfYrUhDgd7Kjh9kunATeeBGPbkOXzEiGniSxP2eVEmPFR//Z0dX0r7K3smnGJMYVjS 0XxgDu3K2RQ0U2sf7V9e59HP4IKzOYmF1e0aDedjETeeV7XrpigWWBvqmwD+W+oOjbreiL7h8afZ ByJmZrDOc3bLjC+CwmineljT3En4sRH2eFqy1Eaz/X8EkRbEMQD601kn2+8xx8LzSpPzJk4/r3MR G9nRsyc0zegbgF3sKbPf3h1QqM7fU+I/ENFvuEWmWubAxquBXEPPm5T94j/bhU9MFl2XpTCgBbB2 qlEM/wBj9iNzloxqc45pTtTjhdKqx/kEQjEW7aOeDKpjZtHIwX0b2qY85j1DoT9/GfPwP5PXtdgC Iy1Sfx3Db6LiwiW9co5e7tKv7vHzlGz2726zWwAbfw/3iNJFOVca/mokh2F982oKEttHKkUcahRh 5Vh7ooqeLM6LJtG/JV7ksxPD4WZQgq36TtkIuzs1Ols54laLoa2ktQwR1tJdT1/XOOaasMMMUULb XuWD67QpTCJVDxb468vm4jIe2lkv3yRI3EhR3LxmHj8/uYbG6JJjgcElK/TGFUnRvxYDFE7rEfpi uskfo1eO5JkdDPSmo0dyDMM/ae7rBMo7STezVjSo5POcUxWbNJmYMh31n41ryO9BLj30TFmTSNDk DLShd0Rxhlio86f0QzxxiDpspmfvBunCa4MZbG7UpnrwrEItozOwZAO9xdP3skTeCIaxwmAGvGjA Plb9Q5LPsBqiZrVM/aRLVMi370/syUUd0LJplCbDzaeyMg2B2dLtVjOB5jlgTNBMM3E0s6i1scmY u3cmviWWHV4f+L9KtVlNbBEVXdJX3f6VhStpUIMykdpi9oCxSt9BlUloOgqlRXcahY06XGZopvcR ohrsQkRv1FyTQ3mm1KBjGPGu2u7cLNu1hQJvnfzTZOcE41AIei5jkYsX3ywFthfZrO8KYz684ID/ YdygWZmXJaRQFVKDMwvlu8lAqwdyJUIFQ23veP1lYB3UE184ABfOZab6WQ9sIIqVMIMNUnPI9eG4 xD+mtCz2tWU48ewIt8UcQy/ET0GrGnFwTfyW2b3aFpRiOA5/dUkoDodoEypH2EItYgfGz5HQg8HE Z6dHqIIx00uBb9xsAYNCuuKowxTXXtJG5sTSjrVzkOPeJmui5Phg7PF4anJmN76+4esij0QyG4oH G17MOCiP01ENKwvffgwWhJ1SmZOu1V5P3RVdvwjje9AfgfF5ui3wvlGgcdt+k81v00Efjm1DQ3Vb oxx7PR6qH3tdNTSNJEXfrmme24cacn1M7ZKdqF3ae1Au+hw5P/NkV0wrf/ZE6Ol/KerZ1Hj7ndlk kjZhZiloWNKHJj04ZlE8pYkCXg3AMMvoDROxO6/Y2Tdieb79hMKqw7sWChFUjkGzMcvhsKwFjg3m YM5LyKVAYr7I3vJLIEAVgU7/iWy/PuS/NQQfBuw44EDFozmHUwA5gG2rAO6yXedoDYHb6MpP0mJ0 ZzIcZQ7HKcWfJj1s+9B8eou4cvIwEt8OTdSQU1hTb2p7IWeed/4lfkH5UDdyVihADtj8475EbOK4 GANDUV/Q2OrHGhsNqQweCwP+rh+VewVBCJSl1xsvCf5gvfn4Ye0pR6C+Xe6pgKLJEgJ2nPQxAoEP NrPmdYDPP8cwR76qTaHdmt2DU2pua6hGqbyCQDp9gh07Zdrbdlum5Za5do0gvTQS9CSLCu/lm9/a iWGZIx5c59cZvHfuJnXNcTOFVP3gLQO2VDzx0JT3JDvjpUW1YXjDy/OjjX2IBk1jtHXP3TRzR4S4 JAp5CegOI7xK3bwnzHgopUdZ5WxNkZovjWFxgWVfSZQz1nKMTvhnCVOq+iOVKcstoBuZiZlacbVs wM3OwY9JOi/GD3bVNnu9s5zg3rhpMZoq6wx6JIdVjIFOKb8pDsYYljt7i4dD+9asMItll8RRc477 cGNLjCPNY/Gv7562m+oxG7CN4VyctHdqok95h8d2j0vPl7R6rMnQ6iNDcg12uwnWCbTF3aowYb1u 7IG9HH210h77W5hgHe0+PQRP7WujTTYA/6jhPbB58PCrVycRcKUvp1+1Kxh4QBUdl3GKkJGeMHEO 0P5V3pipc+bhjkFU7D31/N66YU+QXyRci7+ro1iIuFsxr6kvJurzj55tONsQkP3I7Z1sySqYp6zl Y2Dp291apLxJ1z2q0EYMePPimUS0rrzR7bwq37p+4P5TnNt/K85IiyagIU/QQDBK/CeIDRncZBHm /I6ZKgtM5XdowtchXN/h01VSUWx4Dj/S3WI7yQFVFHIAL7vKMWhHErsFDkhEz8LwUhSbdUj9bOij rOvI9CuMC4szTODn5dmah8ahc1QDVRLkHPDytwCTqryRTE96z3zmy9xuKkA5M0SOAXY5kR4tKhc+ Vzsv+FI1+26eA5Qzj1ExfzFzRvH+4JXQrP1pULsu3dF4cOex5n2ih8NOyIYCM391Su96XWwxE0X2 jP8T///NrB9VSQo5n3Gw+Gp+7yu5ArURVXzhvUqsVYWA0GQ9LjrQn0btUn/XdJ5nkGA/0lyRpI0h 2hD3rZVg+j4QpeX6ZrdCjxvbj/hW/ooxBPfYAgx03FsamrAYaxa6n/Rh1Cx3w8RJug/XgcsFq3N7 /5j9bGPSZsStl8yOQ/G1wv3T9nfj9P1+00/OXh1E77URrcap15C/sDKTeQV6hO1+6Qm9wbf8JQ9y OmVUoOXtrDv5kx+h5VzAx2KplVgT9V//W3wO7PCBz9YglvXWfm7Fu0akLzXOsPsqUG74MXTY109p dVFrfk2kANBZZdD1RJnJZ8D683oBsohNGaTNIjtfhhjo2LxPGQaIjn4dlhj7oI4l60NJ7yjIPm0C fWqSOs3SyTjXQ2hMDx7XMegFEFQJH1VdwYmdFUCWHN/Rp/gjOUI+vWCM9A+vKwnN00jP3M3NmqP6 5ojyiAsIIcy0zrkkxAtHWIsFQ3U72tQDd7U3sNOeVY3crR9hmVhcASgTias1TBtc7a9XUXcVSEgg okQSmhNbvx9T2IWl0RLH5BgHa/9/X9VpRVzUoly1UXZcOgb9l8JDhL5MI7Yj/xiHz04V9WgnuuW6 b6TxrqjSLcdVsFbthE7zkkS0APY39lmjWlDxXpkG9r3HBTgJKY7OURreJFM8VV2hqHpJrNoXqG79 FAC0LEAi5yxZkVy0AzfFf50w0TlFGu7Y7p+1GQEPIZ4txt2BrWlXY9Jz85jwP8TN0RWzJpA7prGr NZT7WlaNviIWFtcKZ3umLfey6L/SuqNNZijn4yhJrlGKlyNz/8OchIB2iskzkoaiHgrksPFSGA1/ xNux7G2Gb2dbVQ90miDaA+Ueu9pPgsaOWK8pNjPX+i9dw/PK+jSy4/mBbu2FcpEMehOlMthSGuj0 AE67ODaUmkO5ExEjKTFqgqG92RgArJiP2oecuVHIRyzgNixWRGl6nvkPuDolmeLXC9O0FvI/nr2z tFvti5CDbqDXqq0tsez1H6cx/zQp2ZrZqhW2/UWSJVCK3ytZqm2LzBrIg1JJOLTNupKsFJdW8hJO 4TCZx0g+v/ge1VUa6qYQ/brYPlWehdSp25+XK3ImHhejVkxNBcpY/vW1AgZKG6zwS8fY/Gy28vHd 2He/yLAgkLVIsvHBfFefskN7H/ROBfkwMdS4cB4wiQOsFIbBCnQPIKPuecA5fGAK0zbHHx6Ay1WM BWoE04SJbOz6i+05mjSik2UXM6j6OBQTsaEJb9YKhsxWk/w8dzW0xlCbxPOu3ospLGBHmtLqyMPE PdLLTm7ZJQDW2upds2Rhz5MHgn2Ww+FQseGCEBtkbfgDQeJiImMXjiJeZRr2Rcrd2K3HBnOQvfNo +MruuVOlFXSnBCuNMwGig5jzS7OvmOiQys8ZBIAvizrKtsskRxpbpQS40w6MQ8yrh1iTDlrLqPRD VE4IDe3mZmmhz1e3sHNZEJNY5XaMtLs+IB+jVv86AuV8qTCiOSp75OPQbHMLgPNpPIznjCF2h3jB hopxjInSJXwXFgOQ/kqBAnct0pKZfy48K/6vBwRGEooWnhHabNqsaoZQYRE7SaWi+LIfFahSHSr+ oX9G/USW86rAXTYe7h/DUDNJrh4NJtCeDqqBbU7okQUz5JdcL/RhIuOVZnf7g/53fWW2zZNOW+nr 0LRd4ZluT/ae0cJocoTyc+7lMCAlomFYMwxfo6vPOus0IbrSgGIJD4Es/K84qYF+RGGAzTkipPDk 0+Pp/WnIIUzLVBeEj2ZVFkbtJCEbwbZwAEL1H8Tn35WhwX2uyNzWFxCsRqmknr2xHc4MvxFehi2K 8uUoYvTO5YH5WzOUJxM+xNs7G23QnrS9cJAP0K6KZ+1/PwXJfcib4jLNgasy+ooXw1WJmtvvfEMH hOYQlvsFpSv473iHAW0R0+DI70EdHWXt+uNhj1ewj2OBwXRa6pbEN1bnyZpgJfhNAzf4qliQ1m9y hv2kiqCiwtaG1dkDEFgD74xuIzTN3YizGX/67mojyxHpiYAKHpstMUv8Ni0TOJGKffEYCrgorgLE DSCaUOGa3q0CAsoK8yzwxKxk4QiOTonwDnterJJ/sBb9FkKFG80zbu1Wr50wFsJfNG9EeDv6s/wg KcYtdehIiTId8qhS+vDVdJ28rfzPvuywEeHwwWoj2WE9aRqydC8QzWee3mzv3SaBaKSUtyAvs4Nr Kom2C5o8tJO3EMxJbYM8ATPVjoO5uY1b7sJVmTodbcFZLhv+53nBjfzGejCHY71+gV0F21YTUJ6Y eGnhkrCkiuvkMQq8AcnK21NDm5tY5mGdLkvbnuaq8VUxZZMYq5Tpf5qStKOGFbHNeLsWwlfGPrPg C0E56NfyozcFeXcEo+G8zLH4e40nAEfLorbGFNUXw1BUDok/5L7RjYThRHdH1fi+MkR3BzFoGS5J 75CgmH9Y2BaPqvwCp8zd8DdsiVEemen9EzKJYMcT+tObs0XX+2dhYkQEWI8FyUg+4XAKT2tIvEMj cLkqPyIJC5q/Ddv3p/dzHY9ExnO7BhwQTcZrDU4+k2R88NdJZmuDB7KvoNdmmgTHTlmkRhmWazw4 D9kUZvBdh/N6Vwftyzm6EsK5ruJVipZGB1OmvtBSzj9uLABMQjyhEH0fDGhZi9OahDI/PwliUz91 541mlsz6OJVe3cFpbrAF33lef3JY6wxaXZNFdqB9jlBbk1Oo8cRZRvldzevII9EJDNvl6KMw8/n4 9J1XG1+yUXY8dVctSRsq14kfsfcNuYXLu3Bx7xpTke9PvKHI5R7DeD79vwpZMs1HG9CNhvr/QP61 gSotmHn6c6xArJ97zjLsGT+PnZUn4wJfccpGJJTUQE+I9F8ZSSB/8qBqsq70VCm8S6qV1Hm2mAo2 sSQ2LMsgcTu3gk1w2wSYtFUvgHWVcxN25H1zwOA7v9QvnrBMv5TcDmlTVfsp0s98hIirJtJ7XCjz kAAkNM+Xg7RpQ1rYQ6XVV+TIfd10xFJYDexcAmSGlPL1YGALQkknHwGD3L1TjguMw82+g2HD/yiK z1bykGWue00DpCf1rXAWczu1nEaG9SzXheXCrNxCbYGXAl755wQxkXKDXd3FA7ulVoyJlfjK9RNT YLsyE61EvUNxVgBerfqVfV49YBl4E6areZpeKr9j9DL62EFlTFtR1j1Txc8oqSPcH+6mmVOjGed1 sN3BP2SapMNwJQWMCSqhWuKP9atlu718ecjIwz61/gXN5Tb3reeSMvQEFby8HhUdCMVXFCuzN2dD qyZSENgu3PtgpO/4Q7NHTWQUlfPS9K4E9av1WDyefkthFNnJ8T8iNOqhon+sW9xG4KgzzXjB/nqE Vke9bw6KbLulH5TBmfnppS3nt/Wo3QX7z1UPmbN0C6gdYOaIO/c9LI2i7o9Flvf9RNgfzFvoGFwl LClkavOQVbAZQHAcrPteF7k6Fza4ofQwFmoTY9diO4jJ/Nf1Idd26WWVvSsrfk0om23FIvdFI2Hm 9+U0MIVHtyW83qaTj+kUopk1Ufi6eC9mWanD28mHhmO94nG79001wEqGIZoq+ohkuRpf9vuS4Uv2 IG29IBVX4fnBCgM+TcwAn36ueyBNiDdeBFrk25wdo6FcC0b8eiR0kynm69NaYY6pRMFMqL6Ot/9C MoDWwjytUSOkauaRdcJLkJ/vS068o2zx+W3vpo7GZxL0vmWoEeZxE7tAkvJoHuTfWfG2IGYSSFus m4LOaEoNVCaHXHV6OqlfJZbtqYT8ZLY4rp1Io0yyVl57FHCJZ4vFxUVvhni6XjanRxutfI1SsUS5 iHEcjvIJSnI18sM8apFIyeCGWEaNZcwuuvF+wFPRqPR8aM/FsjJStrGF7OlWSTv2R0Vb0zp5nvVg 30JwqXmo7/WDZsgf9BSwlx+p/IuZg0zemhNMej77Z/+RQv2FkYvDHUAgrGdmEhx0PbJw7RZjv2xq yTEXVo15H+Ut1Pl2/rnRGz0c9/S3KnSZ63I5Nko4UEK1+rd/pNkU8Wfn7cwFDWMsYuPJX7pN8P3x rckVFCVn0Z439kGjwKYMmnYZVizVFnRzx0pBXJGfgb28rEdh46o5coFpWMwBrZb9LjQ6F+jpoejt oJ/eOtoLsvGMAeejsGDqMR/nIh89T4QZofPQqHmKYJJbFnJKwnWTvYnSni0Y7S7Ffr1Yc8HDWECv RJIorGSsHj8xHOgAVvfuSSjHk6AA6aOUCiTJ1gqL4BdxDC42lG9h4i/Qh1wQbjfCf+hqnWeTpkU+ b/QJF2h3TMU9IH5bnphuG9iDUUWKTCAD4orp8TLUM5RBr8GxWx90qmqvpY7NmBobBr3kfxWQnsvQ tEc9zGIZyE2CdkDPVJM+GZ6LUiSGEmTil+7cK/dZJcSEo0DM3jl0cCV4M7EvWeux2pGWUXEtCcuv ucMrCcgvOD1rvHE8wA4Xec9WxcxLEdeYT5XSzhaCJj8ZWFWRVKBLP1ug2JFFUziVc4a8CFk1qqlh 0hOXcZCdItdHTDnQqLwl6FUI+78C2GGExo+hGdhySHz40JfovGJE/3JLH5QPGmvI2h9kK/yVpnuc D/pw+I0R52TDpzVh6EqOqX773nPDVlNIYckQCfqus3U7yV/wMqdUHCyV7ntqFmaLK5pb1GrNDdS0 k2CFWxK9bnCvX7kzkgFUKT6Oylawlyc1ELd1p2yLBbLRSaFa1Sykobw04yi+mCe8BIEGnyzTOdNk chz4oW5aUS0328fgTlRMyjItrndv9XGsTEnRx7SdJxZJSv3ra4LfMWwuJocLZdgo7/1Z+A2plY2Q 0M8+Gyl1DZ4Xgppv+uwREGk6SODu9GGhbek250OYwrpenY6s9ZXvfOId/e8BHrHTpah6C6hz532M fqhnJ4f1Z80jDLMrwJFxbViU3od+D9uIU3UJQ+r26+JGNs9h7/arx0iYwsnxpxGgSXmr8c4Xnetr FvNMR5QL0L69Gkk/YeVl0cplHvTa57FwLYCxrWwFwQl318BHpF93ZPT6jfW4vSJrP1oah7/GzJKh EQdHGRXMmBScGQOAIl5fdotoiSksgShmZ1Y9yBWgG/8bVbXCFvZZ5eey6fFxQC42Ut5ebNgzWV11 cb9b25oLzTOZRv06heg9RtViLIyNbQ720wEbHckFJbIuwvlb9bh3rTpP2SndZi30g87sIsl+4EIE D4p6iaPX1zMzfosupxWgxPpZxoo48bJAy0/F8PGS3lt4pesH8sCLN40kzMFTWwMCDTNVqwilwGt+ ZABWPhsIccia4mfN08VPXgrhbXRSf/7Qm0VPZxkGQX1Zr4uOKHOd5wWkv0/orwMlk1h+bSKgQgoK eS4FJl7P6NlfuAsZMXYliNDQg8+ZNGiugHERHhNAOLIkDsBCSsmdXoy8E1ishl3h8/dkBgd17wC4 Lm5PPZO8yujdivEm1a02FWFwcaEY/eFJWJrK1pYRwEfQ/SUyQ4SRP9IJEbt5S3S8dTqK1WUs44oK lC3vUFlpBwuugMrX3W8dG0C8ubvbOZf4+YDSmV5xX1skLEEtYKCU9NzVJoDIkj6NvYfYni5tRX8e Nd4514hGNsWYO5eIsPY93xsWjDwpqiD/GTe2/u30xSOxD4uZztrshc8VIM1npbAaHa0XiMT3LcKj 87OJDEgShWYmAicilGL3mvFohmO9Mb5FnPMqYOZwAaM92+GmuRJdnYzv2/3iWsr6QmyW55d0jUKC 3HXGppVaDT5Be/du2Ap9F4uPUHVvIV5aE4e1Ms2eA0jFcbactAMiDuS+RWGYsQOYzNUhfWuabtqV BDfPt7mifDkf7WZEUSqZiCszvbrwBtjLZJw8VwGHA7pFPD8q64kVKuclFdplgj1Jn5rmeFhAPAEh Mk4AL7iNnG+9PZ+80HBkYM05zvmXkfia/DxFOSM2/H27ZkIP92PfWaAr6kjdF3zPgisCgPZIKBkW /02I5fvTUv8P+1cmQooa0aMLnI0HaFpr0t3rYOhCr17iiffhdaSVO8tq1W85qe19u90Ct0YIiWVb 3jcKglCpZe91RUZmX06n2JXSkMrYIHCqXVKOL3FHdRnm4nHrgqrOiJvcT6nnPGDMIC+pG8ssg/rs 2n86DAFiMYBRSLKItNMkA2dYfSax5/DfqG1a64w+1O77e9QMLow5daz4rFjvRWf7BQ/l6w16dDFM 4YWtlKAzRZkBu9yKLVUyL6KeWzx+4y7zR+Sd2bqP0jkyuX6jLn/BaGPSxeqaadakeFRTOfyaMleH y+i6S3tcugjd77Leh+WtuYprU2ACOnkCH+DjxH12PGBSQSRmMGrcyFM83F41c5YZhTNEKgohKYGe aw09RKzmzLYo0KZ7FvV0zXgy90SAmRyTEcitb8zArEAxN7rRnzN4FQnK3cD39Bpui/dQhHK4Id/t Q+NaAEm8vqfWUw/8aRpe38QBUKeXZXaoSuIBnz5SHj2/fJLyCofh4c9k8rRuJlpR3AYqzxEYu6DY Ka3aerS/YcJLQKJHFmXgcNdWT+0EuI/SdFJTq/MseMpFg67+LQC4hX5bRhKW6N9a9RdahJYKZGW9 7gZhU36vyDxPgYKDjTiTzU7hmy9qerWlQtfayU9SPMeOaH7WGxgdTb8qwGXAvKxajUN0s0RzlHfS BolQHxDQa+mSIs2OrKXWhe4yjqI4DeRFsnZuCHL/u6G6Puu8bIkm8z4/JIMtZcWE5KpflnuMv4Vd 8E4oISHJXr3gHFjlHSdUa33Puc4TBsMba4FHbjM96gwKn+hq4SQ+n3xAZj35f4yCu1IJuI+hTZNM ERhMuBTCjWkOiJml2JAKpbnUpD2pjeT7jpg4aV8eZ8zo4cVP7kErWOvXkaHIs6oZR3vHu8Y3wW7u DpUb2bQEYj+6ECcAA9tb54Pn5RFEE+VeMMwcO4yLS0+LXb2gPmpQYeJ8XheFKLAHYPbi2wkAmhTE s0ewAz9UJscjL7ZI7XoXrsz+nD9ZWGKR/BPoDJdaanF8trDT8gGTQpkt2PkR9lt5MdBQqXoZ7zjb 5ZwGwuRPFqyeel1r6Xc4qSUG250WHmviV1W3JJF6eZ/0baiXyYi57AnwFkR0pYktHSCouy7JTf4Z 4Nz35gF32KGd2uRvKaSBkDUYlSXvYfEgZguYZphXF8mpZGHAsPMJjRVYxLolj6fm8l3nB6p7Ifxt gJhJx8OuADgvXvm5AODe/aminQmnLmQkTdFyE2J6iPDZHbPR450nmiQf0bnYKEhH76tz3VqmlRAz cGq+ScxCS9+Z+kXDl6m0M1THP8JRuNz7qdBKOWBWtW/lEz5EhT7mNt8K2oo719WETDxatvNTQZm7 crcbN7Q3ZPSujYv37MujuX89kjrq5cj9nQ56LlusnjtFB7Ft7T63m/CnVEKkwOo11I7NX0A++iRx KR6OU11iAr/K3Ke26fO89bcDw3JQu7AMQx6mUnQnGuPmw4murd+6usIKXVBdRdzzLznTIz5jFNbQ NQOcPj1mZ2niQAnaxlVZz9Gz0G6KiqjrjK0X15EvcTMEuhJHgtlZU2/sd5Y4Iy7qydustN+ULRaQ GM3Sqg+FuoZpjmm5xkB4CXSsCDoqDTKJJhEXpCTnoGKPib9ZeOObd/xXYKtzCJ+k0Aw5rHDmRnK8 Pyjjb8uvUhm2nTEZdt/jmid7UXCkioUuTt5MhOYM6GIaWhhH9XJ4wN4zQWw9qfEnEcNIRZ7IEVUD r6VjWj81evvnTsR1Y/4OvOdGbB3ycQnH+0MCs0KC1JGbkr9Pl9mWxhV/L6objGeHn12uwBuJKfJU QP3lRQT7Ph4/Pz+ncMCo+sZUvNF1E3rParidW+Qpztz8BsAiIbH6DNI6+Bjsuc15/JSA+icWjk/Q rDZHTNj79WChCFozN6+cJR0v/5HF7Tf7RcC65YOCcodMVzV5VYp+Et8imMcys1p4tJjpL1k+N0xr dskW/IzJ0JYIixZkB4j92fjcY6ljSSUk+q0CS7DuRV5EqB4heDlLDx9iZ+Ud8y0xxwevpAkOO9dM T4dz06GFSm8bAn8i2vBjxbpCy3LpCutwotsS+TntO0uBkT8LAGw8goOZngmgRTCwGOuWUX6NvVVJ EsQ53XXVKeChbHtsHeQjq2VAyAr5e1ibL2TUvLLavZzYagyLVvylYjLjpZlU8H/wuFwsTFcezSrF maCn0wwfSzF3b4quaiOHAYVBlVvd/CEp7zziNLg+p5O7VZ8YBFVtUIbSh1uctoy3DhGbbdm+dvrg TrJ2l2IrUrplbl41m5hf0KwNv9GGgWhHQtoFoQ8fLsVTRr8JOWwdHYEN/rdtUocYwwxUM41ZE4Ju 5cXsUeTqZqWr15aysDx6I7ORZxm+c4kRi4hulBar9KS3mMz/zQClj+6UyWxR9kKo0oe1zVSopCFn jRSUYkBqglCaWPPVUP16Hagf/3hlnmfCF81HXCFWyoCvyT6+Yd21q4geVXTLRG9ga2tzX0T1KMG/ wJWn/mZLxznsfCd5/UCHMMaS2FcaDNcpPRJrxIyLLq5RKneCJZe9UG4SbT1cUPW+KotUpYvjRW3q TQ21Z0zrIeEvtFzJOxvmC1xQb4638DQdCseJEzCQyD56kkJJ/rhegysWJLvfkJwNaFMS68j0L39Z Ed4cUseP+zoIroPz1IIT98XXIeQyO4DhybFe+E3h0r3psyvAWHgwfZJYzWGuAGkbmjLCpsJrs0Iv X37jswo2njdvsM6uQrY4y6Zve2WwQq8Qg40zhyTZAXleO2sOHONMKU6jS/46Cfh4ozS8bIM2XdVF NUhPVvwkMRJ6jQ8KEF/J1lAJv1H7OKQShEHfyLYHgOmnPCxRRUjY03lxS5uZRPPZHDIjebWgoJhv qcCrhsYcuRij7jpOXXvdLSeyNDtITUEUjdw6gIuuap63ys3cWQVXzCZNnmHqCQi0G8lExasRCoHn Jd0NoulViTVKkW4xSVrAiwRSb+U4z9Hs7kRZ33ChQ1iB2LhwLLnFLNQOa54+dgBHVZS0KRsP5hNJ Zbo+g2oqT0pz+nts/x4b3K7aUvcZ19+FPgNrR9CTV4qyBiGqpzPtmpXRHIh8PJiMHBmDTBCHL04J UpAWko6ypU4Xtr0hUJ4z3JmYNWN2fm6AtHo/M7Vx3mb0Vo1Hs8oQkN2BnfCPAW7EpMmOhbtXhP3d eOKQntaBDByMhtSq1fTM1jjfDMEg8YIAZyXRvZwuUdkjw/7p38hM249SnOxvcPP/cjj9AtF+/wNV s10NEZOBdj+QYgser05h9RlNdo8/hv5myuIrS1XtTjxFB0fWbv97n9dxGO5jGo+A3xCHOEqePh/t wjDvyrGNLwqrGKC7W85b3MIRxSYFklDmih9rYCyA0/YdiEpjtcNmETczBK2Z06ye+jXDSJrZp8pk UM6uSvJeEUAjL81gpL/uVGVMUtDuizdfbpj8hrRAbo3jeKYjWhV1EpxuyICEuxENfaN0Dyz0fl64 ccBjZGfpBhmeXgzXbPTyg8P+ldZgJ/pOUHeepVxrgRBVyCGFJiyUSlC9MQOVcToIeVUP93yDrsAU aCkMFaKOjZAkSthQbv1BYXB9iQaD9QMiPPSxZxQu0e+WK6bEzwgPSqpH4TsT+AErhaTdPEI3DAey /1ReszPur3axgcnM3HPLH2VVFCekhtIN7eXp3wp2DVGCGzj/6/xA93XNOqQKDjoEJAMblYSZCiM8 jYR7poaH/xE07QNyZvIZ3SKq/l7YaUAzou0MibHHZY1Bptd9Cud/1Xc5+QZsdr/xU6EYyPt3vNje cb4logXaQm19M3BupzCfmQWJp2QYTfHb1zRIT0Y/SqwWAFndLoM93K4/1Q4bnT3rSAxViBlxEenL wFEN1fEDSaW8PeVZFs1RpcOTQrlaWe4bFvnfgmaNAtNIHs18Fxl5H0CM9/eo3FjCMPW2P1nMg4fI uepUHXlEZD29pKtx4DsxPMJNrcDQJk1N4Z5z3QGjYzDZe98nO4ZTxfXKIf2gB6VpIEXanT/b6slo Yv65xeFSE+73b1eJVKLyOnN9b/WmMs4suzz9xGeufE4l+0O/td4A0aaZbNH3pgMr8Exuf19941rX XEdh0Uc5pDHXFtaI5phb6lR5JGuX12uysapzga3pdpe7YXmOranYubnHa/RICT2oU5ctFQKkEljV ppYsPZolLJklqfxSxTLF5dV5ctlK4zp7iBIPFSTpIvWJIIxBv0QockHEmfEzpAGMRXYv1LR47hXJ RlFHi+8NfQOZRzXhRGoFapP4dF28OYtuHahhceQgeYYsdY69s62XnmiAH8/Z/JLZmH1jhyh/G6Lr yLnKkNSqOCFy1mfbR6yEZ4uGUJY+DqzZUCCOfQXjuxgQhDvd5j8nHRlk5aeL7YwjOHbkX5nXvOZQ F3eW6PW+NVGaTx9bjzak692MLFW79Bv4e5MTEVH/9hSDFE3MV4WtXvb+8S0U5IFZ6kpVAO4wCeLw pvTwKaSh3m92sJ+AJkQZfaJ8lQHSQ7SZCD8YbTVyzWkbfoXpKlMMY0xE1dmaWlaR7GZpWzbcQ19P wWahwCAgyyw+5nxIg6RJYVKz09oYko/vyjk5rLvw0ZALhXeuTz/caoZ1p/LxyDKx/toRwCnPvvnJ l1fhUk6AwpQidM+24goRSfZa3PLeSOAKV41I1xeg0S+Cqma1wmFBDIcwFoTiLft8MiUs8KTv0F04 JNDCG0WZgwe3n2N1AfCrLmKGzo5waNl8Xd/jAS8e9BMbAQBPp1GAXDiuBL7Aj707qY7HH4ZAFqK3 pCee78zKSjCLe1Zw+7Rmx+v1qs1IlRTRkS4C5GfrdQW6vsMFvUwvDxAAO7UmgDElPuwj4WzvAI2j qrXxrtZM0B6a77uFBz/rOfNvj2AnXoHHuxJxz4LSPI8Z7/ucnS8vYvbogMWutMJ65eMW0DObYfso L2Lseds7gglRWpfVH2iVTToIlivJHQWSm7iA4cDoWc83VegwwrbojKUs/5B9s9ID4J9hdM+tzjVa AE8LAHg7FOtNYBNVQK0vd5AP35i1w7rax96FkEFxZLU0GbZYie5AfRNigC5hpdnnq/Zs3j1S/fVP OQealNBoY8QUiijMifevN3rO62OFWdin4F4pzKaNxvhCbayVvJi0HaXkRfaUa8iyMu1P+o5aVd5o allLp0cumPsa8uA8lB+Gsq51v1rBG8HryfkaEUktYA6id7SCe7iV3sks6PS4sks2qLqsHugT/ENb 9tAvGyuxa6MXdMzegmnFV3rgdTUYKFC3SfCghmYM80HfzJkd7NTTA/GAJ2wYMyNBMVF8dxHvAYrA UIBT7ABxqJmL+D22DeFmI4I0V9534Zu+xdEO8uXhFImiL2lcwuv0a4NNAM2awykM+ZCmo2agt32Q 1RmC6fV2MJeeYJEbOs8NQPrptQZ82wf+3FzsakfRMUUITFINl2pJrR1GNF39bcepG+XfIRT8YE5b 18VrY6fUxNAek4gYIYj2G9OmJkNlv/8A5C9cFDh9Q8uIhHlTjsOgQ9ERWSPhkH0nZQ0cFmSLk/oD T23U0w69nSxV6Q+GrzDryeh2D5Co5/YuAxgT6sxZGxvLZ9E7lan7TPp6u0IVlaYYIJzmtnS997om LYh0bRKxWYEZVGEAx8Smn0vzKWbG9SlzUiSMt14JwMh/CQwSteF1P131whqZgdowA9SY6/y+heYb VVyAp8iBI6VmM4Hmt7Hk9BuGEUxGZn6KIQyl6EIw0c8uEX1kY+AexAiQ6FCxCDganEoQBhPoGF/I CK6LUfqVOrJrz1X+Tr6P+iqtnDtf/5/9vaEkMFQ3AQtC1S25mYTjDK4xUKHfinbdc2M8+zTXkYFI COB4Id9u13Uo0XCq+4NSuVomvZFh/VoPZMbOBZr2QdX4VvKX1O3Jy/144DWnxt9ro/RIEV71QkX4 Q3CbaBnrvJDDOijNRmjNPfFhhnRzFX36AbtJl9VjlaZJcMUCOrZeKIM/+KxjEsZjS7QVuctHdv0F gpasc2oOiNuUPThFEkFo9cqvXPnlxxm3pk3rWOlTTd2ErTD46scpEBt+boSaj9gE26vrJNXer1yi E4oB8qUApg9rJh6CsUAWYdr/piSROLI1BXnyrv+vwEKbJr4y2axW0MXibTyIjVe76ZKHucg6eetH qJmaaapS7wxPocCMdNMZj36O4DicPHPDIs4aqB6EYnSh9F4k06eFyzJlzMDBcPmG7TUSYTmHBMmY KWl1Jw/loqJo/RdCIHlh7T1uDqLoQzhL05Nfh9C+bppCOKGcRcMhK3ByjRH9iKKGEuMo7Nlexzxe MmA+Eavm6SnT1OiLLJik0MA480XKLkFTNlSEPVZm4LK2EQ6ac5cDxlc3s7d2+1DQpuGlV0QDwsqx XHw3iptTrkT0W95Weu+Dmk7QsWPIeyqc44cNoWLpfekRFgBu7dKJQHgjgucvAUWg73g6Ju0G02D+ BzfJjEDr0+L6+QKzgYbSFBKpww7WjdRQW75Pi+1q8ePZKgnRg5sAbphMPLYGvkqkmqFwdKdJ0Asy 4dwSntIkapY4++9cLjIK6h35mu/J6QJ3A/EjX0NgX43Ly7ZZxOY6q4ZwL06aNZxBDLs7YnT282lM /JrARSdLfPjcai3Ltl/tQuk0GqIHn2GZZnWTHTVSh/AMiXSSplU4APb6n3SdggjYedE/Irm5BAA/ +aV6fGYx0rZYdBiytICihEemlCjzMHjseAZex+az3Wfi8pOFR3F4AIvIROA5PWNk/TauZGpefJIO 2j1PQlDxi5UqL3s4Y/zeI3zi6n0J7j0T24abJZ7DMMxOSemY1bRCYKMetyHyFJ6PjGNyWiGIYBV1 ZKg+HubCnsiuUe7Ve9ZQGRTYA+bAcCpeDcg2cXDU9mfTyycq/Wlp4IEphvR0+kFVNWdxazBEDmr8 mk8DQ7RsJ7Acx8MZJ4MaiY5HlRvtVAxIEpelLnB2sfrHfOU5WWiBsq+SBL4KxVautsJKkpOsziBN +/jcFgpwxWgDvjDUgeAXfG+3OLSGvHlQF5O80tm5aj+Mzc6pONjW5iKHarn88a59EoHEwmwEiWwB +ONMv2HwlRxTnN17/YwbBydp3DqCvE8dINwL4LsyQZ+ZK588tryBnsz+mVtA/mjLGU8+wzJbWSyR cevLGrNlHuQZZAdRH0J2y2xCMm81rzkkeHLh7ex07lFiJJPybJkPiu+GbmygVKcqrsF4UOegwmOQ LXFLN8KyCpA34znn5SEmi54XEOQIqX8y7F9dFizJx5A1bOEBC0CgC7YMzWL8RhlCmdO3G73ThoU3 uU/AoboTmnRCuosVEhNGCh/3HDMC24/rsY2gpi4W8gNqg6YFIPfGcuY8jWOdeiqikdMzTxZUjLT/ OZsewoZ8oN7FIuZDXgrcGgODmwVp4RTOx5MXOaziVl1WSxGzKqKOE4qKkD5JwJWtPo4bclWfJi1e lEjnfQ1VZu41zZuC7SZuXaoKtREUGtfKGV4Th8PnTCw84Mq77CUFPu8+4w0oT+YL9PS6UNC2Qwvb eaV1vqy8rN+za5wgp60zFK0/nlzUjXV+4u7mnVplPCxNTD/W94/o3D0Guj0kIywBVvtuptTYHiyN zu1Y9CMTA+W5W4tFOVrbTBvD8xWFPHwx6FcdUNNAtjUQHax4MIUeaLVG3YYs23rU9u0Ymnuk+mnB bup8uB4RYjpB9VUryoT34qCXK4+6hDqF6Eb05JSeCBJE6KWuxjhVhBx1L+cgikOwgoDN0B9CWlhb mjJ5deytXAs1vywKH6sFiUyH99REGL1rbTur2n3k5DMgC4jDRSPD1m/08xfNn274p64XrALKyuYu RomvhM+qa2phLdkhkJCTKPWTha6nQpwjyNWNl4eBn/YnuckAqsNpyN779Mryp/HUgid+xTfdnVAS 2wYLom+izNYscdnymIVUPqxFh4ikda9YzjUmo+MDR5zkxeIa9iAAC7RWRHfQV9/rdQyCVsVP/f93 vGBfVaAEck1GdFQtqV11DM3ZEuAojxwixuZFlYp0LKP1T8lUoIh9W10Tp95EMBeMkpw+xTORggvD pzMOPsgTu0/rHkQC4X+pla6Ey4GEFDgbSdNco31HC+WzLekDBNUQ+i32UyQJaFVHDxjUcJZbQjCJ mTXUZgMT/zpmsjIo47f8Rz3Sfr+EkYsIXrDVTmw8ZzMnfD+qPp4AubZY6RMT/kv9pCWCX5Ry+qwm EojEBhxA6nAr1hB6ROwUVhJIPi0Dc538mCsNEsy81vnU/M0fMvIGdog1WD3KgT5fA2MXGCUPttAJ 1j2qnLg7SgHqwiIg6dMf0GRgvnyxOHBAugJIBvjelvwh763ksNM1kFc8pTHdcArnsxYr0EHia7P1 GwxBK3BLc28/+r07Zgb0tFF7s0XGs5jJu3yP4Lphv/EFBItTqyKIBRmEY8r1TwS3hRzZ62/gK30j ObAOkm2VTli62ULZ5fte/eXmFinwOxxJK59t+xZFrxZGSev54wNb+pwVhuT8KxlTzNzNSzn5HdB5 nHFZmBis64yyGVDXuG+dFsYWDP8SwTPKWb+RD57PC/YeWlIY28ZLwClLBXdrctIvNnJIJWph2gfl zVgSRv1clJojC+NaTPaTZruYp8yr1UjqN9Kay6MhHx175Co2Z1itzDzpH+hB/dVgAVTVehW0PJHu MwQajCY16fWLKUkVLPxprsXmA7HwtM1aOQBotKHPc91+psTxf9KBv+fHG63iVFCyPLORj56IJbUt Q0mD/Rung6nQBH373cRsw0dEchXVt3mq1I4IRkhPPuQtaX6Ni+VQbA+fe8UD0qYp3tpa4cgEpQtj iBRUYTIlHydBAV/J5i+Cp2Db6uPOiRhDb4wI4DZ0o3hhgEjUaiKvEwo/V48rgEs71FTCnq+2Otnd i9AEqGHMvqJ8wszYw83VhedKSHBS3Zx7HFGnP1AmcabIxaLxMvRYnpKtM6LMhCigun+pTR1lp56Z m5Sr7elqEkKaPyxqwXWl65LxNg4/3A1upE+fkHIQpooNqJnu54nqb3Fa68nOtjrkkNESKnqQQ6ED mRUiFMN1P5tkl6vQrfp/ufbYBPdvl0OF5FKpRl14XflmQwPuHHRqgYKZpszlMW6vT+bEwyaEzvxl 9v0Uf2yJL43xMp/8OHYenkT7SbM8Vfs//WKNvPJcMymY/DP183koUXsEKfazhr7iY/KxAZANBGLm SR+3uSYMr84CUY0J9J3FUNkPScQYJPZ6iJajVxadw/lXjGqiettdBgvyJUVzuQKJZG0C91EDZcqO 0w40Pjh2r3j+0IUt6g3QwlK7nHHPWLpV8SspNJfRuei8Vrdg12/y5PWFnBaiWrrKuIkE3XcOKzQg 9JOgfhyT+TxK3qDTePSOdy1BGg8OniofW54Vx3A1rVMdkXwS56ZSCjUZNojGQRuPbgcJ5Ni9Rbkv ltRjuzuOgS8QrXKaM4ZZSYAMea4GXhLZHk33qehcbnCSlRcA+0rIjU+my4d0Q1CVRJ4AgYO7PKFl O2T8vPcm+/MEdAarxVXWeLj7RR/x19fskPIhKwZsVpfqwGv8NQ2LT4ac/7dYGFhCWH/Lwt5hy8qH 1MLpNVykYn/N1lF6vW4gICkL8x85x5HqdftikTa+nu/IQ9kR8IStOecyUwtHn/3tki5ICQPRD2B+ VHzzsNrfQFiXWJBDl1ewFf+7OhnJ6C0yUVxZvBreyooXJSD7TQ798L4YouB3Bz791gUyQRRocU2m 6YrYYKu/4AhYZK7EqnvAyf74K7u7348Snd6/A4la6DBFcHJLKQjPuqlLobUQlfi15lvFtE6wwb64 ex9E5sPLNuPs4Gl9Y+sRGYHhF0TcK30baXrBBFECyiBz17JMfIjT+jAiu3D8EDeDk0ei4SmP1fD9 omufMjphCkMrguO2jfe9xdnm5EbOS5MhElGvphqun/LfQp/qJ92nuBuq1xK2H8M6hpK+kv1hV+4C sjj+Sky2uwgI13/7wYVNsKtqQD6JAYpc0n/7X1LQ7zRAQHaLCWwIe97VQpIFqewwwPq2EJhql5qX nFSp2Femea+kpx1m3CyEwNR0nhPYtxKyVeAxbtvl9kvvlBfj6uZ6/Ua1f+fOaRNPff9CQbf4fIM9 A7/BLXt0roef65LwHJZrtS7iBilA9vo14BrIebkJwEote1OKSCdU8l88/5rDefnF0ECZ6bLZWzbK f3zZ1A4Ctp7cLNR/XewtvuCNPBW2aLOBkgRIbnkC68S/aJ2OWZjus/aNfXQe0WPDMNWjleDE8Goz FrEU9Kh5YwxaH0QYRVl1hdbm1TTrZ4BQjlRWMwgc9pvvYaG+X4GH3MDp2mtJeF2KHzL1q2zbglkM yVbz5eFbZ0AR1WuwQkk6yDdD/ASWXZ3YUzIbJyQ56aKVSG/laygOr74jEnvzxtlTUKCXl1DdNsd2 oncCcNn8Xs+5NplL1pE3wKfvMoQA5Luy7ZUJ7SDPR/AliZkQ8jZDBkJXd749XuDr7aX00ssMgDdz AoiVKfNkwbPSF+iXzp+YoOx9BSj/Lcbn0H7eha6uAblG5jSeh48gxmlPKwAbdPfVXKeDJAvbvPAv ahIdt1e9n67C9J5YUV5OjCQl6o1pGDJDdLOhaoJwUnrrMBJHYR0ODdoBHaMCxEYdoYZgOzNE5/1s L8+yx4JTk43DNvwhsZzYjZ5ZX3uFlcBRWl9s1n+yk+lbyYkJ36PrBIbGTU6sB7VZEYTr+AmGv3xW b41Cgj8hvNVuaolcdIxek5g5ZJJ7mPDVxP0PwlQdoiDZha9akUh535oNa9JTAQWtczhN79IOFdjM ezYYl0F4AbMvF82NRDlnQGGK+3eDaNI3+trpv0A/geefaf2bnyCCqxtGYs4s7KP3bn9Y9NSildXI zauvkXV9TMzHeF1Eq62IpGOLlPdPfFJsY7un+Nya1a70eAI7iXi7YVcMhlMO9MT7Ado8U0FtNRDv 3vE8ctc42ahdtH2C1mOERBtnCc85YB8qDdFnDU84MYEP+z0VSw27YmZCpu2LGak0XH0+Z/uHoEb8 oj22MfQiwwM0a5NVUcqaHNsvZxUBFGLYdg5HjRhlMe7cL1D9YT2gF0xUBXfQfY2SdXsZ0DBGc5Gu 9ZTYGt9zqAgNzjNIgLNnmLKIT0jJKDYvuZVCX1dz2SuCQSUsNozzM3+nL2TB4rxuksZsmmlmmxno 79uxZ1AvRgdEvelXdKSGLjpNPZT33riE6ySUQRejHH359Bk0dHisFw2OWGy/VmZ4G/NqYvQupzEL eYlkab9PmuHqEs0FgTDYRPHBKvt5tdYI968NZL0ftVpwOEhXWdApIQIPn4HTZFI9/1rHMQ6hKnSh 6nrd205iXd4wv2y2NC0iE7ApnvVM3aIIWYM9R/Mxvux/jBhYFdvp9/TasfVVa0HORqB14kotjyjU RWiVh/Q2BS3AniR/INcOHyPIJi8BwwnS2iwBCr5kR7I+g3us/iRGosA0zcZ4hjGhmVD997I4Gm6J tdooVqaIXaBmcLHg0IulsnrcdieDV7Qp6YlmsreeHpnX8oJ7HJWGEmau2o0tbwHeWjdWaJay4rtE SKA/ji3EXflpUe3P8SwPsrL1JpoCQ0kFcQozfaQXdn5Y8iY9G0B7VFetcW/ff0HtbGWx2a4B6LJh tUAgJed41yC86rqCF9qU4FL/XKy1n/sXg9ZiKZTeqHMPFe7Ii/UbSNYn0J43o+JShFIQJq030arZ ih12psBoGJY48ZTz7tQAt+ud2EcBX893K2HS8rL6uIVx7et3nwtnyN7v7xtPRt7/OvVogo3TUP9h nnIEqUCB25FS1QAmCu3NjThVEBWT+OUVcTHJ58n7Tl7UOdStCxUVhqwiGJEv7CIvDNQhfjcs0usy ZKx1FFBgS3z/OIdqWf1WJ+fApwZ2OKUbAHUeqy3VVj2Hr5SvJbEGXvUAjIVxF44dv5RHbpuIbbAn jWgIAljaOZ8/g/AOVualgXbAMZS61OZazBpkNX50CQyeIjXnA2VzVzeIvjoVXOVAlIQWfiGN45NB RXIBVQJckZXuP6SBgYuAHH2d2tzkq8akHf7vFqtO2N4rf+zuCWOHrx02t5FPHHUWP/gHjSQVlTXl 2Ql2T5WDmuVqTvbEgwPx/q7KHpqEowZPH3ldAWNgVX5ndcosUbnjs5Lne4A+LWobSMQrf2NxgUQx 2ENy/168SE/p5vonQZkI3Bzjcm0wm9VsoaPEvGUjQdYeEvYi05xsSWUaJYIOvykP3DBYdqBQ/aqH /wVzrjUZf1OlCWgjarYU0JuTqs5QkIcQkhOAdzjDAxHUwd9KEfzKuBKbYjGsLy71BnvNmE2nv9Jq GGIUBxiuA/gkbqJIDdyZm8xGvy2g1AWT6f3FTOrVd+UNNKxjrW6AAmACRFhz1iBaz7T4g80u8tbR rJfOP09oqGcm/uHBlMVk3G73/zmO4+x7ZicrK7ldCQrafHHXpdxqkOtdkIorFtFvK2fPtslM6C8Z w9NKHzmoyMFLq5xBSLF4l7L8jBoekxDfawVGe+3aUeFvNsF2E+sAmxQzJxeXuqToj13FgUm2XUxk mS+px7qviUvWrWIFKtQ2K3X6xcEBXkld1Xy17j0T7UhmlsCg4jIXKnK1E9m3GiSR/kw6JR3Wn7Bc 24yNrHLTX+3bYNdNZ9l1tS+0OzSoM4H5SShlFSAsvrUefyXsT7DdrF3V6mi04G+LIjk7skbtT+il fawiZPnt4QcYMBCdhy6d03ED1/NewfOXAWCAJVXaeEYPFVNNDdAfaX9hVfFKEp7/hsX9AZgmZLNJ sbJiXZfOzn2U8kY/iWKTr+r6nbHQeVsU3JVf3m/vHkcmEIwl/g4VLIzqvvvvrKZC1IbvWR1vGdA5 bKZgM+M5c3rtDerbGllSXGiIdmuJ5hJaBZPBWUEER2QB/eDHy641XA28L6NqNhtxTVWeSfad34Fb Vdr1C1vnRIju8myYIPcPTogxVGBtymsmwZBMXeOXtCX3gFZcCRQnK5pG3mu8WMjNSD3g58Q6+L+P S3mfz1U7rXZGUFb71uA6AGrwMIFR5WU3TR9YH+eD70siaqLF2F6deH1rh3IS3yNtXT+r2tmUN/nt M/3iDcF5XT+UChaBvB6FChXJ0ZKM664w7wRu0fYRGkqMt0PKDVHbY8pOQ609YYUk7ICn20WIvD3z B/Q3o1Twa2Si/jpWE6A60raM5zjy58d7tsKznCdn9ZstzwybsSJEudp8sZhjSwyC57B6RmVOVOOq ahRRzrfEAuOG936O15bXo46A8CWAVmsK0IJgu6c+Vz9l6UC4jC3GkFAAbDK+oIybjaTV9xj7VLCD CI8aPIlzJpZAgtkB0zsBD4nMHNgUPJ02JOpRktTKKca/gG0SgGQD3ZaqqvdPH1s4czCq9zGjVYcB 6FnUpqOC51isuTO3mRNqYHraZSqb4EzxoTw41y5ECL9P8gm5Dh2aqB5n81xGSDIVFGfALyjD58GH SSe5v3ue9LGqYeGQkDvt0qW7ROGEXsDqBYwJ0Aq1QHp3pSI8k06+uPLHwByQ8u+13XkTtst+iwJt O0XFJWRUI2EZJXrjPUI4WIMmTF1sgYrrZpoHYPCse30dXoSM1Oz4/L5scKqplh9rE4pEumN8c7Od KXuBQtta/oxOGnEB7oB0aO/OGPXZk9OkhcKlXZviUFtYOcrAN/9cZaOubkNpRCQPeiWLM0Ci9HsK mqFs4CKhtFSS3/zFBi1PwqVOwPqfCBNqH01VQJTQqZPQgofnv8JZ8F5/TvcXPfmkFQ08dQNkKXS4 BWEqlToXWpcybLJND4jJGapUg994kVpRYHzVYXqwS7SjRe9vc0ditSg566rR7muzNlzMFnwGPByh w4sbZi0C5KHbWWKV8EB69MJVgaQFwmGRcjfykDREixLBiP49q14E5blJiuupf+vAZfvbk6y1eXJz mOZdsGhXC4u8212HNSBV4iUpRosSj3XT8VLtm6mD/VZceX/9OcMj2zG/+KnSPf7FhxHkFM/ArC2R qBxjoI1z98Bf+fwWC01W0mMT7+QDIAKgp8PnlLbSSZdVYASe9Vnqr0xsZ3YGaKB13XWvwv/q9j3Z WoFkPTjtE4xFrFAUtkq3gZmhItf9VGy5VKTEMqYuekJG/T4mrqQZYNcFev/JcGfyJmIVx22gjyVG lbpAacsKyYifFHuM5cswyZOzDckdHqywQvP0FYLAvH4x5nBUw5BmFwt4kxQdiiaRk/N1+VL0yABF WwBkKbA80Uhm2XAtKfFGSwlEPevMOSnQzl5nyPsWkAOM0wtJ2iSQbbzd83PATu5lZCvJvds7QsaS UusBM2QvyJIed0tkJNclt6Wj2+HvX5FRLfDu6e1SEnpfFztvt4tlnLr/sjHtPsJzg4t6jErooPzW M/+ioVXJZczMioZARfbUJvP+u3RcahwopOQ//EUCp3vcvq9oCnd5Otz3SrxwcNrH9HpwRRIVTwJB p4l7TXoT+cITP0UC+zjrJ+A31IJEsr/hgRfokkAMXBza8NbK3NqAl5I0dnFQPkqwajKk6Bee8ze0 cy1MV7/+RuBf8+lVktDnabAYY7PKyamvTDrUA9zy+MSPfemn9CVjSt5WgtKQN/HsDjYcqHBrQ6Sm 8/8QHK8TkjNdZTSnKPgSf3OujWacWHESaymyU4ATFdPcWtD1dIQEXDHnoL7sGtBHz/2lV9D+4uMx E+L1yK6A7FVi5WwjdagEkxjJJ2RjUgT3B8L+T/gU2aBgijvK2asUR5nKF2Z86KciGYXCzBBAZ3// ts2zSRGYY7bOFT6uTYJBzJgPvKkRkSoAFzEAAYF0RtqCyx6NIflpRitFbBgsy9RLICBxMuTlAaUU yVV4G7K4UDKqEkFbB8H/5nUsahDsq2fL9uSQiB/HrTOQ3A0BeLLkAOqto3NdmJNir9q47syA0fv5 B6xhsUL+TjS017Q7Gsg8hIAooHRgOi1Z/JHAEulKiLjgJVk1NIEYgBLrcsrluVehI9n8nFyc/u1i 5iS/D81MgJG2VQFpv3qaWYG1HuUVkTavZhxmoflRIjcmAxeyOuGD3KjfSa9c+Ok+mNMDXxZn5t4Z 5+/J+CgplMnb6qft5yoUiO1mo4DyspYWfSMtNOkQSB6iebOcKvW/0gACw/MuU6R36+p31H2LdJ1r Sje4QC+CuI4PccW+5wDeA6M/iyq99MWkNMOnnJBGgCLU8JvBZFqmpSf7n5gyT02aBKm/egf6Z1El 96WJbZb6Yc7b8myGdFmhHb9wZMIYTI6xy1/63gZOQV72gn2+aVOPt2PRj3Fh0pMEGvfsL5Y6a0K+ tOCMSiPMycO7Shx2YtseE4/bu+HuOiNal1g14rpoSuiu+KUfcQ4H2dnVM9xUpW2/wn0kjHdRgMS6 KnrGY3Lpl4oRQEG9N+6UP5JoOQoAyxHFdoTpSkI8TcQG+8e0hoz9v47FnV46MSC9l2Wr4SG24i1x F8eY3/BQvGk35f/PcLVuYeFeRWe40Fi1pV6T78MZ/JF+GvhZ/KQVzI5dBms7gxHBLQaLOLnFn8x5 Xye4ZSM18Q4sBkll1lCZ19X0txypbXTRkC4DDnVWmwtcagVZWp2VB+26MVnzawYoqXEi5bRAtv1M 8KWH1vqLeotXVT7n2FEjf7jlqu746xuyCLCa+bH9vRAxqnU3+UvF5jpTgQserxIisI03lqwkS7+R ONpuofWT8tneWs4677gbSk+0KvwF1zZYt+MJBMNntiXwOh7sg9QfGzzZYaxSKyzSnyRhzcG/Ntbe 5TXQNOu7jLgWuBeaXYQOrf0qZoRm1uQps86rx6AVchqimb6/xA1+PS0ZQbXtfz88G7Q8faKVQGXS LPlCfOAfx01Sm8jayFSOQhCksq5F2sOM2yIWQCRK1gesC07PIyBJ6UfM0BExLzMHldV9w3ly0eJ6 sZF067hAbtSrrjMQ591iEZ2hc0iJr/4wDh/tNmiZLoIYtif+vUSgir/ecanliWj5bvtQPAMIbg/y AlVh9NLoyCN7pyArSovt/PHZKM4tC9uzNpHMXoSaTJ+dgbBb3Z4qHHmUjPA9TFJy5amX3xzQJYKX IMjShkKUq/2yPk4f2+MImO5jWKPsemqFlDznXpwErUX+6LP2GJIdB1la2AXUgtTS1wpBBYQBHudT z5bKleouvzFsfHQhE16sgiLnmkMRSqBMeV458vqK8QwXk8ySkX9j+aCyy2fbF7QVrUNffldmfOmp nIHgQQNHsHL7m7XqcsOgm0pU7rQyquw3kB/rnKzu7/EHaNyIntAJmuejQu9LgXp36ts1ZZKRlgGX g+k32PhcY0u8yMgZ/wKKz/WuYnEUQJ4RKZDlZsLYhWLrdbeYw3KUAckXYdG2cPI9WalTib+SPaSr rn2/QaRnp8LcNh2Gd/03d0dU2/lW5cZ8lJNfkqvB7jOrxMzdDXMDygGIlDJrNgBxeWmfm8v8rwz8 Ke3D4rP3O3GHq4xwJnadjDgVR10QS5NZ+6AYpGh06R/fpuZmaIkINOSeNekZQ8RCj21K+JGJ1GJz l0vaHvrzaV+GLfsddYDAcj3tl2yd+Y8k9lGNGArKtPdsrRqOwMpnN8FKhmhn/zxmwnkc3TrhRKmB umjqv8ohSlXEIElgdH12adZhzZtVD6g++uT+rMQ3PTV6aIQXo3ju/4KD9A4mzjqd+2X+tQdvW4mu 2HxoabGG2GXMs2lFxS6TSvyVEQYpg2KEzVvjruQhEVMIP/Rdwf/zXPgeaQzBYmWTFqmbMoJ2AUMu KuA8WMKo3sQgXEz//oaUPjoBJv9hhypbE8/+8Ln2Z/XK5aznj9HAxqx+o7YdL5nirSZ5Ly4LmdUD bMVXJFuLuE1fRSBO8ITQvn2uN6swhwDxh+qr6UvVcYhpMTpbL470R1yFwh8dqkD4s8BF6gdas8YP 3qopdSYLHMGYEY3vl5whsfPZXmCgpcfbHoqGn++bhwtkn7c1p34ZXoa5XzI++/74K5MKjfIKO1qX rnwrNbArNVsH0aiKTu3wQNMkwB/TZoHO2EYdHHY5p6LEpbLqdE76jd/Hxq/0KFO/S5O4hRkNKsTa dYsJFB3N0IO2LPxrmg3LTkw6vAqjUC5ID3UKOZNEyhzTpXx/jDzvTo8Y7hB/XuBBfyhd/z5OMZZa UXWVJA6Ga4zv/brUxWJIhD9X1GtqWMSJ9nefjogRviInwO9XRElbtcVgbv4FHdU5ucmkOhWnTCzv uXc2dFGweiz4ZYqBZQAvpxqfzBm85dit1+zLe+Jr4bnkPhw/vplAlUYGTE1WSQOqaDBwzROlFW1Z jc8JJwG+OLGJiLgtuo65j2jJhAFmo+q2uiNEnDOxwl01XT8bNYpcUWesUjAKqBAfCK6yhOGeeeUu t66DumDzLKADY3dcz3pwKofSe6i2tILhJ2lHI44EFlmw79PnJeVIjtijx4QuDXRIoBo6Iw0bFYyM IsJoi4vItQdv0y/e6z7n6JIyf35yx8lUlEFt5Wty/SyEzBfWDJGMOsedxWBr+hKP+L5zguvPP1WL tPZ7t0T6m7O3cG9COouGROvDH/Gd5//ZhBCt6smXT3ZDZqmlmz5CuJvWbAck40GfRGlGpot1aSij vardlbmiCCuvE27UD6IjUlJvmIr+vKJMGIhsVWv2r/kdGGB0SlzT3O4YcPsQLLw/1vX7F7j6xNmr UQP7Mp24VHNbDa/ZfXBbxHDOkk3FCAQ2xebU+vZ4IHjiLrutRhQ44elsMQOyTkcK5lyVgHFYc0mr SMlcM//zjxyJYasJ6y47GYckWcAc3N8zhBIjP2vekWAxl80zaeCDkcL+lnU5qLpxhaVpTSNEPWMJ Stb0RVo3y8Gj9vu5d4bEv9CMq64ghZT1PfaqkMAjJkOZa1wIEUOZc2HIuTUZDx5msSffCtTTThgW K76R6hU6YQcz5sEDuEtf2TTiu1W2imoauFLXS1V/7QYmmurL4szjdP0UAUer0MuyBEQQmet0Pj31 cJ/TJYZYeRiQg9M/74gc7OOPxvDPdwuYqZYgFSh9VRvmJSmGxry2/J/AWvyBmH0GeL2me3L4LOzY cDrCdNDq+4vxwbCdJHPiOXmvnWPfK/wHGGooSHaXh0UP6AtC8JtkeAi793MdF3XhCZARcaKgJO5T UjTxE4mF5pcBrT+MJIzKZ859u7WcICFn7HOpcZmuWe8y70RkwHH21XSgkl+3YzGx9qKoGwhX+kgT qxHz1ocqd+IQDZXf6X6wH0ZeZT0JUER0x/vBDbB4IGrsLNPz6/stH9UhedLUCed8g7tr7daE3R0r v3Y/PWaJFwSg/VqBuLnTg0kdHoy0KH/1ULTDE79MhwtT6ySFZ+BzsSSZxUx2N29ylfHHaztioqnG ZqxoNjMeTZUUvOUyF6P790lK6ZGIHUtZzUMWRM/5+M1wxgJ1OXtwdrHi8vyJV3cZ1AZWOPp5EiWY GbZutLaDdv1Eu2bpmlYioLTtQFMvEZ0MxI8rB131QN5ZYfwl1RrJ3jSnHimU5B+hRdkqiACfsFzV jUC66x2GXTY82GrD8FyVYoqERU7mceiyMUhqV2xK8O9v7UB4DF2/wdhA+HSrG7lXzFGOl9XVKJK5 YvwsV+SwmJVCsekrqPNeSzT4dM9mEsB6ZhiNMWCqKtxqQe9D1xfklnJg5k3/S5SbAFy8Af/sSC8v sSh9U5iz83ASv+I5yzF5PfRVVbFTzj+b8AqnD1+M/INORWeGClFOzACi4NmqmrNMeITcFlTvLGYV td6Iia4IWRorwgcRZ4dOAi+NENyawypWbb13niovHeNxC+gV/0NVsW/vgBnl/5oaq0jPSEFQROGg 8lWie3681qT3E9xUt2SN/FARz0qwOksH4gwwS3za5pTwknMPuwfCpB+lOrVv8sl6TzThobEGMM4l jXydllgNH5xWQjZgHPMRHf/3hjSrqJ0cEApajOyuM3lGxhEkFa7lTun6dkvk2+kaJxLB+m+J9LrU peJVu15dnAqCdjga9Nx7+2dNhy2+L30YB2xajKLnHdlNCPnAZZ7qTCDLRtRccqJu8F7h4+ZW86No UqWC8NZQnbrtdzVqxaey5h+9VRtdKc9SZbRz2aODH8foeX32gIGVFBlhrqDJ6laz6/IIuMVdAuch mMzn4b4ovxUDNigcMH/0R1+COT+5z84cyYxp06DiUWz1XCJ5uzva48rLTQ5+bDnL4d77ZIrKdrac oNenLGAdWLrK0M2nX3IRzHz86h9+yHRmgYOZN2PFhv38HwGMG8oS5xqH4ohZ+58VkDwmqK31XqvQ pfGDokRJ+eScn6mKhdr/1Sa+ita56erqUJBuf0EPskdQzNbzHOPFkLoSJvFhC/e2tysDaZKqadTL 3ZbYphJEoPT6NjjWKEru4zGSinrAJiYhPqq71BK6W0qaqIYwCXJ21VKpgLUZIHHQMl07xYJtgD9L yWvGCINmQLBFdTZQKrNumAMu22ILnocUfQ9o4JWNUUiIg5wYsFBjrGPUYj6oBq0YGQROjKPuwoBo NJ5x90kmFQwGVUvDpPKDggdK7+dwke6MAIcEfpNaULYPq+/oa1cEG8UDhhEoHB2BKVseqeLGT+qP Zkp9f0S5pXgeuN2KVKGV3w+J8UnxiGtj8+rmuvxU/EEfNEqEKdJvgkt9Ezy6Y773nfiGB1Dlk/5H WDwQlYjz4YKip79h6o3kVLpR1z698yvfed43cHfeMNZkzj3Hk8JGf9VtilnIybVrMEXqzY3kEZTb D39NBRmjV4PmqM8kIjqgOkSHg6/LpvHzVT6S4V3mnm8HwVXfLk39PxzYX3AP9E0kr4aOM8ESyqxx gGQhfYOUDG6X5+Z97EedwERjHrkZtr/cM8B7DObr6q0tx1foUQiEgWUhZcjh8xs0KafOdMtMbrrZ BT6aS+blpA7LiJf/rAF/szGjddq9yRsT59v3vMnDk5c1h2SMCS1kPD2ewaZhuWbPeVFPrxhD07mP utiVYIj68KfmHToPUabxJLXzWaxM0CtY3k0ejNevbaZVK/RTMwGOpQ77w5+9GzpndXJO3ijoBKIk aEVOMAiY/YAW43PtYqnTS6kpiXa9xfDCY4Bc5RC0WALTq3TBgjlSkjtQChEWqoyT0+yuEJsvICy+ xgeDfMqmRf2YUJN1/INP+Td/EkKj76O9Amx/E/wH8sLxH70u/Qxy+aqg08599++2EckKYwHLkadX iSdMpcnLyxccLzta0KEDE9BYFlnsDSV2/2t7FTN+cCPCtpgD65uvu4dx24BnxFJS4do50lLkWxNx Qp5uJGIBUxSMxwQrY04ofxN/xs2Q6sPLYfsrowxm9Y80vo0srfsU8mrB7bQ4KVhxfvdSo2Fk4iSf IpPhq7uFTHtwZmsfu4C/gGynfP54uxQP4eZvUbgzqLd+DrK084T/EeCWSrw3tmse79QQRJ/C/Rrb ZZez0ujUilMuSyiLUxEcsNZhqqixgKMxJb+7cL0i4IFPVihxNS68xeceG6x/F7WPq4Xnhx7m6MOg LXJtH4VCGwQp6FLl/G0gPvB5/fG5Fh6Sycw4O4tRj3QaSrXhY/ljv72RHOwpm92B7dWv7PVXyHbz HEDpQ5KqIti6qzwJCsX0hCldreNekQG6Iv0pwxBYuwMSigY55IQ1Itxh5w2HAQEhGRELrq2usWIX 8ucwy6lAj2y/HKJYsckGzkILyxDnjlLhnIE637qZfdwjtZ/0Qxgx90mqw18hNIqOA9FJgtiYaj1m bWvhb4ZdorD3qlE2Va8ZA9GSm/RAOE9WsprW13/droR+YHLlCFKn41i8gNcSTUwNRWw3tPbJL3Wc mhN6yX/nlZ5YxBt8iAeBLNZDjM3rt0gJ4KAnJN+EHVO7DMzBFrrwQFXnnRpVWFyk8qnR+BALQUyw t9jJaJZWiLaAPir/CILIRrbWlt0o9YWsd1KJT/qDyMUtsberSONVqje0dmklxaFh6LHgmzGl7luW KQRDzG52hJEQUoniOs1K6hZ704fPCCoc/3kS1oVtDOoAnJ4goCUo50mqsyhd/a/f32qvMWyVM9TQ PGknshC3n2bjWJ8R1aSA1coQOwObsrvyT3naRzQD2YAa4O/jbGDh3YBH2rnTyBlgDXoGwC0mP5je 2MmSRMljtTZ/qtI+HLFrMsVjowOdmG1148mtauKciatcL/ZnlNCUDjQSzTdNCKsqb23LXB4UaoWc RfLgcfVfi57Tfr922c2oUx+WpARIJ0Ho6AN8HMRzrchkvpelKRU9KCZHp8Q9ij8TRe4Pj86MlVVb Y2iQueA2cqQwcC1JloFzt7HhxmKtqSZoGfSpucfAGDgP6g2rkjfYq0Mkfylqq942A02blwKOrorp SkHLiQOY3eYSMtWn6Y4B/Nm9iPj/sHt/qoQqAG3YlRWSUX6UK9OgIYgfCbnUSYYJQNBzX1HANeIt pci3raAQhe9br3XnYIJjvYjWntfe8TWyH/Fd0vxmkZkgbRyvv/s9omDChviiHfD3otgMNCMfiiqU svSUyu/NxwVtPsv2H/Qr1sveqbqQdm8ajuGHzzpJVUKRWv4JLs0SUGZprwI3xxgbxrJPXGpf7ekb VBBcM6AZdKaELFFe87LtFn1vAHypVSbrEjzF803EKPd3CvAd1e3X06ORUM3Rxvah/MXJlfJhDckc 8O4rPwvN1dllWnO5EEC2UzWiVWIHjS3M/hMSbKVEiMmLpoKUaT2fJxqKYXBpZtr01G8UExXQHJw+ 8YtI0ONpOR4FfqAE4oecfkGDXBwGrwwSptNihr8wn2tyT/klWMAPhsd5J9jrXkP6Dv6P5fJBiLaQ 7xc8bgCkkeiuH+/CqA6F4tie35l7qkhcYt/WO+uQCwtv2F4n6gLKoQg+RmkKCHr1p/a6OD4l2ZLf lzaeuL9ahZbFbaDM1KV18L8HUVjL02DW7niaXj55Z1APeiznRy5eMPsNhzasTVX8lgY5RQ/16jZk XkZIA2SF1YgIK1ff8gr5/yTuv01TdeklL3/nzuetZqscsbUsNJfsi9QE06xmQ4lm9u7aYZAjT6Po Ze7pz8GG71KCkxXTpSuREwzFw+/rZeN5w8jALIUncxkeAIrbIvnioliNUleZpswNoqS+wPu7vRC0 BRHeZumAAmVnSF9IdWSF0phPV9t7yAhsLgWMnFId+KVmkUC8pYX8gnFbs/ylnDJNF7LbQNj9FAwH sQme/Hf49PO1IlpBEi5uJlT3gSygQiWn4tF4xYE/e3oU8ewOXnPw3QoKDXjusR1GLhuLLW/6EuMd r/OD58ollhi1wkSMKqWcfcw7u46G92sm2H8giz/FUaSg1t56dMZxLLzDEVY8cysPs90Bx3A2U/i+ pgak0VmW7R5zmHPgGqbgssqNkTAd0aOdzN0oxLQSezNzvGioDCUzN7qJigbdyZ28C+0GGEmxQd3r SkPfXtSG4SpfauPWbUNkChH1xNoCTUEndDIZ9bwC55cxZYDZC2HuInCnxeDpHbXIZo77j7IPf2rp 9dwmWldSD0pFaU5JOdbcLVay+vUsUwi5CQedWW+jR3EzaxyJXP4GG/oXmIxd3Wov52GoqJ+fNUo5 PnwCvcExOSIKAPIc0ENJZYk49MFlubs7AyCt295/RTRJR4C0ZnCVrVpgTuX6fAMVg0+dTlaXMeqD DKVcGLcsJaAdywU83f/z48LWNkygXz71LsEta2qPGi24L2naMvjGdZd2EMDwhvg9m1XpKS7fbxC9 2bjcEKAgRdmfPLGJugf/bWherRXJOY3+tPNWDWI8rQFr9xFdWqmYG6hPJeWI0ZZ5UDSi+XcFO2rY A+EXDVRS0gNFbf2+2i8kFUyDWo74f+VY2wrhE3o2PKsZpdDbici/+CPcpla94l8dZEWMeblJcQ8T fe/ATIgOqtFhK3xkf1Wmo7ubnmGjNSyz44FrWqgO7G6AW5OQYLBOWZVdR1lD5fyDZEow89ASPB1X Ob8aF8VOFbmGoUqfK1mt1kEe7BRrCpBId18VJoJ5JQAxsSNhPTdDh++GyZ/GIypzl9MZ/Bl5GgvW nACdjso7R1wYai5cW4gsrrHDKVig+hvJvpQO1Qu+Zi4Eu0jXA7GFF/jkeCIri5g3Ns28oHwpFZJ5 +atiSVLZtlT5ZCM4ZEwZMlaLpHKppnI/PYZ/1PBdjNaSEzK8t78qQms/UKvM+O6aATACGD9kdq5e MMXFsjzhA881JxlxsIEjqL5Z6Jt6lkngBjgLDMsdm0jniojkgG6vJKeu2FB17UwEqN4zxq5lGAN+ gIMCBAgZYx8Vc8HgwAdKk9gOsy2Wu1N0R9b5bK3jsI4WlV52u0MYOhy8GyLSszQWkcN++o9uWB4m th82JCO+T2YsgcDILBCWILVnL0Yf1ADff1Jq6s+QllRVGfnzWhncu0uX6YVjZuetJU91CkIC1baL hlTER8Tke/VWcgbmjtejY5RMmfwH8wgO1f6IJZIQGNlW1OqZeISaSJVQm20cQUXjrpp77em+Yk7U R/a1ikIdyuo9s18Yo0C6wVuMeu6OSto4dNWCv5Uo4KbvLe/L9tkGCnv3Bzh3G2yxvIr5WSEHZylg IoYlGcPA8UprRYBKGrkmQGTCZL+E5xafhLApiwYJ0quakynZenAgyVqOoqkXtfzZWYITp2u227e9 jzINPIDP282uQ8YyI8RxFlEItfK4wp1iwNsIIKJ92duu/ZIzFzIlimLtYPsmuZyZwyEKd6V1/k5J koFELvg9uOdwroS/fuRsV5U3EXeidznEHMBQXft69EneNYn0EDqoUFFzMrn4UDevbhz5bnv26xaM 1ItD+39QtQ8zCcUgpUowcmgXGv/hkdd/PAPbAGq05jCjMOAcLs8KzYQC5Lq6e/T+w5sjiMlz94Ec WZ0nQDrjqwSl8oeoCA5c/WVlgAv1ST30XdmVEw89txZP+PhctNlSnublCmmpuXqH6Ua6HfxgMu8A BqY9wsp5MoiH8bjqI2Ft0ir3fcKNJp5OXY34JRiUpCii48XtxMQRZn82xjyBCz0gQqr+P08VEhgh g5Z9HAIvDSyQ9ytOnV3dA3pJ/bpcNyQiDKjeBy3dEhO0olDPUrwaqF5n2js0GLIWbhUjmdvbQWsK j/HdNQH/RtqdpJIE8w9TFLcWQmcWGocCfGrOmUjQ9aa5vpV4ct2UnxZ02cEKWLUEUlhlbVWSbYNV VGixeTVbMzsHyVRC4LM8Xml2ucq1lzLQUmduhqnKOwfiyKD1vZeDgOzSSAZ9BU15wZc7H8HSSXY0 MgUGS5IrZOuAcvQ63OVjrOwyIDOnxydGXCcn6CTFmF9ZFj37FiClgDwG5AtyahJzBRKvheFly4Ig w0BrkCW8622AXhX1NvEgY2TTPkrSnL9a6AcbpEbAZRyURUbKhxH6ZAeVoFbI8Add5+uUCxra932p 1SBzSffy5w7q0iNhu7FYbOgrFQNQKBTIKCWX0R9O8tTyo+OQkaPwaUHBSypMzKOIfFe8b8LSjpjH fVZ3JqmigjJlCXOmo3oRuGDpRrb/Y3E7CUyB7GfysdyVFNf8EPlXvl57auO5Oo/XgNNHr5JqMnfh L0krLn2msQA8DgBiohSmlpyN1S7zFY0Yhb4GWPe33faF6EyVENrcm6UnsfujREJ3zcKPQDe0jPhh bny55VXCFpE6Jj8gdE7wIxkdR7McajlycAcvmfCo3WJ7Tkxfql1e2AyEGSaC4XGhb17mi7b94pU6 uvP3JFdvsqR4tfSOCGFLDFV6T+4mEjgAJm88gmLMoqq74BfsSEXnojEiTUSNYpnY1y8ZXZSgHIwN TI0+DTUs9BLtRbj5RMefQsPt3QxLuJNJXlaMUvuI2cz4uOxHVSRM+FKPXpPhRqxSYE2na+GmaW3u JJKkpOXgEePxIZJ8PHOqjv9E0578xt81mws3LXSbBWdi9m5+fdlFAnypwBjmYHs9xgLdVJLUuz2l mYtq2ecLdFlKcRokbAJmgqQW6R1vYEKgJW7ONlCdSQW3Gdfc+yzxiUocnf565K9uUY4LFunzLn4f ebma4AuoJwC2fo+dTKiKfmUB6tycNQzFErec7KUZd5h2rLO+B8YlSONZ7ZTDUvdW/w7RH6YcAKTV A8FeSo2GWBvvvL+yoXYy8resD517qpzq4DQkh+PTcH2BG2TKBqg6MRks300+Mlp886owOn0UpkrG 4jiLJH6zQFgitNxKPR6rWRVgvcM8KbQY1/amwtiHk+9BTLroLPVMyKOcTO6N+NgT1iGuXDIM+N5+ KweFcpSnSU/iTIzUtJ9lD6Fr1s8qcN4AEHvhSgNU0TXYuYsLIVJ5dt1VChlXJlC6GCfWODME5XA8 d/ttuC7tg7kW3VCsiVl//OGBIuqflmPe7FOAHsE7RTela+N9pbQk1b8okh9IvLYA4LBvtKLpjuMi xrCdJUXPLalABcn5Rfrtn9kw0PKiFPcHna4N+WUMNCG4EVkEfCXYBoDTSPFDgWaAAJzOUZFv3krZ Gc5ZyMVIYMa4iUANlJwxhOr60SQYg0kkUsiOoUgM5QR1nIC3IvS3kQ1wwz0cDECsCoZ4p45GxO7x +ZPxeGuzYnS47IXtoJzRwKpFWZoEOpTryZmS0mpJffaLzunuxj9aRxAHYu+lYYCZ1xAyInCWhjxN Q26IhxjmxqnkkGj7zQz+kKXm6wiPMOwjHpmeYfwnPM9/0t2igqdLXtZ8hNYZ10O+0jAXnYeBjzrL ADOZOYHIA9PuRhft5y2RiS4L4lzERPF9HQYzesx9Wlq0yrGzPYsOaAqUJjvzrvczvtWlaDmQ6xK3 otrl7wef60ILMeiY5FCyb2MkdbfEGGoLFx/rQubpJOKDrbjy6TpXePbqkUcXDCAkLHk5aWLtvMqX 8ePXEAtmTsLnIsGYqf45RhSR2PsiOiTU6mvZzdcUQY/goxSI2dMvfMYSlP3dHzbuBWgYsw6O9zS2 ufDE7b2Sj62txhENyU8GE0zvmf1fP/tkxHFU4+n0myxBrogDxgQ+3RAhdcvAFjAUx20Hby3NikRp XKrk/LU5AgkMp8W84Co3lRwEzoJwoADuW0WeZaGs047TKBBgRADrsGoOCQ29ihT6crfherzFMvRF YDe2e31IdZwOIfs1XQ3A0OVyks2bIXxPVa7GrxEYjUTBYpRiWjNghbtUy3ysJbEwTq8+w2F2l6cx OWBcO4zbKCEkxKxErKmyQgjM4G5FzJElshe5nSvzuAIEvQkuAGgRqzUMItmA0lLLO8y3zJXXEU9m QhdKdkJgBL4lGKFVnP5ro69sFm7GPB6Gr6lCiCk7nhtz1t21JZYkBfTPdNQ9zQWEqXFk6FpN6zc2 QYMjndX228P7IkwG2hn1ZAR+fuSwk1Bv6XCWPOFSTrSh8fHL8jbuO3c/JeZmOIvXRDuBfzcPFuw4 7s9RT8fRtgUPMwov59wM/gK+wYO1+3EjmT5aBGGp3RqIS9DUVuvSOFU97sj8kDBcw3lwHRlu4HbF BqqVSZLM1ngvlxEL34dw1248skXWq49smCictj2P9UW7vu3biri/tH50A7QUECk7h+fU3q6R6edf ZGdw56us1PSSAm+gZLWi3P3BGcVRTRC5YFeeXpgRwtASNMpzCzCWou4q2yPV5RbXousg74QDQSyO kTm3UHOfHJz0CIqrs+1YL42S6ImugVy3yPAJlIni4R3/vbf56Kr35YF9HK6461tVO8EKgoP1SrPl RJiCzYR2znh1l973FgzU/paLuPkEWTGlHjQvCDv2JERMjykyx9EsKEVlbIeWteJR/vV981qvBvUg d0Pem1WFVSd/6A4HxE0ezo0qvyh19MVpuW7X9DEAxum4sTsSRccxTZMR0t7C4lcrJdra1yRWmTsi qRhf9IuDITGjCRvI3RBr2fMjRUfYkuIyvJmgSKNk7TzR60FDr/Mooi+cdf8hrWN7yZTbtIPqB6Yn 3K4OF6HyxEwfmR27vyyGuDeRBamvj7cApUJzuylpcKxqrxz27a8M48T80bSJtBEbXu3HeN6iqXNs VjUMAE3F7DpB8RWkSeJBTbWRb3jo6dlZAgASsYHF8eYaI6Z7gOHj2ThwKTjjq9FcuVAlLcgFH2FU KKiS1910Kyes5CqG+c7g+PC9a6LzM+zyQVGiI1/SYgHF72VNk56U2RU9s6PIJarxxoUBAZHKC7px ir2AM6SvvoKnq8b3UKHtIWrh18ureBCLJc3130iZOObOqWDZr4YQgjGqgrmchoYjCqDF8OzaulTT ebdyxed8En87TPuSOV3+9DK58yO/sLSMtrKIPgLe4TnnrRCQf3N9sL3GEQTVGl1CrDPDKlcaILxE hfaCTE7Ba4UmbeH5M8vAKkliIGOLGmD+mjwXlS+uQy8g4hDJYFttvWT6n8OrpQxrdzf5UA43sx42 KQ/gu7ZBymehpx0y4qxYRZkOr1GeGxjAObf8W4RhVT0W8uZBgP51baqh5g9rZ9eduVKCam4SQ68e tsvlXixwpHEi7Imjb5fqquYWXfanpWjygBcP2+TOZnIunskPut2WLljVA/MF88vgbuvOgv0+EDr3 aN+DAxv5/GE1NC5zONE3KI5dYyOzr4AuJuA0rqgJB0dWAkvvpA7FnfxFolUH0awZBVcMdGVPtnqb kLg+P2ftIIb/IsXDHszIVMkor796Ogl+3YloY7Rzjuon3kZXBXdxfXYOyBjpkJkXZQNvMYGwDKsg DnH1PEmigBWQakR4AaO3XeXQIvqmU1TvQ9QOC0kwpOT26JcSfWAy+xtJq7UDCAaraj07k34HrUQ5 wsILj+q6jKP5c1SDQCgEO4PPai4KZTRZXkMNO00S542ZkRNcgHO3NsopMEHyhZb3wI5InZIFqvcX QpvONoMI9i6SmeCKB9pGSbg1t3b5n2Tu7LKHy1B/ETVnOKR2ST10hgxumzwWcwnUQ262Odb/dyOP tbxz+deahQ0PUZBfFpvuJOoZhQWGBoGN9y/LVtUr1iyf0DatX88FD3noLwkLrQt7lpNluq0p2z8g OOiwQAQYW996v4QHSwjLQcxn7Gud2oy3S9mlK15b8SFUcqcm6sJn4jZI94o0QuJrOBigbBuIqYbV nhlcXe9tdu8Zg21eWb2pw6aBQmB3yZd0OalLLAJWsQ3z10vaDiEsIt1vZHRoKMpI+pAGBel5yoHc +myYUK4agnao36jrJmbtetihgrw6NXtAhXK73iXeF7ZD52YzLbMZumr0ErVY2hJcTT6yDFqO8blc 4RbcrnfdOs5dbGgTiwgTBXDis3mTFVM7XJXbqoueKbLNMs66AjXEQbbTDSXf0mmqWIW0mDmZl/Cs wvNvJqp/z5GJNBfTqDQkDD5+qAMonSf58kP44u21va7Hs68soFfEKgYTuTBy8mKJKV4N8J4+kK6B SZQfG3Uktk8p98Vm+U2Dm1AZiwkRlYAveElt62rPGIH5RNIRRqQuEeYHPia0zw1JjzTbQtweiUeu Ud27y45cbgS3xBkJoOn/QCeyzYHT7zbMqv9k0Cz+hJkCO7HULiRCwbzGkx3BhCmcVmHxKc47J11+ A+thtPelbFviKxMwFZrpyWBI/CTgPS5z+W2jtKojoN2OteeKFoC/SPSGj2o0fS5AP7lNS+YXF8iT JVBEqs6OozZGnxFjYbzL2E0hM5Mi3sg4sm+3eN5tWpjY9vaKfwzXpX9EEqPSDExco1adLMX3YfjM jWN2ITRh6AbxSQafn9vwye2He6Uj3FAoNLmrZM6SnxOdwEx4Wb5H5Ify7V1/clCr1q9F3sDxXPDY 67xwclqkNNQbUmN67XBL4JZw7O6UkfNhxw4+CPh8bevgp3tB3C5ghv/s13hxUAP4Ngddn54t9Wgq dg1DEew59XIvzH8qs5vwa1hKh7ms0fReqUGogvIMAmgAUKrfcsF5WhGVAz/Vzqki+6CfUhcOpbFJ eVSPIV/qzRQDWdCshEHcB4MNMrLND5ubiD5Rvj8wSsSD+nZ5gU5Yz0agNKxL5sn3ZL0pl8/zN9/p Fjr/m+H5f2RcQZ9uMBZMIsp1VvrgvJ324tF/tZHbNYRKdtsTRtiWP6igj8lgMoF94A3cIVsM7sQH t3wpOgDZaDhQKXx2fo5UZ5UNX1Gyv6UY8KWEa7s/LtIPqsgdQp+8bKOybkzmqrJFMsIhf44fd9p2 r5q5XCx/S6bjtrbWv6G5c8haaMF8qAUzQsuPQyC1b/SKQsit5nl2KJmpN95WD0I6Dix4P43Bpmrp VYheq3UtkLjGKH351aBdh3RdgYvDh4laXYsxAB3tMZmHnpiZCpn5PON/P8HpHTdrXHOB352GNhS+ am4LvH7iV/dTkskxRFLvNmZ8JdkYs/4LXg+0s3AKSHci813lnVyc4pY9Msf01gqwDLsjufm/p0fz AyPaW6Ufp7EjBgejz6Y+0/ibvXl79tQHMs/N77k6nXOc3OQ0cmtADUL1C2T8MBT0X8xENgTvBh8i sPoKgjkH536NnvjtKtGfskb0zfugoqq25r/FBBsP476JfcyN+Ov3mavAa82TqiFns+7w4k12+4BC ePEM0FB/Sz4DQkMIS0OmS/ynWVaVtgsP+zvKKwHCFDgQIfbXklHyEdKBE23zkToMRY343eNFqn6N Bfh9rgCDZNJUPXZOOyB/r4KvWPxcT+d8m+OOcAaX8sW8+1gzqV187liMyeoPsWHUSj5xywNaM2ls JESfLr5oL2YlfxjFjr3wQKL/6GkEr2dvLRbdb/N92Jl2G5hdmCFR4gmT9cPB7fBa/ge5EZ/wz2WW M7FwBTcQVluX3A8h+pPwydn9iMUn+ntZGn8S/5Kh7L69St5Gs8EFKXuQnTP2w9LRKrMQ3RNolOhw SY3s+M1YG7zZ3RyRf2SOeXUMDSrcUFSukdYXhDJZlYvBznYo/3AmQEWUNWE31OQgs14Icrx4OHFt hhRkmqcsf5t3Y4Do6eTbzkHZaueXiPReKlty2s15KWDttn0VGl/OqRapRROgweieFutoTr3cpaFl f1dlj19w4w1dekW7MQP23xc6ncw1+gghSF+blUzQPnQZrm/mu8gm6NERNlt/3R8PEtyiiwjVi49L UuaejT0ORL/wrwJTG3fkRYpXro55Odn+E49wbpm8LmmxWqzoWKcIHWmXndDrUc1o+dkehZ3OFWxD ND1pmEYg5BFfC3CWg+VRQcisYcVbysGmFwfRpCvALSj6bxOszR2O5/unujRQ+IofNTf8KuoLkvAA V98k0MTFKa7AFRx2HTsMxS4IL46yqyxQ5LQGLqplPR6Ro4a+xQTzNjkQxrrgk8MW2Jphmkzqzfqz 3R/darFT2ASidjWzl8qyvteysRSo38btAc25b0L8CRta6cAMr+XSmVduKgme6FuhssPeeFeENnJF VKEEmaMYqONhGMqpRBTiDgDP6CfyY/lYwjNrtNak6Twd65LbDKxjltA7LK8vUU7NZCeVfQzZtVAC nekDcOaVZ9KqRzRywS19hx/G12XvnHa1bcSWKgsSeo74MgxHQZ36/Q+hGM/ozRrVnh41uihBTxnT PiIb2NPW9ieUV6E7ZOv4LVEfHeDyadNXKvfFsGxOWkVLExPXFPW5hxFUtDjK6SQiqbuJ7wepj/d2 yOWMHl4jzGDe/byhtolXYuP2U4Pw4j7uN3a1y17VnnNHBmO+g4nczRxceQwwmRkxTsowR3gWSl+V Ri2N6p5KDECB+NorwWqHgSOiQa67PuVnUH8RDEJBefIo6kwxe9yZmQ6nC4odt3FfKCz+5dhOXJNB aA1ESShlsd2xxUgvt5YGZTB/LxvItOQa6JG816JvGsVFwP9nbX5cjJ/rFoUJH1P53U6zkufUwJiY R6Nbyki7DnPav/GWvZoKo7j6Id8peP+8eRRAnPsCBt+Id4/gMPXm5iiwa/93fX2CqeKlWXemo/hO MKwaJbXnLWBqJQmHHdsHttHHHOcmTtMaX8bA4Ri7DCBm4AEe3+n74/NZciaVPOETKrRCaAsgSX7Z 9rrR/6p7PdQrhNpm9pEp6Ik2ZWke6EFaDH7RremPL04A9kmSEB4QZNiImuipSnV6YFenDMddadq2 1N1v7rC/uhQW/fpyA+CVvQ1RS1xe8vqzT/y+qCOyWBUJEFfobl8FSOY0znNw1j1RD0OkmqvlU7j6 3ZImgnkBCBBMXBWfi93Ryx8xEewTfHb0VPY7O/BwXmKbldTIptUAVp6W2RoH3BvTSFSh45F5SN7O V7ScjdtX7BJYrPy6MQwE9WCtZ8TAAY2OD8/5GBG8TkbUT9M9LULJCZqO0Tl1P7r1sSL251RGFBbD AECu+veebiEAii2+XTGX6aftYkp9O3GcJxQiioGpN1DAcQO8vLKD4KnTfpZCHmQrYe9Gqd17p7xC TbyigMc9GOcmmHXZNr///knDR09Hi/uPGdaVtYL5UVdhEuENp11vsh5R33apjCf9MJy0GyfOjwKQ cmQX2Glb1B0C6t0/eRobe/kfE+Cwjuz42hOrUDqQnhpSEhhXJ/bMeQzBSSW/Nv5q6tZ9VQUpp0O0 sV5C+2W7MPMdAIdEqU+v8/NOPzg1iyn1Eh/NSZ83nFMMbK+2QrYKrgK+RFT2ZHz7/r8nYI9bECdq l2bYJfmoGK2turb9HFbDMgC/vxOh2MYgsTKg/8fWS9c1+p3V5bONl/sxeW/7YBt0Bx+ZDWRABDx0 wxJ26NZzKWMPaZBz+AUhAhkSpv8ApyIIast3ObFWhBbsyEhP5WKrA4z2rhb/UPDJo7pfCJsXt1do Ex4tPc++cX6IFYXfpZuBSivcYn8yWicppfafsXGeToJLtZq5cQbk1WnbyfUyuM2sOSNu13a2HKL4 WtOaR4gHmdIoJerJ8PsP1xG81LvKuCkc8wsVtHuZpf72JJwnB2e+vKzXITDJ8MghteodZiGZLnXz +7lMNPiUEylaBxWpUKd+EqDvMV4906REZl2sb0M9IubmwTsC7uEFzEMdQWT7/is6Yct5mMbX0BxI ZA9pWd8BbtFiSa1vVNagRiXJmupI9L2Fo0m+NvSTMV/pXj4IZ1q0ICYdvGhRLOUkpezH/XpNSO6v pBuK7wOJodPpYrSGvb4w70yMhIOP+OEZo9cUpQRERcxOavShFT/MQ2vddl1tSIdtYUu8GoxXW9wC esRV4CGNwG7MQbi/XDpwecKe/38DOhKuSiPhj3LITclY4bfjs9U6x5ytfcrro7oKQ/oLSTOe6VvV uAntuZhnWXQD9DeiQFJHYcQqILyrWUOyfzbKJqJkvm74GKgdIsZddqb2M9d4HSMRNrUBeDgRaGbw bhBGHQxO2PKwkFEPRjBzGgyCE/aDvrbCe+X9RirM24K6mcRJRVJ3SI+wRFoITIhDjvxMxT5NrUWI IiC7x8wg6JgIZFbv9m5zbyZDtzaqOCj+XXh0h7TDluqVm+nOgASmh0HdkcHXwYTiROW60VLw/1gh d47nZHQgedJAA246lr0Kvu/wWwsA1LVHCV2ndZ8WVts4APlnBvtTV6ENd5h+4ID8GvinTr6Dvy+z mragm8y8iXKRaO5omNk16HfsXHHrtvpMY3a9zRjX/JGz30aovzzhGTZOfWBbFdlnRufJpKNov8oa 3M6SHhimeOkEhj6B0m53W1nQjbrmTPNK8vmZQlYlD/D9eoLWrRZbhW4dK8/SxmdKoy6HxW7wgzdS L5AqVE5puxnHpsyJPDpVZO11h6N3CVgIxQTrK6H+XmcHSzld3Bd3JFC+AnC5ZsWi6ALUttvqx2pJ 4BZYAmaP5SD4s79SyUUkKfPU3r5fWli4UXxjAfneAtrRmz7FPx4O91xc9zOR+Kkr5Qi0VEBYXStJ Xrmb4ajktxrLk1i1jhR2J7WVmdJhM3aGaXXz86gH4t/8DezdVsN1c9tA9V8X1jVdeho1RqB0KM0L 6HnfQPtcmlURGiV3R8t7cz4h1pYoHM3gJhXKrW0hzRuqCSFnz8FRzVYoD9raNU/esm5sjzWOCyZq j33hwC8LYXmHCD0elshT/zB7J+z7e7e4JkgIlQri84/kQHnzAa59gP9zDVzUVeP9JMV6D3ziJfX/ ahNnLX+0SwAU0827GDPHjyHCK0L1qj8aQEohlRuD5/FZL0diMzknwjOBe0T4JQXVwypBphBK9hgq Qk1dRdIgA5jDpG/xjj4maEf91TrHoOKfo7RkIfo2Pyz1wx40v4sl9KmEFpfMtCG2l8qmaQmvvxid lvhwtlmKLf323VGQcPNWIENuxF2ubQR7J481gwfKHcGt9fwKrpHohhFBm83H8ThJWHRk/weAwFWJ zz0lZsI93ylltxb4OMp7qzERbU6KjptpUsAOu16SUBBD6LmYY1mmZVGHZJP2KRSM9EV4xK8vMLfK 7ButNCiINquIdN+SHfhKPQv4+L0LxIYPeJ99KGXVknVHIiW8Rm5dZ2Tbt2nTUeCjtxurmgEN8RoR WQZxlBnk3YKOFjKEZpO0DWcWsa+v1moTgWp4R9BFtH24kMZZIwXQYsuksvbwvTPcjiMdgOqnel3R vrG7xfHLSjOkhr1/eR8hgeCqIhmXGtY9FjAAzre4YvxtsmK3cZQMtjkKfWKD4fJR1aCJ0l/uUTwW mqXdN8wSCJ4vqkLKkdur9blR80iNOEx9kDaTmSBk+pedqGymQlAj4UqjuznmLpr1+3TVz3pAfOXY N0zPauiBRh9qy4X9rwpnDVOvgxEcy2DTfNZ7nHi1JXBTaohBOjnB8dQ5gwtjDNsMaRIgr4VlshWX W9gKoRgmF7EIWRjISxqU72CgzoCNoytoQiPYdP2J3FVOKYj/3cqb6oLDEgrYPEh36UAl9lgqmiee ZvD6uvqbLO+trrniS2fKSyOXD3sW/ep8U3BPmqj6dR0VCzwVj/tZx11iKeRNnwuEwl7SBF0ZxRtU ETp4urri5J5nFIZ7j5U+FIUmMmTYmAOxaDcJtiuNhRc/brBmugZqU/UO4ha8dsuqraUOS6S17AEM 5ghBw7LqnHGhEYmaGLFR2zmcfHjYVFXqJSS21z2nBP4rAsIGyEzJ6bGW6blZHNqNTcHEA1X4oXnA o6D/RTto6u6wU+LFSZYfoSwiMVT0RGk8emgDk9mSTzg6/chRjav4OIgi4b/FrMTDy5LFkMY/c5se +jwU2lDmH+9VWkytmiQQpF1Z8qSYGlxJnWWlRZIvv0gGIRi0RWVXMXuIJZBazg3q8wS8gQf24T0H nm/VKtbXUUyOiphqdKE+DgZhsH0zvTM6oZFk1CSR42dKQHpW0kdk01n6YWPEZB49ODuMyNhlpu6p +0JjTYPPCy6eV7MbbuZrZtVprClNqNJOmjz+brbec1dNd1Wn5+5qeN1NZ89qNRlKmMbEONGAbXQ/ Uqf/FlAd4nQ58/17u/Lx5eJPNiWgcIFosQ0NAa4b5TIZEems+KU/+vAyQFCfl8wo5zBsCD0XdxkE 3QHiqHIjR8vGvTjfHHJB6lUv98s0YWBFecTvEc6htyWXqJNCpYVxz3zgJdtXL+oyXa4rK7/BbU/q /0cae9tAxT5DyjCrGSbf8JCCwpEgqzwbI/meAkgWltfr7RAFyvrQXNSW7rqWIhCJg/OsWvTYlUB2 XGfgdXb8FEhiGRmLj1FYZxT3FhruvnH3HtCI/OrY0btJFtAAwNsY7t0GqhmE/iCVhnxC59hqnzfC ikyoRWOs74FhGzOGUJ3ImuhuJxfuz0H+uKgjAEKIG3EizurcbUpGOQM7fljD+zDVBBMnFbu8SqRc QzNQVJt/fhnP94+iRsc0Z1AIBhGmpeSDDWABnjmkiLK2Zkw0GV9quK+6yPBSv0bnrUVM4uS9TE+a 362uXfaniH6TYJifSGFSAKvYLdbPlXEqDQwvWr7OlboNwe5YGwr1rWKYJBKMJcuZUBgrxUp9FPq9 L2Bp5S+vDOOIKfuSGZrzoTBAo1inGZ7jVSQiY7BBxuc0xhEwpbHc/GIY3oD46LUuADLvDZTQqQJD KN2qOYJllEi4f2f/XGHDZer7Ggcp5FEnuLwWrzjOgpyOxDrZbXBKBPnUuWrEYNgoGpwqYNSjeQtS /ZdA8MIPLl119+guJ/v2C5h8LVotR4u929d3nyV+VSfj14IO/+1/4glIJ9V/r2e8InUGBh2FCLUE OoYVtHYkwjacaYBmedh0T/qu+py+F5SjETtl8rw/I8XAjHIpcxXTQmoKmdf1xAMCghdKDuQzkXon yapxB14ixc3asBMZdn7gv6iSiniqThbaOVqjAqA3PaPZ+vzR3/8+tUzg9wAayCbr/rPbBm07mnrP jXjZ5U6WtMkcAc5A5ukHQBocwQODzGgjbum2LenabIdiafUQvGO1T6BwOEX3OG30SgJ+QWl0OCPJ EqiP1bT4Zp69j6ebUeUyMmspaBqj7Lc1dylX6sl1qn59N2ctfIkbCVvuRPkOwE1SnGZS8J820Y33 eCYlo1JrUHRWLAb/klYZimH/hA2aypahb+hcYc7DVSTkfqKGr5fAmZY7+GeLUgzIeSyJ0lC/IET7 coHF0GCRgS3qt3xrWaXfcTNaLdyDhyS9reRws7XknQej08vSkrWDf8r7vg53lCCWx2S4PR2tvurB +dQShfWNGLjvbT7uTEJx+l4nIPunzmiYKgEGyTREBnbdf+8Q8VPW9ifQF8y4QJRbBUC7AF9SCuXT xrRtauRxH4HXbaWO5kdPKnjaK4uTl9mgt3QKgg/aFouOB+urshjLw3kNklzjmy3N1qQ1jgI1pNsg Jl7JUBVZ9rABZdEQ0SZONA2CRgLQm7ZlYjg8iY3VFhlT1RS7k4KmVNByYafAltdMq17oJIRW9lQw Ipwqzpp/VALV4UqkrbED2CujzSZIAmLzIYcl2mh9zID42TLGwyJZx2deVDEx9M+lDM9kfe0MjAIu JTmKSrCOfGapLFMjOoz9QzFn5qPuOVoWG9BBzathmRn5Pe9kGVCzW5OyDPLtDUyW8as8l9wHwcOa mWGyPd1hpMJrV9QPLXXXCnWX9HrLx32Q56c54VI6RhJEW5YE6HKOMbjoIULSGPJlQgzB0CPTT6af G187P32i2UaU5d3nD6HDeLncP4h1bZtgLT8cBdwN2gVy7PMpfIRLVfQ+v0D0J1m/sNR2TsudjcAC juzpinKauG+ABDhXC3qFVe47VjQqzxZH7JoZnHmykPhleQYqNmjFOBlrW/shGDOyeW3VthMneIkQ 3nbnhTlfuFPaGMw10JZhsLlQgRxiUTpg49h/yrlxCBJKt7nqtZlEkpTIt5KvyoolyCx0Y81d0gA4 H1bLflj41jyguGwLAuduZND/TsrT/B5QBDjM/AzAAmHR7x4bOOkyRLmmAk0byjNysRtFtqMUwYtn jqpGVtfNiQuWDklUhOegObVKsgMeLtdS80QqGzF1fk07ofHC4FZJ8UH9bLmNwNpuzO+uztxCNBtp QtkIHleps2zGHz2+/mj9U+FTGpLXIzdKKaXCYOdquFPLRLkCKU9O7K6h559IsapGBICT6GuKvqaL nBmMlo/DBol1QTOQ/KMz50iONSv2hZFbU4F732kf6jrkxDZC75crzLlDdSGzz6QIoZwTowAw6of0 uzf/z0Infy4hSb/5IdUEkRVe2+FM+sof8bVVu0alye69wLKWyoXz5EufkAvHRt/4xOG6Nh3UG2gI aU8vwVojCsZ9ykCAzTgrhbSgj976WibKGpea0N2Rl6SIBkkfAomMOn6BP4TQJq4PiDDw95yFAqVS EwV4TVDlp42H9gvZRneSOnAyFPMOc2u3dDlj4EVIspoyWE/+5Dp9u2jWbtjGb7Z9ueev2j37SESH NVDIkNq3TzXmapGN/FMpKHPJqyKaSL7j0pi5s5LfOgQeL14Ljk6N/JwdNJ0LSdWD2TOsma2CkST3 lZAHEVDoFaJXz/mfg1NZKaZRlFmrkcx3EXyVL4/mQ9mEjOMa9gmdIJLw/KOxOckGRXB2tv5+YN4I bHkw6bOfC7bqvCKVvjj2yEGxNphDJop+bTc3H4j0DSNm9t/bVnp4Xb5eFWE5EeVTcuFBIJqvuwY6 K0AINWRdfJsK+tkwGH4hZTzR1oo+VwhSC8o3h/wAxYkzRlTaZn43GbOEoEoKa6eQnDqrh+d/QAnq iWE/C5+KFaK2Vd853ahWutos0jrzj4YAU7WVtEsSsgz2anAIuWH3KrkGp9cKElbB0GgoVNTfiSsm thCZwBsi1kwaezbWlFY82EBcMClSkfAOpn7drQMKqckoC6f0HhZIN35fjniJHFcXuoh8BkRvUxaD mSREOPw4YrH3Lyb3O0z/VDHEQcUuDHzfVTCo0dfUuKlsVStxcv/nWgKRsVQVIR10hbRWI/wTxBbl 1vgrheQfj1S3kUkpA5gSOAshJGX6QwTE9L6xyb3MT87xy4XUJ33SamRsKjx4NoiCu70V502e2hPU 1CMe1tUa8k1PR9jIP4AD6U7p8ZGCcWg4Yxb5PiSO3R6GlVF6Xu4UIyzOBl8JoDr9N4wk51TvJWPH r1RCfo+pPKgq0qkoFviR9HuHO/wwjgkp8c4Pf/kEo+wgFTxv+78i7vsAFQlM0vX9xbG5d7LgBscS xj3JQOd5vac6FzL4ccbmsgnr+l+/DDLbYpPzs/GZGcY6Q0dXt8E2++fdsTEb1NaoZjiMgRbrxIYJ ZzRQ4olMJUMaQaC+ByBtZWk9NbgKpLaqJ4NNcRQc+fEoWyg6tj+HHO87RqYA3dVpbT1MYum/T/r+ 6FvCzv+/rp+LS7mt7dqD5nJpjCNj8wDJQ/6SQJTE0SXub06rHhZzHHbbAjGrSrqy7J7ropPmFIH3 piAbkiaZmH2sM24W2cLY10xu37qnKjIdUq6xyPeNdZcMkCMgnY7t49kK2phdsfIDdccmjAYYvQis YxIQQJoPMW/F+sLGIMX5gdlNmaasAkFbd/ZgomF6xLL2sJ+EPaaQyoFOnnOJLYz7j+FAlr1/dXx5 9gINsnjgF6Z34m2GoJQMZlNuRu5H2g6giiqrKs44Ql4Dedwwl2kapYB9dJGuhleyhIBapAnzh7FA iIsZojj1NFdyMR5Pxy3KaX/vFkjlIEcgIngcdZ9KICwas5+p+oM+K0CsikenPPsIXyM02+pYRkVh HzixoA7SaAQ4GfNU0++C/oQYjuzUzj3qRnx8E/wFB8BNiDmghgYtXKK7dQsCNLigpyC69qUu4dCB /552DS12rTQ2QV/GLTiZ0i3dq42SAgwnIw4uJDfem84mKUUN8eKh2jLCYeetAD6eXvopO23IQmYZ QMumLKXUeLh4f6MjJN2e8HF22S9RQGplyBSYTlE+cbvf06F9KSRE41nThdA9Jz2tm9qANQIhTpg+ uhStoohPwRnR8SiTvpg8R4brG0PAC7swL4tY/xZysWRTXNm+t5cGXibfOJd3gcJslZ6JXriq7Ciy 9cqFXhETP4po1q2okj5e3RkJb1VIzK3zkcPbA/+U1+JeR3+gvQYjspnFl2+IBrIrOkbRKUCS7QQD l/d/7FnONJ+FfqbDW71PiKQiYyzo2G3lU9r9kO3JadpEooB+Lfb4LHKMTbQ1TEEUKE0A0zhQFtgh 9wbAwUd69af18czDZusgXF7767e/IbslXuXKLsmRfjOiGQh/HOvFGam5Y7dPibVC5eSXebDOolj6 hDRL5Y/s/enw6GuldQryY/V0ai9ZDeoOT6i5QMGsPwWFNddKm4a6EibYoris5kMbmK/+6Oamou9d cfa7CbRGsajJT8NAOtaicrhtB29D+iYwcw2321sXi9tZ4KQcbOOnsPfqw6waJgpZpOwqgF/jHShL bSUqZs9i28YrsHKEQKu2rLoFKm3Owm1rMYH3aFUfSCogpF8f996wVebSkEKmc42Qss5mO/RQ62Oe l/vZz9QnArLhpmqdTGCZoCStIOWK8PA/Sv/zA+69uzH/HlfXPpKVDwSTefq9qjnRoM4fetag56Ek mmsll8uiCpv48Rbka16rcYuAAlU9igaX5FGPnGqGXmjBOI630C3yMUYkuOHUcVRzHkYVhg2804nW 8cuhL47THVUnyd8lGlFOOAGLjk5LsqBaBg0+DnJCSvaJxT0A+H8wa+6pG9z8lZz5ERwwCTgc73Tz aKwX3zUFqQiEQWVQCims7WveItzL4Aj3+SSUHoLmSzzhJ2XOHYHDlWC4/JwgGdOqd3CGnbXbZ0eg vtMyvtcoiX0+sYGZi4dURcp2DViokPvw3ddOkJz666MDxSnCeDcfkVSokN6Q2IC30baGmWbCeVsB C1gJ6okyADLbKKaLmv1xiBdIQtQWA5UazlXnxLncXxx2492SGkmQ2WhIg38ZUlVTF/e4ke9/2M3K /4uDlfagCrgLNjIT85mHEAQyKzI4LGeGqkslRxQvjWYrBGM6R9jbg0ogaQafPYRj6P3G+FQoIt5q 3SBtaEKMAf7fwqZ8ArxphiouQT8ljAvJaRkUwgMITLh2GY1ROYGMxEXFClRlxTE7Nt6KgHertVNS /ZrKZ5PGRFda+kcFmthZPaEzdgOgDlRG+NNvhNShWSxNXwJJMfs3e14l9Plqhn3wODZOP0FGKa2A Qs2PtcFubhZJ5PyxIcvSrdoWUa7RwSPv8ewGndJv6y1OsvyrHYs/3wnY/EwiK4CV5343LtbW+JMs oUoZ1+HyQglkOwh6XgbiMlre02ozwk7P8QBQZnHjVEWd/FyaCkT3gSPn0EHepjahSNwtCyWzcQ/I GpF2H5uCRK1C8ir1TzWmV+YLYXRchypi8mgGTBBZ5DIRnbGJHqz+AlZI/dbof6e1x9F6NfNoga3E JPe2yjPwuUIJ0dlVHEaQEuL0MVcBw6SDZWREyG5lenbrmMYWFoHEXuI+zRkKWo5IW0fg9EttlcKi 41yXBOPoWbQl4kIy/xKpfWKJTGHtYh38oJKelU5OqCHQyyHvirHtBKCTSQ8hlWO6Vuxoha+pBiKo QqoFITTOuSesr+mw9LY5JfmMBEpo38rE1HAxDTXbZ9fXY2FeFPLWDoHVj+q4vsiDPvaA61MhW8Da wTjp8DpPgBaGBT0hoRW1o0lI46U8bcZovpwFkFqLSM/BN2D1shgFU/bnAZxT1qPe2zzoULWr+p8r 22OyxY7H7uYxNpf62zVkAcs+vKyt543yK390+1v/4DbXJ3PEpeZ+v36LJql6yYmP8ZIFYmw14ZgH H36+Y4AWtFcQh8ZA1x9WDhJUUYqera5dfLaRh5C7dwqY1gE948nxGuA9OdPcbdOA3AS6cbpPwyFZ StfpQwSKiZ4FzNwJ+7AhqI2WZq7FjhM6WYgUzw7jEl+4wF/vZEg+l9LUdHfpGOoSetVPnDeWzitT KHUGbIFfIKgC/oKqCOmVHz0aPz3x0SjDgHsBvGVVp/zKQqRNShZ8XiWdyT2CIkvDcUsszx1WcpEr Qfe01Gi1vOqI+PcQZgKrzsCk2xLtZayeXQIlaxheL+G2ZoNQs9t1J3fkkG2iL9SUjcuYy2Tqna1/ Hpz+eQwvRVu1Z0qQaHWcVrQMgGgrpxpGYWfRvAP/25zbA6wK/bpohZG4hpo3y4Q2S0k6Q33K58AN K3S961FoP3Y+e8HF94dogKe3qNF5SeZLRL31pCYG7/3URiNPnZjK7NyJmYYIq0t3lVJf1tzqNf9o uGref/v2eqY0ercowwdY562uHBMJ9XQoWl4hNlix0HpBpXg7BSLam9fWKHxy5O1f3K6vi9VDKUAQ KMp7SycCr5Fo9LbhKBz5Q5bjvJz+e/W5yJ4y8QB+brppm3vsxaoFu3GTz3JixtcYud1hmbpQQ1LP fCL4/5rwQ0aNItgW/0vANk8WayJm6EhqMm2Z8EyqUg7365BKekBoz+CRpyUHKJ/GANEKwqnUyP8t eAiDRDyZ0O68zcnsuI2I2IlyjnHK7yGSdRiWMIpX/OTWIVkk5LBOgquevXtvN1+zbXrC9QDDxy84 1QoENQDYjDTERA0HrlrY8u3PYRgmVB69p2e80KpXwMEvWhPVeaJXZDSjm8XfeuR4Rj0zB8hYTkG3 DNBpcnaq6gY2cROZWv4hlE9JAC81v7Gp+6a3vU72CKtNiIaCpEVGoW/xvNgZKwv4ZTscK6/iFk8t vGLTokvZkcQEM6m1jTqX/zuzI7poboIYiNisNu0UKq6ctOyDMpRupIx5+7ageq2PDb8699XJXFGT ZDKbhY6IS7PawtxONN4En0sYqv6xbGD925aDVZz99uYasSacCCRAwBstnKKwGqr+7+zO1j8VsN9t 1tffC81quWQdIHAYp8gP82HkGMQznOzD6+aDnmAMsjE5JZR1wfzryBkCjpBTpM195A0xiVpHtNTY V2Jh0OsncwjVqvCa37/6kPNBDt0xtaFWJgH3H4xmRlpPl3gtdKg2iTOVYeWZnyDPxGDlEMpGibLT mI7sPQCDawga/fm5zawvCWAgZS4OwKKTNncF6Abh2k/9jmbd6xzRz3fE1o6zUPpFpjhRt3cYucez nXkaDf/78+EtjaCW3ZN1swiudBx68HtU3TvJuYHrsDVVsLKrIrUmNkQWJseDD1w/q5FqHUS5trHA gPpTZWlINBz2xF5wQ1jNBqY8O315UxEbv48lzZKhOkGdSigwQV/Y85YF2vX+M3cVKuh6hAFWIF69 bOEjfQelXs33KSgRbi3uBmiea+htF6Op1k99nC5Un1lIiyKh/lw8ja+wL8W4AJs8RykfM+QGV9y4 1dP/BGti6tBUDJlOldgtrj+dOQxgVQKplJVHSBxk4A3n3HQHN0zK8F/e8uGkDrRxxPpS3aCxEJPx 4dRWADZrBSFLrfMm26UBWuHmBAYB837EGv7X3SJX7t+ARqXTm54CGGosAG8K9/Y1WcJRPUJ8Wd/w vFMtlNBD+s3EOXEBiILLZoLRp3Pz5+YZhZEJobZ67FG2nRqtrlJgIpcZqfNVkhEp0W00b1tchcve jk3r1pXWnFwCewZhmmWn8hXVWfat5vsbU8rDzyn3Uc4gs35rL22JNNHsBLqowbV5HgDTiB9sz42G zFJgedNMIXEMAFD/1beKq1L7YSr05bMUpUgHaxEclPNC17pFKpFdtnVvYxCYCqu/cGrWcpPpGVPl lFYkjU6zx7UkB4LHTTERUF79xoJOUozX51BclcKFZCx5/ed6il3DHjSMzK+cXqYV9Iu3ud69rIIY qwEJWiReevOLtqXRg3K0uELp4ckKNPXB3Cmzody/qliBq7gqs01cmfj6Pc8aeIrQIt+hf7gScV6l Zrqp+JolYuqG2C9psEZEmnEvWO3qsJdYstxX7Rc551CfDCEokPwQKlCcQpsfwsvSR+p8BYW8Yn37 vlFJKdCDSVWTCNjvniMlFJ2wb25quXhMyy8/PElFkNEFmIebXRI1TlbtII/CfWDlRZ0eHYneDtvP SIRW0DEktsiaZ63cayri3x7rQFNRF5NImMaTkurOoXy3ipD+Rqf01salPrU5QhFHI0AKRumBunZj iP37iwz/+fjhkOIagSR19lvwo9Abz1uGkcYJSSPUCJsSJHFXMurFuqwxBHKN+eML6Ht/RHgSrNGT QFJoDH9lDodZ4nnvLiOrB7jypJJw9sDcZ9CbjxzwRALkL/1gl4GrY7oBJlrH4K+PVS6NNLrCA/qE EPiUinMmrxuBoVmzB7xiXY+mT1YquFumZOYMiytPDOJiN+mU4G8jsdzA7wkyC9IiCH7j59KaOVLf VU5NSoxozBHVW+D2HC9AGy+ydMnOT86NPTNqVf3KyJChFnlGGDEq2Qwp9ybrmCsLBD9eCoUcqJoW Az0KtiXZG5byDgDwPVzpr5zxgvOzIn7Dy2clHZ4YHwmbMlA5O9NzqzqzxIhTzhAkw/m4q6CxomI6 i2nD3D817XP7if+w0hE8aDkEYlLx7V82PMTj69pqG45w0Cgujj6BI/qG4yur7UJMUPKOmxiXHuIQ ETUwmxjJwnJuYFG6Ln5qcpxrXBIOXREBBoqLgdR2rwGykEnlCXoumKn+Wsb7QlE0hoY+ReMW3eOZ jI0aDRd/1ZvhRqz5hYFRJcytS7nEsDxejInyViP179UzXUJAc0EnxxKypzraqMUDeWpW7T75wMNQ iPDLyH0BVxzgumeh3KNKni9RftKzMaoPdkwT21VFqY9tvImvlUcNgsqzeGNbaJ46TlT1sghjnhjK 6oxQ+2WB4Ep+TQO+j5ZzbAhWc2URAILqAkzr0X3BQS/RC/sB+7aRcoZXylQAENvfx1RtmIWfjja/ fr5aAkY5XheCYtjF3rWov5p6wePTCga1tkoFDYoo0WmVUmUugVtpa1Gm4FlOYAt4XO/xYS2AJrNQ nGz5qxviTGROt1f7UeykwobrzF4tNqOSw7X5tpZjJAzYRCPMimCTvYL/FvoQkmG2I3fJ3tFHg3Ys B/j9VSgZHgd9aA0VxQsmBa13v8TqektxWkUJho4MxxhaC6lucWxqOToGzCjgDtKAEKZVWSiy8HqD 5M92qsz8piuCAaWzk5yd9ilqSu8AaO8lHvwooHRsLHOoKbM2w3ca+2UpCn3uhkgkPxfY4Q6RU1m6 Ut4pFNzsntTDZK+dE/R/ldKWo8Kn3mfB4vUWKgK1IMOHDkZi6fsVth5DkNdWWj6o8c8vzaoB1Gvw ChRxErDoPPh0qUtHKjGK/Qx6zT59pM8DWS8t1LH0k27Na3AjuK9rmtn1IdEURUXPAZGTTWgooq6M U4IHDdeL24lfY5nUXRK5dsoIv02DLrk9v5jx1HJrU09En5NbWraDPao7IE0uw+91fIl3xt5d5SMX yhCwf4LyVUfxOYSPn3xfVz3WIdDym7TEj6DQt/i06BKUDgVCRFWXSYKMZo7JAaosRllIlp3FgDML +xZuZk1lgXrk4hNg5nDL562FVFkSHH1/9iy7xCb1dcm1fQmTB2Qp5HE74OOS8qaGovm0qYjFMK3h rh5Q8VrzCbEMrwggAJxbEvW5NfozOJGGJEJyuKwLB2aiXGq45EXLKqgOL+lznRsr7rmeGOUS2W5Y ukhwKMtpoJUt5sV1+hvnMfyDlgvCVqvZFC9jWCJ5Jtud9Y9+87BYGMe0HbogqsAnD/V5qYExPtKo UOywWJk+ktuJsWjoHUkyr0CzPd/k36oYq3KasfzFXWK3sQ/aNLx1Qh3zMp4dMUvPU1ATmb/qiVdD kr3E491s7if5r9eQm95a5Cv3EFkDf+0RdavZQ6ur+elFoDzg6p1zNnZZA4jfRyoblRpiUB+unhfX qXWO+RXfO1YlCl/s5M9Irw2z4MmfE7Or6E1OQQwVGIUV5bqq/zfzjumCQE5UCuh8xMbZxBDBl0vi Iwq//UCDkNyEkzDf4XNsH/aQ8zPdESa1GUstJYGVJ9irib9NSNl9U2YHfNgvjqMZnWRTk+IH5Ini yX7PvxL7ryiwkFaPab3G9TJIcIYqk0H3/8IjvJ1MrHnmJKH/vzk2xrp5FDfOFAFTEG48yUlXf06T QPrlqrtTREH49jP9lCzMnQYmq75FtyKJnVU9pVeooY9+3f/Cl+2gpuWYXpx2sq9ijUHX9oMqMF7F 9pp+ndt3LVEJZ2YNr88BIzv6x9vLf6OO/qw6HV+ErMfFdvFBLC6DttYviK9JP1B4aJlUTUX82VUJ lbwEYjRfpzZddV8gYaMij9GHvjUBjV8xEWkwdZlN+ZqDsrENp1KhClRDjb+48bRAAWelnF38y7J1 dHKarzGdtNKk+DpnSGV9dDLSH/9ByPIv/ASpAws9c7JCjEntnzAl26//dkE0pI1Ah4BY9khCg+pL uCFVbH+eEly3k8HrtCVCdJLMIzHETEF8As08fXrbMspZ/ky7HsV/Ubp3XY/Tb1QbP524sUsuVlPT qx7ZLNxJgRgJYmAe8RE60bnC8ZbnBDNuSGR6vbn40XBNngQtY6gXeI9WcWNowejx2wEOgtfZlL+y WQeEmETKGsKeQT5qruDFoU4AVBPW5NaFj4T98RJzNY6yAhyxXJ9K6G4tf7ib9K7fAM+7/nd1v8C1 Xux6uhOoMaRqDBdywSNbi+ywKfGLHCeZM9q6Uho+ZItAwKew2e3+YtuDssNEgMtYag17h/ld/M64 iJVTolO/bUlkb0oY4ErfAkFxeF78BAGcPogNJLDdhmE9b1l7XtbIP9GwnuNWAWZ2HAMtKrGbYyFy 3NsblIVOWQs9OLapqtMwVbgQMzz/1qkmkJIZCilMNwgkofKwdoqmTeQS/zeAiyy0JufMKJO00wlr Rt94QFzInwR9lfnA9MWL/Sx/3wBGi9OpPMsSzNvYcmaqazCh+HINYTAjMw+3YuTmKkXgskR0MHvo x5HhDnYTtJ1rLSDQtpPGtUBTTQlrKcABoKArqJbd5rZiKFqKcjJnBiytuwbaz6coJUIF3cIYr7OY NYkoAnmyxMn2KjY6O5uWQvEfB97mkwm56BghhI2ypuRgMpOpsuE1Q2VafWseSiQ4pGFW1icdH7Hb Ps8Ijj5G0xdBcXSqleS9dunw3tJKt4UE7I4+RtchqBmUpVjD4gclnVmpIU5Ywp9tobzTw+NeTXRr KRVq7XFSxMbLzeDaq3TDyyyzJaL2Vd6K7OJ9ghKTCl1WX72EfeKXn27X94t/pCTWGSkl8JQPYIdM lq2UJ5JMKb57uu2Jh5tiq+0eInBMkAeQr+2PXIhsOjycrggVQSUH8/km37ffCOwSY/JkWqGgCV+R TolfkjxCJfwo01e6SoL9jeBHt9HYvq+qSHusLQIME8R5pF7rxt/yweLH4WNKGyFBfJwiCMZPRhGG cF6JibO1ZLO+5gnFRqU8IF7f49SDEgoSFJlNu0SIA8KUHIl2zmqD585SiRuFyQf+721KUN4zYlFS 5TBAEkgUXoyRM8B9InozW7Snew3Iv+LFXFAsofdUgiNuli2PxeKFKDGHkawJ656/tb/d/qd4ANj2 p5Te53XL6DaQKRlfbesGT0Z6tMzQbjuSSNEcbuRB8DZC654egPhCLnsbSSdMq9nKrLqAviFbF7hw aRLI5kt8DvZ9Q+uZmW9EZ7jTWkUJYXltXw9Fmc9mbcQ+oEnVqqW3SWdIC4MRrRxv3KktPTneXBsB WCYPCa5TZyOHsfqPLWN/AQ+KwccptQ0Nmu3LczXezN0id92Vm642aKeRnRFXGwk+VYqPOftBfIJ0 ka+W+ijyaffWs+Yx3yryaopL8YhQMgenBCmtshqF4q5HnOxgdiYxQGmhWlU4Q6bqc6ACJtbYFC78 mzWxCQJxYQkSoR47uQVIuAWIwzuvGtZp9xzcVligBuYqD2IwOCmfb7P4WcN+2Z8UfvJBg+KhaBeV YArw9J/cS6F0ajpHASD4WXGEZh5h2UNshQzHfVKaJOHR1IAEv9sSCk/BmLTpZ3oNUCkWNWOS+Cyx LSqQ1FVevBBZn9ZtAS5kaZtGuUEp6zIgrePa6RL3S22qoHFGLLsMIBcTtTxrlZbf6aUB1IxhS5JM 80ks4PbngPeb9lzBd5ViyWP8y8JdEs2PFY58LITwIktkhER7+QZnlkPrSAxdmyXi8n/+nzWwKdY6 sCyUiZMRotuzLie7YnSbLEkYOmfrCEh7ovDtv5hmxA99kJJ0me9od7RFqCgQ98GGame8NpJtfmCU vPHZ6RA0Mil6rQWboSTKluCwpButDXJ9832wjYUpRr4yJb91MYVJzkaDKsmlASbevXMXejdpY/vu 1p12tKidn+y7eBc82R2Lmv3ACQSkHy1n3ZH33JmYBv+LK35tw3dkMJKHhrbVE8oyv5av4kNT/sU5 yNKOzPGKEybPCD/dHO2jCVg8myiSxnQ+X07grFDWiVQ+F1Z/9KKqSPkSh3bXUZqFcaXeRUkAMLGX qwRMiZ2n3pCo28pSPMHGneM+Jvy/vW2xyvEbgLypH/cuf9mnsNDnaoa8hhuZXeJnQe8FsIZB/31f nDZHL21OsIVet+0pKKGxJzRDJOioPTrvsdNk2YPXz9sH/XtREdi+NxxlB8vRciibvIf5Rexq+/B7 O8FmetAx+hDjHti1YKAJwy+dZJbbquV8P63SfnlcygYVKsiZkn250ni72jscIcgYacfoF0tBezc6 AKlj6oQezl7mVQ52wACIolmDyxl2JclbfBUBE4DlsSPw/TXnMdz/scFeWzMZHRWYlatWC10NNqfv 21Sm+HKpU3Pnh4YkeqiEW82a42zxlKmfU2g/In14a524qdM1OkwILwl6pSbZvArWnsbN8Q5CfEE3 tmyy8+B++X6746FP+SU69XDmjbl+JycENTxkjBvOSYsXPDQiGSL4jVvcQrsWaMG/hckdGUnavsiU XNwJsKJvJaUlFctbbvrioxhIyVlPAXhHRNrd2V7zY9F00ZUcOD9BBSCJA2hMX1lxHbK9V1RofFUE lgD3i9+c0rSSjqdkndt2E8lPHTunubC8gCfnntkD44nfI/J7aql2QKV3AoX+oJ5DNEVwLYX4XHAF 3qGOUXUs2wRgZKM2bRxI66vRVUouBiouRoHkOlatYNe19KQWbviDOw8Q5qX0yoVA47YGZDsp6RTQ FJ3ad4sIJ/pj3f/B4NfOZm5UX8BTizqxW4zDUyqFkcfOa5KJS+7UXY0DxZf4EKbCsy1L2QK1JYB8 nL+7Rxdua8xUP0eBJVDSGoNv+gq1WY4FZ+Pve5alZy9MtHvW9hnFQRdHnKGLqEDfzSQfSMLGzjCX MJXnSfQ5NyX2rk1btjjWU3a+BFHITiMYAgVqlWo8Dq814KRE2S6RKTv8ZGtBus3tFSoxe0edSFrB L/lva4H+V6SHSkiDlReQr2OiWBSJju2/RlmXnm+o9T7w8PSV8Q0KMK6S+/KRxLEvMbww6LZblIyt lkyOvpoMNWOVEpkp3WDTwyX9xQ051Id1HqYUS9rj+jrqwEF62n7k8SDRAPO0ZD0BGMioMVLUs9sI rOyVwbPOmCRFwZIWnd3mZF5Y3M1UNGUwNdzztBDe2g2MSASHmw6w1WH0KdWILwsKBY5GCG3oK1PQ Yz5Ayc+Pt1wbBUTnOvoUYLitsWmJrtSsFGJCPDuy7UL5si1mjdGIr48u03580J7mbDVAzkncEFB5 6mjfdsGTk0yw9PHEWsMAx2ASaftnHXsvgtbbScaVWgQEynhhWlwWEgzn9Wco5Rjs5pXKBnTJBzDc WT570Vnpmj1hSmxJoKe0R7G9EI5crIf6lLIFODr/xzODN+k3KgHDy480JKmFpy2hz+4+1dlyvaue /XWEM3TyTluhlWlXar8yqdSwkrzDL+6cDLAcEV0ZjVs3fIplj4aNtePXI9eSMv4TZAQ+8tTnx0Jb HrToEPxsjCBaSAil1Jhb5eyyTrZvavIMION11o2jboKvPPbwjHBSz9Bk7rX+5XznPc3xMkeevK7g xbO+Y/B4+tHTmq82EmvJBjkTeWKLqnQJUTRnDKIhJwLoHO43ZJmY5ajzyBdfSdb1cJetIfsGmSvN lG9AII+KGqk2g9nzAFIDwkHyNuSnoFXcimP6v5ckjd8X+z5maalF53+QPP9fKC0UYxBx0u701bWv XmNZzNpXHghFpE9gowWOVKn3kL5VIRHvzsa7Dm6QZBjTimwyo8q7obgOPVvdS5MOpLmGXli5GREy xoMYeEq/BwFxFg92OoIwonlQ1I2tUPtQc+x+GgB1HLhcSM0DBvDlLwdeJqiMCJH4+cJz3E9EmK6D /XfuK4McS0BhbVH438zgZLxlRYEZUKPbCabaLYE6qVV7CV3D561jyxvPMn7dy9IF3ycjylaVrZAl WZIXcqthdY8HZrmtSM46GNZ0vapYvTDR+3yko7mkCz1rGIsrQy18BfrRgFM7tVnfo8KwV/gxmZ6y TefHbTbVhC2bdzeCSqvxe/8/0Owkbe7+Z6mTQarC9/r3rD9T6eiQrRYlWExCZW/vYfYlDtMKXIyy hX91aT9O1U1Gu7Wt/CEHhNMk8vrAlIAQwozbd7bGT4QgMVwc6nSES3xk0MULYv8VL9UTdEHEEuEv lRTzGTMhFB6owNccY+1dHVFTch7VAnykBSMFv2DmM5DMWqNY08alNWV02CgPximGSeU0TD6/D7xT SS2WKtbcXU2VvEm3yEeb1+y4F1hwk2MocvAAJBcnAgRlJ+U6TayLu+ArSugITOobN9MSuVRG1oGW MtInv5sfs35lNTnoF5ruLzZw0FNnFYWtGxbsd9HyXXh71E6OIe0poX2IiicqXhhLSZ3tm9otm+hr 4oALFeBScQsKN2prDx0msoq+h4ANuMHdZOuNCmxS/dS+29C/V4GYKOi6HQ3/GE/Izbh4sxddtI9V v6nSBRmrtHFsJC20JZVJJcEnJqyNp6hZQS4plo6K7+wiQn63xu0OjKRpFwTw8sOcOVsbhLosZKn/ htCk9JPNF+m9z5ZYf1T26yxMNGekBWkvfoxZ1ZqXRJjzdrfAY4zkn2JOEWL+0Ifv93PMC6T1tbFR 1Rj9fHjPCIv9b3f58jZ/j948sm1F7hzP32vk8SdxsNzyIL//CrItbg4cBxY43KQmSWmS9lxkPqpn b7JRiohKsr7rtYXlZ5D0TqD9P5HQqo7YU3NHmDAxMr6eH4lwBC5x3+w463nNWBWsY5Cs9fTtQyEB SQmPZj0JaLLkpPGnmzaqiLSQ48ooz16cyKTkqtBi+Sei25QQuizHnbmUpreQtUBM/G5FFasMbM0+ 8U+ArURzl+w4EKK8nUJd2PcgZA1SceB5KPp8ZBVqwhBcdqW/gUaUDX/liXirLI2gpz7FgbIOftDh PIJt9rt+YI6Ercdw0cEzpFN5WK6nY2Zq5m0wtGPJPhqR0jxdS6IAhB2BbQtAMm5MTN1D8G0AFaod Y2Av+FB0Z5DpylEddhi6gtB8jUv+DqJjSazLoe74mTMhEm4ZGF9hyNzCwCN0TmZcUt8OFCCoIUVi mzd6Jj7itJ7VmeX4A2mo8UVaZdxoxe+jMhoftepZWvbxZw8X0KthqoZyjlnXk5OJpEQmNejEPkOU YOgPn5h1Xp1hI2X0C/tGCRfx8wjMWFr53qxW5LzY1BLoHpi0EpAqzvzJIxvQvJZC8Jlo1jSUcY9p jj8P+txevS9S5YSiOPz99I5lgAvhFMrFCm0yuZPMm4f/0nH2frNMIrkTtH1y1Bz68uRtPKby/+IA FqYw01dqnsY9UiUZS5L9PZ/Cws6uNQqnr9u1LQ6YHcDVQdqK2VS/eq6osxHnZ/19SUkd/tDFEDSb 0sLuYng/F+vKyQooozZ4tsOJOdG1c/8BnkDgide5Jx53vn9MaxXvePHgtPxJoboM19vjNsQtbQFz pY4zr213dLE7dFYN3k0gl5kw7+JckIGC8Aw2homy0qxU78yy9gttHb3fddedRZawLW6qFHaIkicR 167Qm5rCfZHoE3UcydpKRWjdPgCn4Q4t+eOAR1CYmVhuXdqO+Laz7MYpSwDAfk45SKwdWaGIhucH MlvMt5r6e/MI/rzfahCFFKv62X6Brp1Dm9inEvl29cHMJaVuK1FGKCiDoTm3f7nyYU3Hbm28kDcQ nUsHQMyTZSEN166H4pkAaCEJ4bokre0U7Oojz4BBgFX8FOUULERb0tC2smeEJGZC2Epok9Bna7Xu lDBjBMrcMaXihUdZ6SaF3j25+hRcp9jRedtkxUBYerq9910fTfBiC7DNdQAzj/0lPOKz/FHFfhob 4aSxYjgB1xcX1cIw5DFhJnCtczcMSjyphlSyW1HoY5kmuG29h4WnH8ZTXD5B/jMoU7MT1uSRxIOf T4vdZY+oR2w8eCpq+cAz5Nef4Twj3InmSODYJyw6CuCIG8TH8qWic5ngfTxvXBF7IQ6l3UMKwgqz klyNbD3/PfgAlgjAalC00cuXIp0zpIg4mzx1pyQ8i6miaWL2Ep3JsvUNh8V01qOqcsUrPHKii2Ey IuCZ/TNgGeUOyVYjcC4i9OcnOBvh9+qrgPnmjpxPx5OIH1J1JrLeV8BMMpDZHNhoO47PxurqtI6d Ckqiho9u6N4Eirs+AG2Djhebw4ZnMdy2RL1OmPOsMi1katFs4EtfFw6VWP+Xj2ueDzY5PO/akxek vawbQpyM0OONYb/fGG2U+MeOcl8mn9H0rDyqI17Rd2e4JlOkCQ0M/6745PRipntru7kfvtzU1Ftt PP9bt+xlORPgzAD/y6hsfQ9yGPYZixkecaIt4EnoKALJ+V05l1ybhBbGaLP3BI90ESZe1oTMbhsp Vm9la4qMCeGtafyQKllN5Dof4uYMQRB0HJUYSaxrH9bSexhvJ2PlDHXCUWUrZOwwJTKHy2u7Gywr er6UOrEoh8KctUKYp6m8WJNTgv3Jt7yART04C6/o/jcIM2EaSUFg/OkJID2lgCQEv/SGt+ximgCm Vl7BFjNJrtcRV6syyShR19BWlzpW4buUsSpntksuGh7+heFQs5PNadQ1hk3ptsCyOC95LKUbwzwd XAaE6VXvLVJUqqf4/IPkzWW6OQKf2Kk1Whyx8gKJR+ptRQCl1Mn46RvXEfp5iRKQbmRQW3KLsWtz FaduaKdN6iGOd2Hch1wKa6S/i+bDXb5O43ePrLpNE9R/0g4u8nNJ8qiPkEUxkZZ19GrRGa1WQ02o 3OeP3qsxAZOs9M+Ti8DZINWbgfa2EHDJIyvdOxf5c3Sx52yWfIxios/hKyb0DXX3mm5p6PsKwm4k F5VQRdF7u+QZk+bk1bjvJohaFcBk9/1ztFyoHeOlG43p80FJ9RQyKb6M/YN6wtWyiPBAhn0nqQzJ v6moNF5CFxhjW+qBnlksljlX9YAEF74w2a7RvFQo0dgST+9o7oHCT3w0IlV2zMWvf/2de4zQ0vOh KzL20jSBtrUxJoitccTh0a2ms1xN5hjbl6jYywOWetoEcaY9qei1dSAZ4ZOzuAo2ISv3LR7ITSzY pir7+TVSS/73cN8AC1QRqZWNrkIcV3K66gkfn6HUwea5aAaGnpNY69dvwL5iRTKgzHdOoxB/cvlH YEylzVt22VH8pWvmB6s5Qo3pN1wkb2BIlXHT7N4fgXBpGc5im9dLDyfvMrftMCGfbS+N6gvzui3F pQk1+vhpPXmt1nD6UETTeHSB6ULdmvedfNoimfpPrXuTwqaeYc2kb83NhYpbleqAllehLcjLJpo1 1b9yyrpGVeiaJnaMSmLtoS+ESPUm8EKn8JFEnqvFWw+pkpTwf9uM2xJEZmlnBCSyubgcVeR4IT3R MXI2wiLKZGJ2BpVNx4iAb3HLEDNo8R5PXF4HdBQKo0To0a8brfdTsenBR0xfqlCLmpXym0dgd+Io mN33orvr+eDG2NLrTZFpMFIIPYrVFgn/goJyichSQM4z5QjJxyC2jJn5EBa1LtdObeEYy+HsXTkS 5azSYtIlD8WDPMWu+/qiXPsLlyDJDoY6DsUeuKhK4NLxI11Dcy1KfArgqnJhudTFj9bOY85j5B47 Z0SpgsFE9mYYHub4Uhon8NefmPzOiAurwzgLgst7slnTeoHbNAF94Zy2OXBZvk7KHkxwXWP5bt9u fXecwsbAMss/UPinqtHkerqTpKfav/VzSt4XLjHYxOptDNrZH77RRlIU9lCNldftz3FcfXqlFfg0 k079oj03Y76LUztPDFjgTgyL9jrqk30scTr2Lzwrhxtpg2zk+yTfIcHOGpLe0/gl8+IdhltnKAMo uF0g5TXww6NDELMEZw9QbQsmgi9WCBt6ak6Jao9c+YO68TzVSo9L0l/0P8rrfO2LqHYfBjD9b+3Z C/To9pVNoWiPEN8yYVeiyxWjlY0GV9q75Ch6PMcyH/YQWHyYcxZf5uZBBsohjmgU76hu312twSFs MOrg4GLtNRrkzxlsbgfALWiu1dNQ1yqzRqIANQpzMgKjwBRoDXAJatnjpkhV/pYewRxqOLJpoOA4 3KE2PAQaALbPkrLdWXrWnYgTI4hTmNdYgi3QJdmRclY0Ms0ErceicXJ4snFO4ZJxL1nl1Nz8EEAd y2Epr4EcIMRIAxRNW8hrELbJoyhZPnIeydw/2mDll8DL94LycKIdMLU/yUQtLrTPNDr0pvcjpEpB roiznGLz7l49NPFbK5rIvbKUsfZzvlt81wzQqSz9OBH1G1nug0J/PpwTkC9Rr+1YjF8GxsXwt9dF C6Vcbo3OWQ47hRRWZacIUH/Nk0W6cWxmriNjN3h8QI4iGFGp/AnJe0x5J+wXWHP2D68+H0pmCcGO f4B3zq8NbqbNChCDqbpYu3PZpW1OA6bP/1o3xr7+NhsN9xDsAp/q/Dg1PTDCcArMzu4I58727IQW I8VuBAPkT+RWstGJsVjjE0CNItS91GZFPJnGKBbh7Ad6WzZhCSSjDBC5f+zvZN/RVKpItdkqplon rw4o98ICzlkHjms71l9kGaPDRC4TlCvSgGrBuBzVtchWEJmW+B9VEl+8iqALvlLRdT/2rIVON9Kk 9Eh6LA/5L0DKYiAE6Pt6YylTRUg5lZ/CAeF1l0Uq8it90eWxO8zyNAhEtm1xJXApjAanSik6QChn k68LJuLsgfuu2p9HweSxcORkw3ghHqXyNvJLV/aSagbg0oCxjOUo9LO6dsXL3N61VNe5ztE0+YJy K/lEVjaSZKULgayJDvsjOyPpeN9kBNOHHe4gSXrRVKid/Ppd5fRmOFI7AeodyppX8qXQRKGFvg36 R82wti/t/Q72/VPeZGaKav1u9efVTd1XYFv7SFc9CkhAcsrNNgBjHp4DXLSlJkeYahIUYuD2gtGH sa4DxqGjczZN9Ae8BY01GJk8bdhrRfDt5+5YQOs0idUdJRXHWHbrsFrXt9Cxn5vMgIpH1gXmTIAK 6TSj2LfPFVnfyKmAfhTS0+h8bHBjcGjPP8JxIwRaKRgGztzmeuPCp0VhpAcdLv3kdRrFnvJdP7vH +iDZKF2V7aSTUwY+WGnGht83QRnmsezKcOgz/cItu14tEBpyzVI1K2kShf0H96UzoMiblYlML5ti ydWQRi5YxakGSA1OlsuVqS48+vgwErX+TtOK2l9O7aG+vWpPMDu9i5ruHxFCj7lF8IGzIza67APm By9SqgcBIuBHVGb8NVLOnc3Tkc31DzlUBmaSA/q7ZdvFTbr7rV8xwkfUk3kC6jwkhtEV2yhQZa90 3ZQjEaH03Gd1XVlfuP/MXKiiXsqcVIEkc1e1G7DgTiKOysTLxpt2FIMCTQtsIYm4zFy8571et/if +aO8Z75EU+rRi8fQOSMDsQVvn/fwitBzlS0eKP+kAJXcTLRn4zCAkSfnCoT2NErljoE/WQCDPmTG vZ/SrFhzT6X4QD4XpKqfFHKn+BH7OHIXI/nszao2s4Eew1ctgWe/VTL8vFOcZ2H92izeHMAa65BQ ovplvdkST3IhSRsaxeCLXf7O/sys+j+sWrXZUYRtF9hVypxqYQ3Y2LRl8ALHQYW+zUr2jhnFebwb Ac5uvvWQEz4lLNUc4r3nV3gCoCct70FxEzWmZNoBhYbCVgrXh65rdylPh3h8owflp6mFD1hhrt9W WsBJvrJo8rj5x0W88wYCTuC3zDeYejspAldCFuD822rZOlCpHF5dyeXGjdr1lTn8AkMpfUM+Uekz SYG3prQNM6ZPqPgSDgR8MsMnOnV8KwkrVx08FnT/EAAPcuVYTPJJHa3kpmlkY07nORfkmSPjOC5w 0EI6UTTR5EX1gjUot+CMBWmfdAqOPVb8hYJJDvUVlZWn5MdziSE8Hd8CAcSM/brGyraU4cRq0Oth 6ZacDzicC5ymSN3LwSP3Tf0SU1/kGPh70VoyqYN4MeEX3Ut1XsXwggWwEMQCGkyWK82QzkfCfB3u 1GfsRuAVGfmtSnjMF63sVIVnAS5qAcU4fm+MB3L2Lwm/8FEg2djc3shhJlEH57wDpHu/2SLZQHqa L28sJpKNRvlVu0UodhygYbznUVaygOZla6Ea9MxnJh7yUv/9/30rFiibPeOIeKEXjMCG6vXWyqst mKl/Rb7qrZ+iN6zdbbLXnjyMSSFyWRik6fn1/QrAq/AzENHfnjSodUeGqUP2yWplOFZ3uKMC/VDF xzDrqyvq7opRJDMGnd0YTrtxpHUbgerv40UAK/7FcYHf2CsNtrHJIokaKjXUr65eJacJsPQm+DZc GarOl28iwU1r9Bb7ME97E5TKcNObXgZnMMSyloyeT9hqIDFKFOhPU1NlINU3beXpKe6GVYMBx/qt mbqUmTlQPMFMHxFuDl0wCqEbqL4GS0caa1Ah052GLixkDqwCN9FuHakqm2h/Yn7rBQaLG06rxfon +Wfrn2Shk+FbPpIak0ltfA7EAczQHG4vViUz5e6UlqlrIf68WapzgNsO5nXJB8qXTr++umRNFDwP PFUFCVvrYKXP86Vcro3w+lSR4w9tH5nA1XxahKGbsaZ/cFzfym7HxXKBxmL22XuZ1zsWrkPBXSsl IlMo+e4kQHlGfoqdfxB0/lBeRL7z5/7migB+U/0/hVbi9wP3irp10OB1qbqDBkUIk+WJCHkRN615 abSPIjyyi6TH5ndsXvaCLi/r6DynUcVeEKfdYc1UJyIYFirX3T6vU7nYTeAU8FJxMk6DuX+BQ4F1 opmc/fQ11PHuOPcVSIJstJf75eqWz8qH4672rQ7QdIn2gSYFMl44AwtV3RdPZgZw/RK+ITZ+V4iH ECUS5jTDVsskocwHJUS1JlmNa9Q1dJBSs8+lO4QDmChFUr1F209VQ6AUhii0zO0sh/JcPv9BXvkX JtCSAteo4BgOHgepfYG+oWKu7xtDOwzgiZTPBwo/bDQst51zZ9WObRZf5uz8Rfh65p9lhaop85pS s5RgpkwQSaTZlObqCNmqS9GFJ4NxKOz5wmbBeNFsfYsWLCbxSSLU7dwsw2i3iVf9fOkHlMGpGFIn PB6Yzu1Ltr+KTUcRtVNpRv0aqbOTe6vdPVlHUqLDLzwCQ1X1OQy3vM7jHGzkHI8i7a0eLPpn+QY3 V3n619hmoNNDswsO8fd6WRaSdN4wCVdqAsJ3TPayxLV9VA65KVne5qMHKqUrhdwcDScn6s9OBR5Y JPlshv3N4NBoJ4JVN3904xhM62Jns2hwqkbWXScCRWBjqBhbsfI8Corj/16Ttwh9cxBRn9NU9DRc DsFUhleMrMIySDHSIrkMj9VowccysKjTdnhNnGKfOX8cHub6nnXBNOtRveuW9/XYKXoPEQBGZygk XKqiYuBssYlegOQKpif91y6G7pSXySnotNILK/pbdDzaLiSkWHbS1L+lYAhh78WB/xOfbmH0gDwS rKvf4V0cHkcOHpcaIJlcVRRn2v3J+t6QrQiWDhTwbrIbmIok66+rt44m+/YhK4/cl6ckmoSkdi72 gZkahzsOnHI1BoEcVeq6eonZx0+RidXWAO8+7gNp/Hf6wXCVJ+fTgqS77da/Q+KyIKNdQpOFG5z1 9rZFy4FV0jsJS+DJNX4yzONsfaGt+hwJAicdh2LYURQGnO10rxleiM539hI/S5uqBfxfRLv478qI gvc1vcU4Z5/udl5wQ8Bpk5yXLvRzcNknPf5WayE3i573StqTiJSS4ASnz3syENauYgAbKoQRuje7 zq1P0OAHR91MiFaFfU/lKWepqiYFY1ItSOf/V08UrwLrCRfMhjdww4yLhy6J1xuMF07gIea5NSWU 1ITXFeHLQ+PTNo56Nw9w3XTuja+nC9bekqPzHUi0FVS/XKCA//MVkd6RPBI3WNbIyI+k3NdNt8QB yMvmXK6xI88eh+CK6eiPxuPvMFOsa02N3wTGh9pxYAag6X/BKDoEvY0tQ0nZ9QsLDq9Z7H7+FZzK wM0Xqj4X8ukbojX/hHWx3yzqJ70d98HoiSq13XIbMk2lmrIEMN7u8MYXkKspsqMcbDU1ZxNAhEx4 dyw3XsgGx7fYJ57l5YQNa98oeGaIZvk+oAz9ftdc/A70gVzBPFaMUFEQK2v5jTNA37aNTHbL/v9I KksBP+cz/dHFR8p6lE0bQvO0CnA4D0Yygaxfs2yJiI8tf3imbdrr6X/Ve5Eyx9OW5zajvNpeOvNh ucIBFNTWTjZ5T9NGloXwEF/xqoYKsJM9ZdPm4W/nNfKPXyKNKHgnLdkhOwXa8A4Sm3PSTN2FOZLy 053ekSzxkeRUYKfz2MA9tgNP2bEvb0p40PrjsjKfy74Qk3h/9GrJM/gwbv4k+pWoQUIWoZpcbz8B Dvbbmb6p2/LuqAEoKxAWrVyJd/iSgamV/kX1K1St9nsIzokx1zd5BkrBo3/+HkbYpL5PDtCofD7E wsXJCrHVLl6J/CNRUGP+NJeAYjWEoTLJKkUZCbsYERoKz54bReEVXxxoKwgxzC4mkQ/igGXuss7Q 5578LwDDRtjA963UFUdJF/ecxsJe3H51jU1rGQMZCK7ul4hxxw3FmfkCI3SlNEM0Cme/xxXHCXPH WBk/Em2XV7YY8mUDgHlu0nohOfvjFURQlY1GCOtYatMiSIoXSsiG/BxkKAUXoRcG6/aLVkOLmqmr 1HVY3sxdpLlIVw4lijXj9v63wfu72RYB1JakCBvMjeUGZqD2BCm/R94K7THokowZ/RkkPATvpDkk FPtiWE1nHPpVO3QKl3X73kc/dv8/0G39M3p7ylKijKfWulbKbRLgQQdiBHotEwNrm5ixgq4zoTMq aHyKN9EK3WCC9pB4gltyCWBvSM8DTphjx/CXRL/fg28KD6jPjPLxqPF3/6asfZiZG3sB41kWxIUQ ZxTcw3rPNlPjrRt6p8lGR5KGscLsq7fdL0mbzi1Z705f63+mGvZyqFunIL58EuE+VI1h57D8QQtP a5hqb+9s6eIEfWIdv4NZbpe3AtqZi+BAR23qV7d6l7UiM7/cplLpJniccvqqIXRZrwJAQgU7y0SL qjHWruXprT9mXKDLzslRiEwg5p/dN6N8sSoMOrU0vhFuMqE9+OHWeWb1C3wBzeviMEI+q+dHa+pS TNPJYtPj6aW5/yuFyftPb4UnzVrd4kjT1iZwEA/oXxnia3/naQ6KwPrSYLatwRVDENKZNs37AeDw /bDtT41Bp5WoA8waYtFMDAX/O3boEzr79SIZtTqpaE6x1YX/J2uX2nsvnIG3GujPBtP7MREfdU+H dCMsmHhDLUrOLpDvlxQGCbBr5ocZB+jGJY+42VGUNRAGGIPB3EUEhzoCdRavrkouOMVvcvrrlZQs he8CepOfBcfv/jhTwa7qNb+/GHnXoEECptsQsQFqRun697uEZknzoz5OvN0YkL53dsnYpG1xfKZS eHiJj3RurpzIOziO3Zgj2teYJABGaySrBky0jqlnD9fTTvf3x7+p22ppY0zb15JibAl0bqG2TCz3 4YCigntYrG8XC8Ap64230wM72+pCLfX7Th2ATrz/k6KlyG38bNrM1+JV/oyDA9FIijanLsTG9caM kL8EaoWRpw8PyPWt0n+pvKxoVfD0d5BtY+3RTJ52LFZHoGfaE9v7ZxWUgtZe8c/9rXDiIMSVT2Pd 0oJOtf01kHrUq7rxO9qsG80gAIQOlSRtfezWQZVmJjQA6UPcr42lJN5R3rJQSSa2iBJlakeJ2O4K pkf2SxtKlMAVy583m0x4T60FFbwgtYIIc5cwc2+9ojSzTY6HW2lPREVo59uVFvNzOmIcBZ+HJ79E I5qU9xkEpxREz8QzjWm3eoqzhIIWl7e8OdVqOObV/3BfaXXn4MBHP9te9b0QKgckoWepLz/1KyNd sgDVuXVlT6O9PjlDsNGYylMIhq+z9NgSMuTtxkITXQKqoukOCt20QPj1X5NOX4BmWWYN3MBTPP7L CdlYAWG68YTW7Zol6eOAbAyrUNfjL4t69MTc1RrJ2F7uw25r8ZpbrMaAIQA93aMJQYMxjsY2B6bz Et/H4rcI4JwFNs988o809mBEzeEginz8RRjnhxrraBddTeAaljUwCNvVBDojgjiWeFQ8Glh/RLjn RJOb4y7DsdDnA+9jxWfsGv0OT8WnNJD8WWGnmoAnjPoxu5hf+z29X2Ds8PKG//ybkpNAykYEtyae VFugx9UNCscaBHjhmzQNV9aXJc+6PobqblonWGYYH/ozW3aalNZnj2gpbAcIvb95y8WyAjVEk/sd wuxSD3gj/sS1a6XipAxcvumQ8uDpqmRrfDpAZz/iQmpiXuSEzbYvO6AQUi/YYDIlaHlAnGqfN07w imCrQ2QwQzBUW7qqrIfXBeYRSi8EpLjlZHzMAouGuPwQSFskT46tc1iybHv9bp2qEp1FkpzsKohP xSFZ0vamj7WwHKNP9uX1BRjbAJVL6dYAQSHb4OYsUDAJ58qNVKKKIX7AjqKvNklcHMz0QM2rOLTb yAvthMZAf+6diBFL93Pg9M5ckDmRADJJ/Z21xyNvk22VWGOz7cn3QgkESQnM/OLBXLp8DHPpP6BP 5UfcRZ0YKRYWEb2EQdodCptk3HRNcNF/imDZUuOcxPYvOBkEFLg9HT/2dvhz6B9jHayVUumkkkBm ROCg/9rIDfdMA1rt4AO8X421GKl8VCB0QfARlskExQqHCS+VhfCb+MCpQDj2larim2lkEZKcbyEF 9A8ULcdEgpmMOsoLSlejxea3VWD66/m1o0DhNzYrlWCGKnJ9s+zcMlhZLqgb6uoBBiCPmdFbFoGw iyLWrgZAz+dawLsH3um5AXlEXBE0W3Yg31Oh/Fv8vV8V5rQJpb4t0ijyZ1Z3l5s4hqXrFw88Pk3p t/6AyXHgXguYdB68zHr2Y3KWoqJ0Ha/HNj658nYkUn7cJseOqM6QgkPXCS0lCYUDaHcVRW+nKnR0 nQOgsPws8aVqfOXfXpoFH9FqbHRhAAgcrSzd2vkfWeWVR705w+GE/XTJFr2QTuSTUFlWwiaHurb7 PtgaoM3ZvNxqB0Uoz/pP/6C4e4EFlYxNdJcDBodPs6uCByhlVhN5ojpIQdqkfPuCy3ViUzSAB3W6 b801s/5IC+3rNWMw0MdhlRopQMuayxwPOrAHsIla514VJ0PXkAISsE1quJ28Og9s7spOKvcKypv6 08Z0VL4GZy+0oPWHaUqU+lliLyvkZ/kRnQk2Rj74EsXpKhnaeuMOq6O/MOkYr74J/+zKtEZVu2ob g9UY5LOSoW3ULuHDBFsGb7EntPRZ3jV6vZach4c1TCeMdD4gaQvWU4KZ4qoQw9DqrLLfaqI2gzly 5GjTtn2HPBekMgQAV3eCE6GRvXX4aeaSggXJyiwTKM+PjZiDLf1Vf2kIGA0kaDe8t6Sr/ZQc4egJ 1EFb5/DDfXA2jjrHFlLSMvRSVPho/gQMTdn9YJSm3sZicgrM8V/6eMT6CzFaeYypUHQkyZrdbEWB Tj+ObA/mfEOo6Sad0ACQwg0w3ANkzqWTOvGSHKjPaAXPo4Um0gZmfcfnwtUZeZytRQS4OwZP5HSr jdiCkD8goFovvFnxCmiKxPLbsNt9/SzZs+lAxNRwWlmDa4C6+lHzrtdykfzUPjTCRF4P9+QqHnnC V+hT/xGtfdCR1Qt6LiCfhJk8/t4FI7CfH2YmXUeDGCB2ySMS+iCYzgSH1xZWUM9oml/jvhS0w78y dtlwLDkLrzAWjdF8vr3Ajjc5BuUKoASM+LqBdEz9VbB+nmtZYjIfn8lBDYzZdziMVgub4ns6QCx3 0jorL5Er0E6Q45YYQ+y9OSH3xHPuPDEjn6Tc0zXt+SHoMDwwdsa7nq1JhMtWd8pgbXZ4pfqZlKJ2 1kkmH9BvAe/NYu/Xi9+Wgicc54JK4FmtrNdgH3zkKlEZQZUcbTYXTUOGCUEquyokOT2J91AZqeKl AGXafYxN4SJ+SkyMq/9BGSKsSGdn3ggrhAnpQc2uyN9MmeD4ybCEcXLQ2tM+HSmHUwt2i8eiBgPe 9nGbRyPfNMx/VNZeSN8w/f6bkO2Zotrp1dl5HdT2yRFhcCvej3xkFVVHfqwwTZG4DZhBX/Uz0kKY LU9Y7f87ayQvutVPXob3LEONlP/cPkwBAdinp2hkLoDGfUzJjSiSJG7Aj5J8RLy/lCNl/GWSA5CA 0Bvxshc52K5kIQj67T9GnCkY3PFbmGa5hFOBErz30u+DyFHBm++7VsZXSMLDJWYzj1zcpXwVe4N9 nKnK96R0LedM62OYPcmg5IRKLTO8HnAiCv//opaKLqeKTFOOa3zP2a9eLPKdEdPE2FXHPL+1hqVH DemgWGsvK1LuP6xdMOFu4qef+nWWKV8udnjoFa1ewpIjM3+FUCuL/KDuEXhCsvOfbwfYfqMrjAph qD4ZbMHv0Ng7kFUCTJMrZI0YDQ1dCEa/rSb3SvbDYWSVMNsygRRsK0n3zaVRNQOVaXplc0Eoe276 5UBWNWQXjkA8zi2uhGew/8fqTFxYCekc0S9IAyHxaXAFen3M2pOIL1IEo/oWoCCzWoiZTxCLNR8l jDR0uGLPsFEaCHWTij2/gQKOEG4u1xTdrriTlmYELBeOFTecxzlBL9K1lpWH/ObAYuLITXoHgJMT eG/KPfvCnyda5vHBY33mFMWRL9HIaFP7TM9QxSvKyXNdzZ+VF+mDxLia34hX2Yb4rj9sst8JxoZH 3HZp8EuXcnYBlBtOQiWX94PM9agmAS7sQNZ1ikk18nAdJ87FEgKT0sI2FFCKxNUlFLjtPbSzwvZi 4ovXtVwA3SuQqaAklR4n7WVZB8XNGOLrgvnbKsS705lKuHTSGEEuTWR01LHTtHurm9JKhHGjqhQ3 znLhy9rzzk/10F4wpSFBKBAYrcFHcuuOfl8OimgnLlkP1/U1XGh8mWl7c7k2gOt+Y/Iai9Yq35nf kHDrgdhtp7W8ruZ5+K/5ZMoxa1hMo24FLVPnIwU9RTdPGVBrtmhVf6IoGcXJFZbNyd1XzZxtiSJA 8Dv+OPetMbRsIjS7NsmDLW6vdk/aMqp86znb1tlK0brPf6TR4VfzGHGuNRN24zhfL0+2ZSHhjzSE OshjgNMfSIYZMXk/HlUF7qrWngRRzpTM4AcmAHnpZY2V6LGlBNzaOsOfhzQG8orgTJCDiukcOJ8m W57M0JjAv8r9S7mUtx8bkjf5Gv7+jkHsctpkWRMi27FAp1+qnYXnhsuv+7UeSSDvp8k7y6OkZxI5 2HD70u9PvIDlMNCX+EfLmD7FvdSWyqviZf2tR6sTnInocADteRk3aJ1fOhrdZvjLufFFyBJHLFuw JzVHtVpN4LCI1247j9e35EsnnQOjPzLCbmpsTGGj/tOsfTPOj5pkWB/GxJdBecP3CQBVW241s7ro m8woZ5EAypfsRX1xD2nlgo7hvJm+ISvFa2+odYvakaawySqBRgs2e/fFPfjxKoEMJwCKryWwg4uH z/EwQszgyTKq2vgY132ShcTnSb/KEwVJSjrVYqF1df98kuYM39MhjZKC4ly25X8Cg1itA3wAfnXH xxQ4H50DCHNnMA1mar2e6iLJeQ5Z/p+RKd+N7bzOwLtKZCB2488lD+suRiza8LLiM9a3rDmgj74O seRieSo3UvtYaiPHexN8uGnrWhVPB8Sb1NtpcVCyqLZ8HDRUNTBiupzYWj1XaTltVrozTBi/IILT FA0VVJwjkyIzQQ+FW6sE/KrG6dD5EbDTUjn59sYMXBuTP8vPfbOqa12YmYgMzYZagidh24JSUhSw zAMHXTOa1G1vovwJ1V0iKRJGu7gMaVpCEvgmzLc/dqDVgr93v+tm6NvGopqe24uiu+VYCVtHDF7F pxrJIzGy0mg6PO78BaUdDy11WXpNhzIvQJI+2AHNTXQjHzcPUaW8PR7te9Byg64RRXdHf5k6IgRy dFSD+iFcgtt3yZTiU/Fh38ZwJCVFVJmEfVhmGoev95cIiT5cUROnmUTCEjeSyzazn6F+AGbLo7+h rv7ZW59WUFF6NLd6pviwEO+fQpIq1t+99uiDDShRhiFSyDcJYPSvwVeDbSA9oIPYHQ3ck7zB2h4P QLbJnf3Llz/yxIs4FpmLRPAuR88GVYgICVp7g1Ocy1xH029sdVB7S3IwmbrqVsCSGcNLijoYP34V H5Ri0ONrohLLG49N9UrD7d6baBsXorcLBzaRPDbpAnOUkN0AtG7w97RQtmMOpNPEiFQlq4MCAyj+ W1keq2OgO7Fx41Z8az+gkghaj4kjJX+6dIleIATgfh9Hj6dx/Zswf7e0uIzvTcf8r9OGyM/558tC qUioQMTKlMWrZsE0ibDxQXVYVeneqMTDl5MFi9AZ8APvmcY84FmzGw0mFQut4+sCdo0wBqDQSFr/ zGjR1kV06jAib033crpjC9j0OczdmaO3cmb7ryRVeYWprNxhhhCLRosD6KyNipaerxsCjtl2HMs+ yTlJ40P6wlI8AU8q2tKwgiXItqBDDcgFYwh1YomQYpIJc2ZNdRLz4vPruJ3oIy+VBv4OMbtxMLFH mrlxsJPqqafGiYdryckzkF5H5JThwcxsGBo8b4aE78v+4RR+SAADtB7FCmoUGEQ/nZ41ZyQSC+1c AU6FnMbyPle2G4et8kniCKKDDt+iIlgulxfpKv74NSHF30N2JLe3GJ6gdLHjMjKePLaHXQWrjoEu xnZu6A4iMFn3soNSoIMmO/2bNCEyPPG7VqMDruYcO6O5M8J8nXiUad83txMMRQTejYjrwD+NG2gP cuayVcb5Lbb+rOq9lbwD4AfoW4e3YerdRzqJcF1kfO8sg2uC9c1Vgy0kDRh983TPWICn+OL8JMiX 0vD1nrxezvpvwRyCgWqUoz2c9i4zVO2QQ+jzX5mpvgNvlXOi/bShTvH3perdv9Ld0SHvNZd46eax G/uv70ao5b919kvwD6gl/0qyjCZ7ZWXiHhpeWDS/01TkACCXOAz98FKPNTp11xUGTAsIx4UlTl2q aOIpIcLQvob5nuvwoQZB5dRR4GE6rtchRRXXoc9N0nZyLZbBSLCMZfjTC7e0l3VPk2PxszBEn6L1 s1XFB8CY6+2752d6/74LEvp1jZc2zluJHnHCjbeKc1xmlWks6dD6/b+m2AL7JAhsEPia5CUWGxpj g8MmRKqPsoDVQlEbSLNayx7IIc7j6fj1WdAKv++BVVINTinl1i2f45+vk787ffxIzlABW+mBNqKm weMjkvaZ1R41hhYXNCzQ/SQL2UR54Q9vZ/xt0A3vHVsrRSMM8LRMEeaEV7bS0OwCz7SQMNUtS5dO KaOODQkKkdJ2pPLIOkbIAw2gP0RZVUEYGDac1h50jsvW5neHUyb6XRN6Fg7ighiTGDyOISc4GlnW oHGkUbB9eGSpOvWVhdDfEmyG26Qea9WCOANpq3oo8TVjR9P/Vo6Agn0q7anftjgjFA8RQAKmZQT7 IJ/hTDn0qWzyfyqcftKIHrTGp0DuL+rb6NLDZIufo9Rw3AH5xcMsLXG30YqliH8SE55ta/JP7Gu/ 0t/z1vm5TH05/3uoURXLRUpcrOzB5COBYPFKKPwp9/wk8Kc69ktxNM/X4AIdSv7dLmSvKmpcP+Oo FX0IgzabP8p7LsKjGAT9IVv3+hXJyx243JEfL7FRfqHf+D7UwqBjI7sHX8cEHEQEAswJEI9FpgsV EMdR+Npu9+gPbnhN+cVk8oA2GYjw2A6Ljj/EJyF7teoi0HLAoYNL9Lg6WvhAldUn628/c6Cngeaq ggVje3qSldcfAEb5Du4hxMiSGYfPcpMydFDUtXs72/Er8HQLnTDAVw0D2Zd54QNBxLh/ubQ34DW+ xcJKFoj3rrjw3gjekPfditfEk9EiJ9JzsyCgcO9Bv3OrL+0Gieb1cvLYNUPDUQ2H9ABzwvMUjK72 vacozJCUPAWgaMzz0kTMZNAYJxrKoKQ8FSz2202L2t4b0qa4+mrWJjIMnBHRiLYKz8Z3TgiDx/ao qEwXTXH1FFUNIos62p+2IdCsF2EaK9D+usvDSD/gUh0BnsN+k3Q54Rx++M7ZSaKCYVmjOtOHJNSa t9w+3GzQfp11oHIIEX+F954UooaNPvc23vRkWUgFwduy6wqlqW7LpNbDUrU2IJju0LXVI126KLBI 3G5ICkQG/SDyhi1mcG35JrA6qNpuKgxKlO6QpUyOoDOf0t/JIrjjugcytGosKWy5yGQPG994vdra uDlxrnwdYtlMwWrwapWV00w+znFtozJjBmRTeTpQEAG+NCtaUSbOxemAYD6+9GX+U3/FjXXVdYOq uqbdFzfChyogjU5gZ7EOW4bkkk6bU3WgzYhWV9twAMFjubRf7b4Lc3pcaUZwPOHkqWJuHg9H9MRy qz8tN0AU+E98bW4VhVtOMlqeBSlfPir/QisYk3zZzhcFk1pIKzRi7YEv/mqL1KO1Nlw6jWkiL+nO fePoVACALMxsIQWMqLMDhQBLY1LyAOd0eH92Mc76snbmIVpavDy0dfxFBUFkTS1kjCEIzxkMBZdE dF5AMKWbGqUuiQTlRC6HOk928Igm3I3eDajHMrWKQluaqsN2Lou7ci/2DiJG46ySZ+ZIIX760wo9 YQ58qoGytlu6xa9cuzkAfHf5QE/b7xwF6vtQhw6z1FueUEwLeEzdrHj1lLSdeXx+COySwBKX1DiY r0YzUMNlZQ3j6guB+sUJ7Dmh/xFSCiDeRIJMqUGtBo5oFAi6Lnk+HMIJ2g3z8lk84CXpAhTDTg6s r5Hse2W0FMjcgUnboQF7NLrp4665IIriLUiBRMQTPO3LRh6gdl7Inozq+gz53q1xpLmjLPNPOfPD QwQXQVICSlzat/WIyNTPnij82UXQEwGOc9n2TRbfol0HpzRaGb/uTV7OGStEn4x7UzVprseOHc7u EWehUOB8/+RVhONTwyXFDtofa/UDnd8WQUalWEsKmLweGE3n3BwzSDERA/SwoCJtI+A6Cta1irc9 nnXW6kv5LamwmHvbI2yyYjlPbxKQKWcVn5Mv+ZNeZTecBgnZFQlca+OEe0pZeSth/ipR8kFqhW6V SP5OgW99xwZWVMlc1YZiG7BtonWeUTOEvyVG005m6KBQirSCc8zIzU23rwL9DfL9tgugfTgmL0T1 KmLtjMhNw6voQ08JevKMDSeNBMOYikyOW+1Nu72TFjQeV9QupBzh4mNZPLHhTFzIMB4EBem642c8 LxXIvsw6uOj0xy/b6cHtxE+9dZ43+hiq8SMX5sMix8I9bPeCdOsbLng9+mNxNvUTgmFZ8ckHzLdx lRxBMe5zFk8sKSvnrcCqjdvdOvsSa28y8A3wtbFi2X3+ehHd9Y1RYcg47q4EgNj/EbI9AMx/oFda 3xwnS9KD+WYYpsTnDBqQ3//f/pWkomiD9gmrolI/dZIZPQ+DLUB2RAjgGD7FxnZnmGokmtcFUwL0 f2PxiAYAXFQ4Q0EEs6tmgoGa9JJVLIdj6Ge84PR9xRhnKYAXjlFxQP5LwhTqODh077kAWZuihwRv A+HBDE788fdEftZ2NJfzDBNDcFmYsk9HnTKzTuif6VNz2+qNQBg7YXFffv7WJHhrVyGJIqMzhtSp NcAcN7cityXUZqxiXIYomINT0zWl8lq1uKB7DMiaY0ulXJHpdg5D1US1AbLrTKHvcltSZqggyTUc lV4w1DA3KKvK3aAcW3GawccuJ+QT5jdiiypWobTdcEoPyRygP7carCAHYtO52NgxwWo478EazjyU FWB5NdO9jbWttd8GEn4MM+rKzBxBCDXWcQJEueX6JtwAJgY5xsknHggpz4vX4zvPUmQkACtGgqAo 9w1F7HWiCDRkBGZhR6ULysYmQDZ6U34GiJnajNWPWD1qUkISAT5e7J/HHxOzvBNaHiEoLQ9xx172 3qci2KFWSy10jj6Vea0S6r7C0xOnwUBpNMYrtgPz0XLdCcVnH+F2AIBv7GkefrcQdS6WVAwbmHIb Ij8WqPaoVzCJOk2yaNEUWVmGsv5K+Zvl+LaMDDK5A37hsXoamOInjd0IYZrIiaS3Z7n6OzlDUyGQ 86+TWkpRKx0octhJ9NOgNq/LlaPVtQLLeENXLIq/Ns5+0pr94LacZJVdXvsBZ1hbFvXUiS+fCql1 VaBgh+q9uRYqigFTHhlwtmg2/TB3hrJRwPn/Vlwheik4b54cXYxB4JeJIyI+SC4XqMp1zQXWBh9h UHGYCVH3mYKoIDu302qQejHhamK3d2baYX/4dtz8aYgb/hO7X0Ket8X64kizr8RIow0L+1tL9L3c Gj+tTa07BunGUJQIespScaGcl4lCB7fUAqL6yoJJJzN398ivEcEDQTD8zm1+dW+JCo5Va5e+Ig2T 49kbyxSbrtE1XzsSmnPuJ+MviEP39HxciRDrwiufoB7aAguZXvgSUoI0dEGwg2S/MqF2qrK1Y7tY CLoTgplJ7sjhGdHW/97KAQpvSAniM4qir9kz1gElmyFPAWivlNaDkt8I232rclR6KKrhR3JJ/mI2 wkG+bDc1HoDjgoeCUZumvx7W91+qY8fnh0vHcVP2Qy4+9PRBweN7IV3/xx/y8lLZ3YmqaVthTtJX kfM9EJAIGx7Rck8MLwIAVBRNzoMb7Xqn3IKrP4jNtgAKhDJndggOxp7z5SsfaEcP4bgIGAHIKBdp ZApiTVof3D4YJeCQ+N3wF2HVzNthmWUWPWoHI/lQZ549CTmSYK+cEyAHnTU1UxNxfAUv30LPyEeL gVmUZ7bAx4u9FOvFWVblJKSGovZ7mBM2hrIsQWc0m7MoBuOq6H4VkYjit6NsbiSVrKTg9brJeE+X iJhs3QcVwVImcWRXoeEa8CCRLe3wh8T+747nOU/Fnez4DL5TNQCveD4nrqxoaVUcb9SEJwLue6VT Qu5G/M3g5Pj9tTyxX99nXOPMw73JLnNkQ7aqXfXX/dKUBN5RiVQ1rlG+uVGpd48uJg77I5ps6qHk YkLb5nLC0ctKir3xKAcmkhD2x4SPltHr2FG40XJlMz9fwNA0GF7dEABbi0WKX4Wgnmxk0laM/P0V pT8VGiMuRdrcAHBYffk07JIse4mtrnv+oerHCOU1B6yIPLbFRglthwYVC16tVWuWCBU/JINiVtq4 vPs5+ZwCFRLdwjTRsDzit1NDSNIeyGtDH6KPlVfYDqKoKPcFutwdAhc1zL3E6QZ36+h8X5xqF2nr LbISwWGqrozXVhZXQWXJ62igMj/ZcXAbh1aGCr2Uef4yifUTj+cRFrYdxLEwv5QP98PnRTSLwTx2 4xBmIstr1aJZAHhbragvzwF9cv5scMA+vVxuKnasck4dXznMHT8Uw94jZ6PGbJ8EBIGWPpNosXSG hsUSM/fid7I6iCacR3EWz5QZRvrFw1bJsbs2kE3pspFCttLo0kKQdUmcUY0l9N+qSfx6at2Bw/0l QHQvQQ6UXKQrWZY+Ebz1JB1PNoDmtzSdMmikTM6T7xezBBHkk40B2ewL1tEeqzID807dNhgCXmG3 RgjBEIzkuc5hOF3Oo99tXWcYOzgNxZStpsA+5QS7WnCNEgRMW5u/c44lekPABm2xswOQHt2W24BF b8f00hWydFST/tb1KHsXlqeRHFu3DQaif9ehtV3YE47vdswRCQcScxhDrRgsANkOzIZqrawMQ5U6 G4Rn3ifPeX1LU6v1SOSYD6sherrr9lX35E1/Lo4MJ3kAXl4TGJmkJj95DDTpZKvMLeSX/r4RRdYs RqmF5zKjngg5X0yAg+gPBMIM2TdWsxvjF14JfAwoZ5FIWODLg0nn7prLZ51rnyUWPKERqM5frap0 A5DDv/d/vTqZCR36xMVr/9PBV/zmoG33/krMIPzP9Y4djhoQyWKUEYVbR2p0rfTMphDq+I9gApF9 0S5OxV27D4odIG/SEjBB5t37Whh05wZlqyKTRBIpvX2tlpGN0hkBj8RNNWv9UfspRDRpLbvUgMpe EVlpGuRyo0/9Zvsk6nI4aPDPoW3y5F0OwiSFVayvCj1lBEOaenmMSY5n4El9SoQHe/Nd8t/Jjaff JL8lxmfVNlIgcj+bTpIZAMQPd3oC5ejQ7pmgEJ1FTvM+SYtUBp5m7IMzrrHme2efVjV0XnG87zK1 +QnnlC+JdQMbWYOyge0VgOEglCThrhj98hDI9x/jrKDddCDTkbuR8JrAp3mTzN7i9Hnzwp6sjSdo iQ5NyAvcQjUcwdOqhAIZS4tUbV5Z3EMGOzV9HZzKrn6Z0vEWzdk267MXweA3bIIDBGHQ0dplNsMs ZBh7sB1R0AzszhlOjTU+49r2OTGtgiUO9hFTwcLt0m+TO7veB88nTbsr5R4JN3G7qdbcqoNjmGr3 NfsUDod4aqPOu6Xh7KwM7bk3Rbc9uiAjVwYpjGHthpR0t2HzxH1ET/pwZRtFSboWbFv42Ealk5LD wxoVcmTKEBe/OfpgClXK/RMdFfmow5Jpqkmj24Rxa+mc2RkYcQXuRPxjNlMbQsnJnkOgWQTNCJLr yOL3i0t2dtfurr+sGcRFfPF+Sm8RdCbomDcvB6DHS6xFj2fgLBPrInnwsu1T8Cc5jKuNdUmTBZRM EWFAPUE/fsmsmEdg4qmdOPv0JsCUyeVNV8szGokYAzILRBaGmLYwwE/s+whm3qxalhajehQd//Kn sv2KCoSP+VAGL/7WZtw7+78Z4lPZTESdGdn5/g+AyqLwHsjsYz1+UYoYJLIh9tk2pVE+r7rhVHQU okQFiZQEecrx+akLTanYUAMueipfGs1pL12fx2mZsb37y0XwEXyGZsUqIhdXaH+9AVlN/3eUToOk iYMEthsiy39+fnWqvQ5xcU6VvTDOST5m0bAtmyxbf86XiLTk840NhmaheOjpMl4dxDWcFxO+IEO5 9YqpGkMV18YU45xMnCDrxhr353bEVmDnKXfNEMQ7+1xQEBC8rp47msVVxaLYXVep4iiNGsjwVOFV sl7Dz53SUUW1fgtjMNJs12yedn/YlUaVQqB5pCJZXyEP14w6dgA9UhJkgX5Go2ebaEHaXrgfwiNE 4lYsn/vuzMAt7CVKuvR4enk7WdxOmYss2tYPLfJkzjBrq1mj1urF0zLpZym4dxX3+Sadbs5tdSBb wKOAUhTseabLlmV9vYWu3GbBtAPJMWejhF8Onc6kJGNKaoIfjlDnWcA0GL3U3Oi5wuHYQtb2yWjD ZmofNe7avGwfp5YHdrtCJgAaoFJX8kgbqr5L5zT3nLcipQjUbtO+ABpmDPhdZsbPcc6QX+PJH8S9 yp14TfqAoV68wF3extdh5B2yJAw7OysZKqS/eHJqHbtZByqcJS8w7onHkZMZBIQO4/82dsOIw+ys 2YaDQjVukyDCxn3thv5X9mLr5OwHNwzHoaA601YbwHtZoBfrnw2dwk54j3MWPw5IDCop77QBoGUA 4Eb7cMeYE4ScxM+f3OBgALWS3wz7HRcGPr2wQBKH2x2KcEKPmop5TQSxPh6i88istaWclRaWcfvN dyIdUDrhKp5c28Mx1e/Om5cvZy9DwnuGq+J9kDiK872i+drbSA1qSUYWjCEeLsjyVrAEaQdVV8CE hxOr48a356172nN0NUhk15vLrdwhL2SU8xEKyVkQQKJ91EARKwPn34j1lswmTXYY8yhcsRYcZE0O T8lDf3GleLPGiZg85+vfB4F6sO581+liuBS9yPYoyb/dfiSYdlZgQxQfzv1rskfngn0IGPggmFQf P2iFPGJNc6DxoFyY5lfLNO2G0FUOm+t4a3wXWJlrOIQCaOlwJJqis09njP/VfWOTmX+ZaIxNIpMZ pAv+u3pL/czz6ASNw9mmzVSNtlL+HSD6Be/6OlBuALT48vHNU7mOMHVYVrWEt0m4dCZJXRD2s82b vj8zNWqbikhwWgnJ7XS3pUOaNl9ZnPYwOjn8QdMtGi9D93Yiw4R/EF3L/sJGyGBCcaoKaNi2mLvO 4tFpjBndPt0oKO7r+Pdjrx5z4RRWFI0Mz8XjOaQI4Vm8CBy06Nq+He6ZTbNE9RYkZZEez9jGBvfZ 0+QtsaRUdvIHP+Fct0CqEEthC9HOjD36dC9gqIDjZz2S0h4tvLUscG7PwtidBR4olWN6gSx8P6XV T/W9dIHrxO8sKv+6EAlf8RzwoHy9ACKVhMAt7PKYXaFY3O7WDJ0g5oQG1U+4JAsNPuVcRcAnmmDS nRHHVycEUqo+YTKE7QUClHw1LOC4AH8osiHFk80xnugAuzngi5GBm8vVLfrvbWqUYfoMFBTiQ9pq K6fD5ze4JSExg2ICa18d9hNf14a0taSTpxaatxDtBFdZErLDZQc3FvyVcaQjhNPO7rwlEN845qkD pWZ8sXcWjKOWWeDAWX1XGArazGFZvHnGe0SzFDHb5P6/OucB/VNLHgargsMKubcZEDfvnwQDcgDd Dvv26HyG97mQfI8Zhv6BBCbeaNNe+Rrc8A1HY/Jy1Ev5ma7CY61OpCZISuEXIxpYlT5rVy2/979H LrOmp8z4G/LEeGxiJMCE5svsIKmY2fy7ly3wNliZIsR4lOfvmw/YGUGsIDlVLpILBScAR1yGMBEk KJKJpXeypud57X7YELcDf1l+JD6oprKuARuO2mDvBZNXP08fJkuzPSMabpK+6uWrcKsm4I9DgyYe jE3sXh1Ss6rZXrOcIo/tKyTsqAcmSBKTebj6gN2EGo3/78u1Iuo1dHUzyO2pmztUyMV0zcMFypeE 7vARRAemyelxxU0K/nND7v1Nuf3iPWER/u5ECflcBU2WnQPZh7wjtL2MOtyXOVngBAZUbCfMdEkV vWNDJFh5PRkAXD3YG7cQXO9BR8w3eCCY9qJoaST2kP3/nk7eh9qfSJVq3bD+N/MSpNNTHpT1Xknr crZnYraai/bwhQyAeg9f1GKt3ncGkgeDBLNIeYBD4vgwgLX0kdFjcpFsprKahMY1Nc/J20VibEaU X4/Qd7V5rhyx1KCgQpZxHWRXApBtIDFm74Kj0j7OuR4RvYRo9FwopBr7tYM6fjV8SACO4+5JBJzZ K9VW12IhHgfFt1IrMZbko+PPu19E9j+Z2W0+VMa7wqai53B6OMHxIaQaU+RkMDO23kyunp4m27GN iYmVWgStvoXOvtXZLPSFo3d2BSTOb3fYkLrE+LwhjoZ9jwYpJyEw3bUplK6M2JFJHMJYztIj8uVh Z/R2zgyGgJmEaOUMsYoafNxlVu0KMPE9sYzz0TO0RNfrJOIzxEQisQLdqA0VhcxXmTJUvZE6us0o 7k3/L+Pm7nncBkDhmLsBmjSfWBx8evCRdP96bOn2FxqNUZvL3nBSULlytZnfZMGhlCeNQ1jHa4Dy vWDFOBYPSWEtu2p9Bia4f0FJ0pu3NbFrExzBsVBakWBQH/nl+wxPbkEFDXBA5AjlW5Q3fVMIPrPC K0OY3Z9gQB2cT/k27sz80luWAtLtFhwQG1e6xdIjqlh8FdoDMp0vuMQ+eDJMs/tXQ/09sEdv6m3D GdT8hBUIjsRz2b2M4EaPXX1qIq4dadZocn4fBtLMi+6TBO2NR/8aVQM0Y53GKVLc1+y2u0vpXXqo 36i91mDVClBrXIc7DilY9k8YfEK7l97o+Fx8bWt7ggnMmYPyqT0WgCBm5CsJOZaFsZhZlfmYv02Z 72OhbI++1047UffYHcAUj6M7KqWgGqqRS0TTiYenKiuCIQaAB4IcEIksGIWapqER85LUNZeqYwtq xq7TJRQyWnrHqRx/z522eP0AbFHSQ5jnwDhJyX2XjZa8vpL3kEBonfBfu0synzjt401ksVbQeDe1 +/CSBO1hvqRyWGc611HKpkjvoDaxn98nJdKMPQpF92ywPy+IByjx7uK5CJcPm66wDJuLhKTfjwvs mhNtljme8PDKd/jb+l+ueY7A1SR3ofWMp7s2zceAYpg1CVwx5VF07jwmMULZG0regeDkzLxt8Hbw Q0REjQ3eT9RumhIxmOkDlaJHnYiwRmyW7N8NjqBHnxQ4tvu0r5P7yTz/6vls9QT+GzKS1DguHqRJ DccVObVZKut1VlucXwItVytXcLX5ab0CiYWr5NHhnHHdPHyZH/QB4lFUcNjw5Y+ZpdkcGQE82H08 Jhe9nBkMYBHYrEdAvRMz8cGh4Ms8YiZL5tfYy1/jd3taH/4oFBO9zWMpYgdD1efbbVUoDcqsxkOA 2UwisU/CA42qWzU0HtpjuDZTMcLB/9uCuhDCFU0A7N34zWBygmxijPMnPRNV3jVf5DujC/nQhP2F 7KXZfDSl7wg6bK8ffPhyPK4M/DtPy7qEmIBuLst5xNn9iAXYBrZzcUffpi4pGLL8S0SiBPXEIN+K Cp8TWt7iuhFE8VLh5JHGVcRbkgK9j3Q+cd2vFP1smF5C0K4Xg3UaDwokvNIsyJDHnRzlBNI+XjlG lavydxeCBeLrhy5QlsXp87cDF3ps6dEw30N4r1cWym1oReXllcsDPsWqDaQaJAoRVZeI1NHVfEr/ Azm7m/mdeF2kqUkHO+2TfAeHLchPloNRmQZfQ8BsAM/rubU9YWt2eUCo4UpjA/aLud+upHeeStEG BdplBZHtBxqgv2wcmyW+B2W+xCSbZdR8i3MbEZI9SePmVQse1TiZMkeMDuDsWrNYRwh/wTGt1Xeu OvP0uSLRqsZFMG+y2YnOdgfaKSxu77Jw6OGiHk5p1ZzAVe4qatA2bEZCX/Capf8UNTBKKU2v7KpW HW96b9INQLpXc0mu5WsH2dEnbAB8plCQFcR12ai0Xpxn6M0haMxAuDpERB/lxOJDF/WYhENJzaNF Ngc2njeXjLDAnqwERGncAWAkeIscaiKRLrFyCeAmBrDnctRmfNDHGUjClEC3Pq1i8BJcqa86CxAn 9UbITUR5OILkGquM3jFrAd1l3UeNoM0SON8GaPZRu2nsxD0NJ/DDFk/bAjlBGm03RWJEIOdsoD01 pRJlp+TqjUT5Iuu6ryXg/As7kYNy7Bagd9hKhqRhJYvXc91s8nYVpfnKZuVQOsSuSy3ynJ/ixXlR 0qqeEotJIeOY2ypH7H4628THMqwQvr0heDTI3cvazBDeQqFyC8fUEHwNyBAxBOwFiKlYxTezkWSU slCWa/zXerg7LTgD/WycX2e3PyoqQrC2OQT4NF8zF3jNTErm18FDtDnGRegV1ipbssSKl0XfRJ+y DNbEQC9JgqDmSnr0Vm4EMvg0z5RMrF1ZbK9L9veluJNJQIR5Q71W2Plqgll/IFfahy0Jexin48ed WL7cGvxVOgMj/xK/TNu0dLL7zbTZyFIOFnqVfgmEhOxs3sBETMbtY4b5JxkmbgKJrk1jBIO+/ix1 4CjtYatkBAnmTDtExnWAhSsSjWSIBD/fBtTPbStbm34LlqAFu3L+hMCZUc2G2nsq9UVV51KCYrSw b1TvOebxFFgR5teM/2Q6pOLT2wjzSJQc2UlysWlLL6fdLt0yExTU/21MwpZtarNvbXFqQ6cmPV3r dsOI3m4ZJQd3+LZfoLiT4VP29V/Pa0apVgLvUyAjrpPrkihYJZFQHvGW5JW2I70sgXqc5APhXAHC Hv6SdElmCb05Fs8vKqjKX/Mf8n7ku9EecMeD2qjx9JavJnrXUGx7QD0SWBd5SVp8XCxZirKAX/K4 zl4RTtHiV2XLc58oG12C3nfOd3Gh2LeUonmTg1hdzKnwsLPhXKUiejWKOBLXczrcMb4NnB0BTqcr hUGJXFUKuFMyBg4pB9rShUBoAsTCyz2MkB2zvrh1Yl61p6oWeu7U2SPBXsFx1mtwno+xjc1Dw+dZ xiL40n+SRgCAVWDjkhsEe3F1Ixyi5qFu1TRBUyqSDUqqVJsxgo8iXe8nWnKSbNEOVSflo/NYoNjS SjxGy4Z+ezjxpjEPa+WxEPiyEZvzJNSRj/DDDKmzJZ2YZtCT5anFMOtmkPrqCMyWF7AFu/lSHnmf 9R4HW1IjAdsn0VDVhwGPGpBzYaUVxt9D6qYvVBC2dND7IW/ZBcCFxsFuGbsvjtibhqzVsDi94qkI IG4MBciwzy8b4+cKaRKoZv6vE1TPH+HGYBCudKO7eH4XiNeAwzKvgJixv+gmj4875Yys1NjfntGL 4N4kGhduORRKrhajOksoQKfy/t34S9QEU8tGb+Ld4+l9oXLTfgQPFkau+8hkBIaeE+RnWJ/cCjl9 xAn81Jtqm7uNf5ldUAwAe/EKc1VfBAzwCn2HHbTWN7T6TiGc47YkzVPGDWPwa9BHQ1Bf1/Hg9i64 qPAW9SiVnMSK60ZyQMniS/VYz8TfPUajLBvedWVJB+bm7G3NXaF15zInt6mocBNAW6ZP9Bma21aw Dzj+kAKX6fQ6bCEvYowapXB9OAjFf62l1v/536nASKrsqy9c3tbna4ot5d7x71ExrK5e2k4QnijM J075AAdqCO3GWY7EyTZtlh8J6Z+WlA2nb02oFxuvzOnIoYBSSo90M6UwVkcWQHLsE8MHeNbyUBuK FeEkhf79A9Mf4vAjbu7k8pw4jICNTbvWErTKOlG/Vi+25hBja3pC9cO09FmpAJ893ButmT0v2T+w SOfN4W97legA5FkoSovgCapcQaYlKG6xN3wyFiG1rpXaG5VO6UgAodvID3WvpeU5irX4l8S3eJVj T+FtgKGabja3XxpZf0VuiUPPncb3WWgrcT0LoTFUTdcouwSa/IGOQSwrSpkB5NiBVKEwjYk7BAx9 VAJrVX03gxSi6Tjwyz82i23jeP9mOPnNdbQMpSdDYpvp6jf3MjLfW976TQc/5PKwiIL5H1/d+Ft2 UMFPJ4t6mDXO/IfOtXiz37ObknKfDqSxwIImdWAGxYEIzMRXHtY26V/CRy1dobbFDU7b2NxDP4tm LUtC4e5HLOutYaL3ZN1pfRR4S7HtjYat4gFINmM2CA6ZWnF1mdOZxs+doYcaG/iFD9+rg6f9xdu7 qztbbYuQPjI2O5KciPnY8oj9ymZ87uJIOCrSB4X8k/SkKe6Cs5DtGoFcpjT2wmhjJ7Prib4ywwqC LRjEcJ4j3cIQA01eIy1+lJlfjG38PpX3DUeM2hXZepZ0DtzNBGYWLYHAm35WbZVLUDy1aqLBN4pe jdL5qd85QXmdppUAlHSbp0hWDjB2zuvLYD1mCd47JZaBHFiQyAjkD67CkapArtlZlZ1Vr21exltv 0pikTi1H1QY2HlZhNN+ZCX292A6xVSDtsB8IrdB/51E95dxQRLTBKwMvLwme5TS/FtMEPdbDrilP qeEkRa9vErm1cRfvzkv/FppRUsefN+tJl4zs1w9d7XzeqFQ+R6TwO403obJj96uwyNV8bbdYjUpG TMyP34wIQ0wERht1Fm5DVtmKwJ5Fa4Xq1PJ16l9gBwWR4OQJ151jxnS5aybQDIdpIAK1eeVBrLs3 d3pPRX6z9WH9brpIn9QgpZBGaK3GcKF4vQgyvCOGjLGoHqX0tinQKxU5NFjqB2vUc4BVF/7Qa99L YwqHI/AZtMzO5Hnq6OaCWCDxznvH/evPANwq4Gx6TuGKoKr1nvvZ1WIIXehBDeVckZe0XOrCOXoh D+t/hPopA/q+U8FDn6gCxaW/77F+H2VgkquhqrwMS0MNYfChzYWMAm/p3c7jIQ6u3Yi6cWiJ2RoS 8/MPk0/HrhpdG60YpKy7EFqC4UdxqGkONgmxmq7A59qQyH3UsJjxYyxVDOfHopCep3aMNoxg6XoS pEl+1oYynmyXaYiMfYq6QhdbN+iSokdP1+p/+WqCX1P+OzMCVBewLbBUZS7wNrKKUvyyKZ4skGdF XrVZptMaFIa/aA73PWnWQTfL20NAHDmpPZjtNl4QQPU+uOjpzQ/YgcWzZYP6ccvbEMCHgwE1l4oS 5ndKWldg68n1Vneb5WyAvREozEkLxfMpvcvH8Wi7rGbX6TzctWBBqswDZoapGDJDLpCJnKgFsLNN i4brJRQY9MLzn1MRY3UDbUm0Z4KjLYo9Fe/eRFHWQzhXep/LfsL34/nHU0w8FoS2wp+mb8rFAdIc oql4NW4chE6i0Q4AdadB4AoZaZBEboX8DO7HeJAPS68lNqCSiXS+HdibxwR6rjEeJdgyzUvKWgH/ BlCIyCykcN/MAeFvNwn2Iwk2Rda43junXaOIiNVHZpx5N8AlCk3K2pgtq0iGm5z15e+kQ22Fqq60 sTuC3SqwDepyGO8KROgBAazeED7h3rf25pl4MGV/RFyRuzXrmWBxV58yWG0eD3MLXmg25hw9L1Q2 Iu49YbNR3FOFN128nC3QYCvx8Isf48LcEZR/6E5QWqo7YNapy9gBLtg0B07Wsy6bUwpL0aGpgcw+ OX7MNtdYF0+OeeNi7LrDmT9yqBs3/SMYLFD1wKQZMicIzrMWuwipkP/nsMH+D5atjYFMgW/uplUE VASGQcj1t6+8ynqAA0X5mjJHw9hIbTqcQVx7vmuF0eXbVBuAPbce0oYJF51BWr2piHXGnPy4D1ht HHOE5B4HhwKC78nEvU0RvOGWAhALDzbFIMim37L64anm5+GI0objF6w/UlgpRHHmN/JsBglHCbpF 91xFg4UxaWLGdSo7Rs5AvoSiwZ8JGN6BgptPob0SLMFy+0hGXw4XC1WD+lQ711o0foZrb+xeFu14 EnYNXt5bZKruzWgdQbgMTs43jh9fpA+CqtUm8F0UsCspeuyhafgUU/x4tnS3gdsfS8owha+TWYsC H/4ViFeXgafWX0pbzTzcUqS9pJ7cl2hOe+HaGnrcIav2I1WQWMAr++cd3o8NeM34JET1h+/4rwG0 VHrRPzGDbRSVc+CL+Gimz5gpzCJzo5cVnEV6/ea0M3dcxjBmyJPamOMZH0e+FVp8SL34ZWR28Vtv sR71r9pXsJSt83fUbGFhjC7Z0nw1GwhiFdFu2y0RsScpgInkpybDsK5Kgs56EGV7LXLzmRfzFyzx xRFh0mBN3qMcjPf4VHnBDAOc+eyeUitkMsmCPZHYsh20tdyVHovouYC8zfiMRyw+DinaeyN1V1v5 NXD9V/ax2aCGi9FFjB9tXvZSUXK5aWYEJkC3nXkdaaaj1BCGnSmRHNS/+Iu+qE0oS0vpXJT+HUwf iY3W40YKW+5VvT5/eqrzqeZ1jQWc56Gxq5jKTQOfq4BqnmwIbnklSjFXdnMhDDtm27iQvWmPrlYO Tia/B8hAQCJhyTnmv1D3RDlryu7jK646pUUg+bujM7Pu6wzsj9ljjSDb9bRvNwS2LXuEXzgxpt20 ocDT3VNyC3sqTHfv9UTZkwzZhNkajntilqgAsMgxW4L8c9F4HBVuLPT+boVZtiDmn9MdMrqRDu9a Lu0odZTrbYWaaTsgdilhm+iQ/Xj4nEZsALs05qpv6ITMBAgTonVhkbHXyuNacRnZwMemTSmDTLQN Ks0IbbiYSV2UJkud4DjKL9+sEarGnjPHn1PtM1PkYZFjBtC9Y8k3jSvJxqSWZ9flCBYfw075suWq niBW4l7VcdpayHTLS/+HivMf5rq6RLglGhCJx0Oi/qPN4X7FnsrUn67FxGhKrYn5Dd1KOlW2I8LQ hBR3EQS+6BwRWFXTLbRK041DqQNUknbccRFT+Z3/OCqwBtLxWd6Ngir23OjhhGrOxH4H7Mrv9E4V alRVVAykj+s7b8greDrLohEU9yzcYi3Ed35OFvmlx8b0Okjb4/xhFJzFi0PGK93nvcoM9zUbcJW1 zl6lHKoq9tNdra5NSPONfoptEZANLKpeMRQZAi5YsFbuJ4bj+ELfBu24bsbBBuBU3cYBqfspjrdm 75CpQVXWXiykV8qUxmnhOCPX0wfWIY7REkIHk5mBsuI9jd1UzwnNggv8wLBvx1TwlIYdvnZo6fBx T/6soSbhHkzqYl7jZRqwyrkLS/SYnsptxeOkOn4DcYCYeRin75MU7VqiYZ55tKkmvJ3s2YZj0snp GvLyXL9RB1cyo4eatqSPttb7bZBxZ0T7vtE6am2L65OS97yiXpQbu8HCl79M8CTR0HMLvbxWQ+9C 0VWwW/EUc6/P80nRmU3ffUVNXT+izUmCmmR989jUETqKTdSM0Ze7dKBJiSZmvinbtEBrkocgoQro yVe17x5Hn76cq9cU53Bx9GpvBbyDy+4lL0rxGftIn5xiSUpdEoYC0Ngr9YfJ/aAXyyG4m96mzorE 9+F/7vTnJL1RxAlHDFWDtCiKuuxFIBS3Dq+4Tfu4htb9+Xq4Dsg1zaldiWAvLin94gBfnCKiK7+F 9EDV43VyptXNctlbUQ+29IZVk0ZG5hb3qr/dfgG7390meMokOgDAN1JcIagW69od5m4KRkFeSksn 6PYe/zCs39v0P+io6Owb7OvoM1Igybq97ViRvHv/Rfxvbl01ou3NSm/PEYkngMcK4SfBCrEi+hWZ e+xLifl5HrFtaG6LtS0FzouGhigA64t4XnF51Al0bhmnqkUEsMMsrJvUQ0QPYjszD9VKeySZP6ia OQijBKVS41pqbp98gHhp7BnT46v/CzHQQrQSRHcmpyg0AKmd/I8CrKobewR0/1XHkUzb22+woiAu Qcb41Xpf+YAdqFTU54ge7t6oPaT3TpyUr2zmvC4v55SrfkXsGcuLAREajWhb/HsJ8cHSvNuERd19 DUsnyL28PfgRk1KceS0QRgx6gHZbHDoquosgechLt8uQx+hX+uOfpno+R8jgz7thS0nXMLHLWVeF VW8INH4IOZlLSYyNBBIe5tIoQDoJ4CLHETrk5PRlg4jqnZtkBFBk3IdGB3TPuhJmb160znjJVwSQ UFHwrjOD1fZ664TN2BZ6nBm3g0IaJlnNDMKRNYdbYsFhTsmbEHT1UkFBR0UtoZOlu6KH9QFxSXH0 od2aoeupzdr3lPjD4NUXHfJjObxHufqaFu6i9zKT8AQvYl+tN2KoVlTWgRqb1sve9E2Ogw1X9y0j srq51dA4DM14sTc3N5VXezx5vqzLDvy/VfSSq5m4x3+Ws4/Mgugm7DELqBIMdZzRLEx+bcRFhS7g GsIDzbL+bB1bzAnjyyk3OYCHCH02CHfzPGRKLEFZhjauW1rKR9J0CQ+jLs6i5stzUEqq3HhvIkYg 1hvaVFZbAbW+7BlXAXvvq5yHckPq9pTwHpMM94hofmDVb09nEb/cAr98qNkoTcaKQy4mAqHP4bDj sWvGIMbNQ0GuDD1OXoA26Qc50l/Exy25/bKWtedUs5uP3Fv1j117jiDqQ6JLnjEqwrOPjIJasRIo VXTdhw/JwYpiPOr9sQ4JNNzFOoXhJ/loawCwAgysQgB28u3I4GTAVWwUXLX/RXsDbYx+Uy+6x0zM ZSBCXY0eCPK1ppWjAoWp6CIJ0gj3JuqVgxL+zB7d51B8raJ3/CqKBV1cns2kLLahD9cR6pJc5TN8 TsAqHoyENzOwT5AWEzxcmz5AnqLPlgmSQOk7lw8lBEQ63td/fmBKqBFso7zcEhdlMQMHdR43W3in +MgEIG0RSKY10WapFz2+npIxFr5/5XzEpfc8FofYXZlKBPm9W+quadOGBUp8jceZfch0oWmjEsx4 VtMNzG8vAle3VJSX+k5569hVMAr5I0S0K+Pl2/y+Oxntj8xfsgTYO1FPtdHWFoj4/5mJElq78IyR 3cDurt0Y10ZghHywEskdYiLEniO1CYRWCQrN4l07kox0B7V963SRgcLw9LLSQtirZJLKsew5cMeV GLK7V1UEYwQgepJT+nSTkrwPzPIffY8Kzi5CJ0+MIN/bXvgZNHdi9CoKGt/9wfG3ypPmkmgg9xva nGc3cddpjEx/gsAbqJy66YN0MiHi/rEm12VmxEpMvXwoTSrhD21MxFLg2LKQCUqZ39woWAYeT1nQ JjJIwH/pqLKTOPMn3xgb6DIghbjY3CzZV15d/74KMT9x2c7M+jVzoWDFW/LzN5jVTkBi/bxMI1vo qh6M/rgjiA8lWtg4hka4DnKwm5yxs5tIvpKNv6n7FL/Qh/AByB9YRwq0IO3VR9VPzmfArZvnT0FS jyS2+D1YYedkDgBqa024u4uRPeR9KVSCO2gaIz+9pw8X/KyWiqDNC1wClw9bkSHZ1EoeapXjndit RuzRsAYteQP7TVm6+lXz5Sz/72xlBCRsh6T771flOkelnlDI1DfvOc5Fjreyf0DEL9JB6XoBLGPN alhXLaTalGKF8TMzEB2J1N6N6Cq8wcaVoPyO0dyu/8TFDPvbswRZmZBglfP0JSi4UT2hYhJfs48T b41xHAMXBKdHJQSx5Xo2EABeKEY9n24/WTPbTjNu70Cz2DnygjFxxsJKKtPg9Ga5ynU0fIzJa2tW 7v4jf2koxSSd/vzLl2ecmwcYVivFdKoJo+PHCb1t5xMKqcllszVihe9yju0gDZR0nF7ILs1ZAWT4 Kp51y9PqPAky0I9BR+RAbp3nY8kNZe4Sv/QqfF2tJfk9/df10ifAs+NxTAsdYN3Yk+M9EFwr4ZbI fNmi8uNe4Pv1pkv5h4fBf7WehOIZLyv1388+m7Q47js/W9tsQw/Woa4FMPxc2+a3OoOBu/0D1eu2 HzDjZjCiUCMBKxDbZLAGyZouHL5BWs1kklAwdqOInDv8OoExL+DxzNQOTXVK+l8JdDkZ9PkadzNW 0mSbotZemCuD10fMC0zQZIN5Q2XifwwuXSpUOjvtgnuwkRw8YIlvazIbGITLIP2TQYbBESKxnfQs OdY+eS9igBzfAFmDBlKAbf7c2AVdN+4Y4GxGO4ClPdc/YnPYiiqVCKDTGDZHQLD24qKgMyqfPPz+ XHkycUdLSYpgLlP/vZ1pjj7h7b5a94GwNBVdBdt3k+hwZCbKvxnJO7StAfkv4claN8pE9l0RQaDQ gzWdKoOykyn8fW3CDdK4sB1ftXTglM5+h7ra0iqKnWx6llhhr7ZKwUGh4M2Xs+XL74/T+JeLM6/Z bNZBc0D1Vam/bgjSz9VnRZKvLNF1TMPycnHK0J7LtxLJBpDQrwt0e/Kf4w//Wn/klMxrGOpkkPd1 2sKFPakLUZSh75CtRBsnadl2mk1avNi1elw6gscKn2akVIxlVZEppansmjG3Y920BP6C/6jLQrKO 8GkEGRrhk8ycwDR3QLk9yr+KYlfKUTbohxX28/5eivXe7ZV9c4xai651pF4ip1PjahzGaGFEGoYz wAClm6Pe11gW82FhCqmdolnwZcPZ3cH1CBp8fRT/B/PG7lB9b27ctjWFGSj1WD8U8Xyu1dNf+2/X DdxBOD6BjviTT5bIeVELVCgmeITZuj/dzdKooML+H/f0NC4irxNU0yZWirGRJkzJnGG79cZZ07jh UyUf6oyKDZNm3xnUlXZd2KN2+p9yFhCbVdrRXBvmqPa6uv/aW4uiQnF2GtOmQNCaoLDn8ZGIhQsl EZW865SKjr0QTXnZeW1s8LerzAVQdVJy1w1cPHcUYBwKuj2OSvNJNGZu+l6Rv61K+oJLta7gJsKa 3KVs6wE9hVvQo4xPFD5oL7EdFNwBp05GLks95pmndDo/pit+Okjc57jFGQ9DesaTX+3Jn3ZqXXcb wwU3FKhkR6x7WU5bisk+GfY2ABvs5Ev3q6FuXy4U/cx+v2uh+DcIR81ysfGamoHGfaBKHfcborn6 pXKKud9W0a5nAwPGe2dCmbxsNVlKoneycwhN6XU2z5P7QZD/03DHxSTxDuEPD4Xyv5STxBcTI9Hq qHLWlu8Gd59vDCLYLbIy+DzBEMgyjPYolRJOXX0jLFr+7xjP85sBNpgvvoVGf2ncF8YOJLWpROj6 7BqsGci+nNS908N9HLsxEalDbcbX2g1jaozg+O8TqIWmWTxhe6p+V7LVW1Ui8vPfIdALkLV41M34 3PVnCYy3flDLhO4OXopNuPKvON2CsgATH3Rp0OYXTuu2DTrMdXA25W8g6gw3ceduC14jAM13zdmf Rf5SbMPKp+XI0pdKNBfENym0niufxkdFUpJmHBFOvSsHY5QMZch0n9gcF7bph23hPj+lkmuWd6Ss KIAao6wuBlClBxIsw7u8H/t5Zr6DnbsY3/A5vGYALWcLe0IDFVDA1DMfv9b0sTBOtLbpjVSSddS+ iGp7g8JRCfkF+8GMggTeJCOruRdzPGaiLuRxv/Gqru3MqIRVANsZnB4Iz+LnMxSc0n/dZBGid559 Z4PvvkBpBhSbmO/ntKeTyn/N5nsF7lv79SZy/K0sxh8jOlhyzi1JbukIkxgVwhJwqpKBd07AL/wk 2KIe1IdgUSrHGXuXn9UU/UJIFz2bwt5nvZrMjvptEbGN5tCFZBYJs7DkYB4FRSH5lvb6DFLKe+CX Z5ce/FY0wEwe856HfyEWAu1m/04PBkHdnRIv4rI3uh8FbmMBtisndbyjCoajCDetPWdKPdBOcPH/ ESINC/ClwS62rRU/mhoQqA/CF4djcSLjqiWFG1moVUdS0gMB9ntEg7DVoE8ud61116ZhpAMErVgl 7vMQMJXpOEV4zrI68AMyhN3jm7nroeGZc5eWVBhxc/Mjm7aD1NOSS6jsx3dPx2u5IJrHAwmvGQio F5PnA5LJotUssmtRTnKFiVrzgA8I50YvUGWVqrcTT1Zaswtk5jaH5JLUWqcee2OMxS2b8Jhjm1JH 7hCw0rbJkUDbZzkfaDSuHY6+3WYYKlE8KZvxg2BLf9ovd3MF3qE9R6ugiGyHHphaZsGmZy5YyS+u i9Toai4ngIjJ7dsruMlFDwWKkNf0JnUJ4ys3X1bRNRcBq10w+QERz/LxrHoGtj6hDPI+147gcfXu GuPRhTVwrrP56nTwH/Mb6rT44vpiKbAnjaOla1p6rg398ax6eh/zAL9B28C5gcxt+tUUffwnhh26 1XDyIul/W8VZeHKAR7yf5uRKDgWrl9DMfqNtHUEFtgpKuNHJKw892HAV30bx3BYiPn892FMTLpjQ T8MqANewcNsvM4rfzLCCBMSZy/NR9e3aqlv4Jhc5c4Zsm0XGSt4DUApCbI5ZwJ+wGj4bjm8+JMb2 dk0UXuJYUS9E3Mgr/9Q7nc7ufYfjYr/fCRnVCz7c8xM8aBKEq4TBjHmbA5HxVjOmkr20XvDmoS1H 3DJ65o2jjuykPMXQZlZz75/sKwedrpPKlZ5F8CleZWCakexsZ9VMFIX9A/nvRVKzeXpvhxrnU9No Whrz98o9lsMgH+xcLAPU23ujNeuIP59NW1K6uHVwk4hqy5kXR4+MGkflCFodkq6H5iSeYtLO3IzU dEmEoN2m7OHdIoqUJBR9+kKR4tAqTfLPTTQ+zR5AKgzS26oTGUkdk5KrhmI66BQh95g5clVyjVw5 SyYB4mGc3mwIFlJqz9InHDIchVG+4XC6vWQ1Dz2Thc6BO6s120c+dgxi+SlccFkrfuDDcOwMWzhH eMtcFXgX8N23JFnncMtpzLiFHyBhamuXfIm1/krcctg+iELgnxkk5P/Qzz50hRi6Z+aUyjaEIvLn H8T2h80RrbdPBc+bshsLW9nnBKuX09ZsFC36IYb2Bmo5VjOanFqu35NRFEqxOSJjUGQaMlnMd3Ji dq2UhwbMoaGn6BKCH8UItJimqNGJRlG3CWWMzK2xZ/oZRV1Qx5scFJpaC9OM2ZAubtg1RFvvwPkD AfhpkZR6PMQ/F/kkdAznpHsr2u1PhhWmN7HYswy2frmWx+gr8PWur0vVXcJOLetaCgp+q2LfxaOo +qGx8x4hkXlZntrnH/QVzokUlrqK6TtFJ5nVXTtRwiWBgQBSUikRzVtrZ5Px9Tf+TmQ/rcFQes8j 3DOHZOHU9514wNSj7cwyDWbNtREaZfwXwLf5qlIK5yIfBfQt8Un6Z+L68bidDzQZIWCNKyInxRt3 w6oGRiOsB80n0WLORBvmJiI8CgyLj4RT5dITRmyQI+D6GX7YRujr8+yBtHgKdHzSA2yMwfRSoX+2 LeyBqHYSUdLRpzDFj9JIVJQ5ALMNh2hrViMyxPQJU5rdbpMIU8gB3CXFNiIE6cRmPUybCbbEfraA DiVr8Dwdz7XrmBgKR+4OYGvZXi1ONHax+NLNSWblk2cXsUptPdhFgS1IDsZONcZVnLqEEZ6dbcgP ICGTSDBOMxP9/nOoRLPcfFbYITeJqqJvj68dCaJURc0L3Ks80Y72GfgbY3MiWclJzkqIyJ4J48wh WgME28d/4ly4IAVGq1iZT4HvbMNNqcVGlCIahQFur+DoKVq7tCPrYKgOCXwIKgYs72aJsksjbtJN uE5nKfHvgWiVQ7q2REkf0DrAMbBzdRz2pwrkwHSRQQEHh1nmZMIez+OcAqEFZCzQarmmbVw0gsQ3 qammEmjYpYwOQ6AayZw1Irg2BZndYjd9/+K05uqfMU4ihlhoDLJT5NxQsRzoRMX4RQ9zug7W4nqZ wJ5MNJEP8lAp7pkv7v8+ZAvzXLbbArlWa/k/zbfgdVrdgKKydNZ2oQdYKqF3wIwGnWQPMOQqB/hi b65/k/pwGTmX5i89AJTFPitdy+050qRwqvcCWRXZNZAFq9zmxfopwJBVTQENldcMheo0Q6kJwMSC UfHr7eZGQmwH/VnDG9rNgfR25o5n/WUeRcfSeoKyNFCPmGLj+IGAeTFmwy6jgLJP5v5x8q9/YAGX Yc1mxWyLqCQjMEfBHKG6mRX4ah5ofdr15ik3C+pvlqpW8qLl15vOzra4T6xlQhvp0Ug3wdauE6eN A/NustFRRiWHXP4ExRCcMngQa5yPlGRmlYZJUF+teup/e2RmlBgCCBtl+YNH3IddsbxSEo+daXWL ldHakil8WZuNE+hBEHW2vNTIcYIYnLSwAF8C1YNUvlcu0npRf9dxYfISNUT2IV9Me+Vbd1BW4Fhh XOF2q84LEPEJEY1y78l0sSjp9A44stru2pL9fAkILj3lQmhZI9MCynoSgBi09zRPsP8EPHoMp4oe qXrmXf086U9/3jxWxDbUAKMoL9QQAFPQK/iOHPfs1SluLjaYAOWUExaYWu/A9asP+jAhTBloOE+7 EJi350oZmjKxc53L/1FT3Q9kksRNXwS8AGnHD+WU7Gv/GhAPbFk7t4eBJk4vwNlcIl6jIytfCRUt f7KIzzUajFNg9YMcfceoFW01BivgRN2gvLlniTsWJbIZTIDpp2xpVoaLbyKnAp3v47gtvnqEzazu atKpcDJPqHI95Ug0zdaOJLBPVMYXOshuNhV40vdb7+CpUZyhKIkwiqF26kkbdP+za3Km1/mqyCX4 UnAAncjjbB/QB6cktiDRv6jR0ZbLvEjGeDQfL7HGV1vEneviTOPIU6WmQictbRXic8If5mRNIqbW 0SLPW0bpYR+VpdO0X+NRj9VH2IaYhZLxTgj5bgAU+IH3oEXMwhBfUo0Omb/mZNxYQQx/hiHG2rEU ka7x93Wktv2oF54eT4j+NEfObOlMDMK91S3CNjMr3aLWcHJY+DgMCVK7AjpDeKQAiFGVqdOkKYIH 22X3AmMVCedHVBJHWFCdH7x1r/RmuJkehNGnNu+A5biLMZJ3wwBaFSTAzZ6RldyeQdxhLaFOuM7e HT+9+snBYX1favHr0uMLs7SmmBLkEuYZRfnwwhX5D2Ttw5pihz6YMEzvFvIQXah3cotqc3faq+fX 4RZ+MksP4qd+TmsmWa6AjAyslpcpKo81Yt3brXdk+xb7xKJsI1o4lVuXAHSdOAwtBi3DQNOx1ui6 oYRKDGCDwLqAZLChuv6rFJTAPzSPj/AEmF1PQ52lQZCeh7WLV9/VFBlkRkSkOAx3jJmotIgrXJS0 CpZgfq8YdS5IFIfaBy0/nNcunIoXoE+u3JXoFtiPtIm/J0GOC5Yue0E/BQxxhki3i+hqeMFVoG9L ltoe0+qUYxndhvvKYVo5a7RRtUqYtvqfLEWTEOdv89ADPwzEXGwDAt+fV4ns/uV7qpwhEqRb7GDo 0USi+b6KdfBqPorEdoPKM7mISSoXibtJw/gnYspAjMkYmcGQQHIDiNZqIy32PXrxtpTxbitce359 xh60o4V2i60Hl9rnk98t2JEGzJVwqOWRWN6B9mgQmyYiZGcbszkkyB/PQQ0YZxkdwr9HmzTB1jr+ 40xP00Yu7jFtjEgFMmgJKQwXQ5poY0P4lrb2pf/3uu1Kt0DDLY77rZfYxssBoc3RcoOj2QQrCvvp rIOqXNYJvV2zuATth0BLB6d+dQhW3J8LbdHTrr3JAAI+R3LUE0Isqnsits9vzUlb3aW3+d0lftd6 RtD/0fV7T+cj8qYOJoROXwQjuALYbiSfZgPQfuGS27PSxhnJL5C9hXYiSJ9amkWxuZkGANx3vMQt D+mMAoqAKLukarPUhIZX1HeNyBzazgpKp5rY/Pel7oBtpZgUphk84CYpl3toMK2IWLMw3SdMTAVr maM8ExrKEH7aZx3kzPXQTwR23RdrlVEvwuRvV/CMq74gz5mspu1BgbOraxwKuKImdl/1MV/fn4K2 xgzByL4W1Tm4tjnxtd5N5YUZJgDRf0PZoSENHWno3fc5KSCt+8Ojsg4LCpcbtnKT77qFahx93Ytc +AXYrevbsRSrnO55+gRPYQJhHGuJCEM1RY2MVf3lHWxUTJB+qw00hESxOPrLD4mlygexBFYe0W8C +n0JiFIQo+CuJWcVGH+Ygu21F54gq6mGNBxjhfVROaNSkH6Cmy0i+A+fG1/2aGXfXM/fLwyffKnM NNAGfb8vCQIuMkspGGSGvfK56ZPDPR2Gj7snWqh84tP645cFVcjL7+mNlsJi3/neQ43ZtQF+oz/4 xPat194FB91Ovsqz7I7/7GgE6LWYT0BfuRQNeKLcSj1tim0MvK+FYKX0PDRKTLDzd7ASzU3KMmeM Aciy9d7grg7KEhza58qcPGwsJ6W3Jan1gQdE+YUE3jPpxEqCzcd8ijSr57LVo/H0wNAklLQ40TB+ ERtUJXeH+fhU21DHYWnoJVJnooVxOB7A6E8kSnKEWzlPyytJS+pm44DwpCRQ2BrCLcAXVWb82Dag 5dvgkn7y4rEWOfZ3szaGI0MLtwPlWl/inqu2irP2SsBGOFqn79+9BRu1I41JINCtjwymW2mjTCr4 l1gFGAxNezMFGqsBMCEYUqQAFBWGX6vHFlVl3+3ol/XETqLBXOAn3EbgYFoD7qrEWo2IMhcvfn0N jw+ykZcKVjd5Fc+qJH442o+XzNGsuvMOJBFTG8V4MUu5+X3Fripdutz7tv3w9FsNSH7vdeuOZQMw qTlc9UIIrjg8+jCujvfnTbmCeQod19xG7biAjd/Y927rOlWTNr/HrQHo6foPAxG6+vYqjfJAqUKM EedrwJDiBQ6K2IsoGRwUeOfArpfpOZ/IEIVBVkQe4C4NMqE+xwiBIUX/F5noJQ6icoWrtpQ2ozTF QSi3TTYQ8AbrmHRjvCt8MOMRT2ytlvfw617PItvsSGYiwXm5R2mqVHjPKA6FVNaZGd/rGr0gwzQz X5QIYCJe60eYTyM4VZfpU5PD/qCjmh6j/sox8RSPunxb3VW2ttegeV8UGh8YzI85HxIrBVZ14Ni+ gVL6WZC0FNr/ZYqs5ZcnviFNpOXCRU+wAao0se4atrsge1znNe9iMbDn28Ac+5dBJBYPhCrBWspG jrwKF4HdV1Uh0Nd3J3jeEZjoSt3D+Dprn6TTzMVI5AIoXS591mTrK7sizG0rl0Vnon3+N/6Thacc 4doX8aTZm0QJXjKkFbEia+AWQsvuiqomEBVSgojbqfSPFKqO+nc1mqpNyb/0yzuSUtUT3uSO4slX Ub7BZSeUQEv7/DFiXlSOiVP3BzWkAstoZNkpvaZBbMvBBZNN2xRezun5TJ4PLtG9QfVC3w8z4GXo 4+vzsbbntEQxEGivtSPYQB009akO1T94mg4gi9w2uzf6EIvkI7PYjXBEEEVmfoz6HHDKCpXH3lEt HoMg++0Vc1J0BTHOhwsmntSjsfQYpPMmxEA7NaJ7Uz7CrzQqWevJvaplt8Zo64cpWa4SazHY19JX ZpmADMk3VdkoGXSUiImMGfrox/Um9CQB7F+QKRii6jKwl47MN87QC+QSL8JsNKGXC69i45gjkboC iHrhj/srNcE5OYlibPo8LaKIz7gIZfsdGuLQjpnDp2sMq6Cg0ZbkJAETsGIv5NpyZElAsQM7+oKq SrCfpdrcjpdUxxHKrtmiWAX3K4bua9MoCnuT17fJNkht781HDCTbf4vMxpVoXTlR8FAOGoYOE4kS 8rla1/khe5Ot1f2MrfcNQJKPerNlZa2NWTitEbEWIF15tKnL4H2EXi4hD3Ex2bIqU7VRBA/X5xHg eBIkFEAaIMegTymnDL/mu+kW4dRxUpZ9wEtfkQRcdY4fOSK9M8BoBYVRk/ns+ETGA6JnHkLSld8P +iwTuY0pWscHAAdVEBWagxIhACmfvw5GDp9IuUGz5USCvWxxFX0YrVTZSnyGOcOabHHPDIX8VvtW jdEWlJVi99gXDrJ2PgKmlarJoQgGvD0qMAwMnfPlonqPoo7YxIM2VJ/Oi5+rvhUBD86HC7V6TM8D CT1X55jRNXT9FbxwwKFVwgKz6FUHAIfTS+BH8HxUwQIf3x7nghi7LPMy529UdMdA7X0eLBjxOSel 6c+FvvdYYh5IlLj6KX9gtiUfZIMNlaW0EDjPkcLLl70LbIQvBeiXv5n3VoMduLyZiRYYFbvmhGRq jVdLNRoIAOcMgz67X4jgZnvmlxDg5p0kfHu6U/LZnenRCRBuOxcYW7sAj2/K1rUt3Uk63WMn5yIR fGqtDoGKK+0FrhyRntSIzOX+xf9x0XKzgyfiDNl1mNYBvpsc8Jql9/8tycPKFggriCVhxBEqbe7/ S1d3fh8PA4tJM0fN6WqzHbvx7pPCbuMU8LlSMrXd5Cd8/UFoaRf79pHz/HmRnrO8vsdrowfa0Dmw REWR/NDbbQqrTXxRkrzn1WgzeYkSoZUimuHs43egFG5kjN3X4ovGSixVO+xZdOR/IVepzyXEys9d FVRmFFzjj8t91uL3ylyaIQwKTEhpQ77J4H5xWwiKerIwggMQz92unFlPS3F0KA260JPrm3uHMbXF SK7MGYhZ1skDl+Rbz1aL3DDJs2sM1VUns3bKfM7YQrUxO2zerPwlWE40iSVnFag0+N4GdeqU5fBt A5Qqd7vRYRnhz6+4r5QjxyNNG/0WiYuBXM+DH+AKc+rP4VPNsq6A5DUVzYh5beWnmOp8IzYjzQDM TK69YIGhqWLDHb8zMfDqqaC+Ww6bWY3Gs+V+KR3jWFdVIcq1Mg246xn8L13GCjAnMR6Qu7s7r3is t4eS3p1yP8GNKClnU2s0+nFVTA76Yon98vYgUkV+T7H3X7NEsByRo20abXBC33cb7bY6jho9gLGn HvhePGS6bKmTNsntt2C/H2PDwYBnYGUI1SU75T8CJFGrP1QFoVwtCsCfksKLszR+N2rQomiRdHVv iO7/1BdPjaFZbqtd5f8VLcYFh8ZdY3ObpgRJhmHOoaSdWRPkLVnOo7L+f8wOmvZ5dBhcdJ/YMt2I GlWTRhUkzwdooDqZ3cFWaaviI91DhMJOXhGq2z1I7uTDZ22tSf80rp01IJMLtb2jMtQiXolGV4ye WVbEJktk5WnVDogrubjorNnwyZ6JSz9v6t2v2CVNr6WBhfbrzyxlZW2n03IdHguou1Lsd5/Cdeew oG1/VeIcnwkWZHGY2AHD+7Oyw9ArAG6q55pk8nZPYZfXSf4IW1C6tjLT004gQIrdKh5UA6VfX42U r5+nfiaU1Gz2Z2metSzdr8TD6Gm32ZQaMUVWANJYCERNEEjvAI16LI0XxYyGBFCupQXPBluAudmS IuMkqhx3/u2s0AREBKLxqv+4J2kv45j/i7anAzOCYZoeAZ+EQ1C/QYvm0rxtrqqJJFHeWQJMuS8R MWRWpzXeEwxoek+m+RzSFgXvn9PxX7OXpey0vVdEdSTolEOO1d++Uxu8tQKkhgPS8MOn+0DPtmqY 2GjRqXzND0/KMrEbgC5g7bvNeWTq87C8lDiPNVO26wsbIsz40GWRkA/hElUj8o5E5wRhHIQRj6u9 3304EK7CnwX3OOuvpdxiSwDQfDj9L0JTjTK/fUdLgicmPyJV3riCaU29Kwc6gWNatTJg3iX1qDKu +P9pbnjHFLol7gVR3Gj3mVbAfpbMDrNMl7Hbtdjiw3JtmQ6MWHrqiuItZyQg+e1+qLXqV2jEsysU NunslqhPs1qtFHC27y+U3EzsPGv5ugB4EwvrGlMPYvxHhQLfT4suFJL8oyUXu7fhVqwIWWnS9yIH 1qR4MoC0dAIV3mxAxRKyoA2Y9tPKfnjlILq/fLPDpi4BUbAm4lOi26s+FIYmK50Dk+3A4e+LoqNK AvW73+m6PH66Qau5vfLD7kQwBCTlnHGeTyb11L47RXOvgRRF1X0n6QjVjxVywkg2lGDOxmqGGHQU BqnzHU9T4+pakPg4E/2CBrTjdbGaho2S3BvJBX9bfVkujXEHVK8a2lKA48sP1T0xy58LT6Gv4H6E AFcI6TGRgnMxanWpPJ2LQfE+knd2bHXenqNMUM5riya37HzZZd3xKcmf7OpUFxn4Wh4IZoe3jTBj CHeq4t8mUN+Tjsk7WiYu3otCBgMl8vM9vyXCHimCyiSB1HMxhxqSEazwSQmvuPv9VvazHr7N3dEQ VJ5ETyjaqbZQfnN4W8LeERMfd9RfhCxxGzQN7ZtzoYe1R6ZFZMyoFfOq02XlsiCAuy1+fU7DhqnX FbDUuzCWzkOxjsjdApBclJlep9TAB/3Itd25BMNWDXZ5IjPAq2KtvO9Z4LzepNblhrAQYted0YLA 3SmjVxDKAOmt6W/zu6xeUMZettYlgAxfurLNc6CIptan3uiLL0NDInpiwt6ZxltkQLx9ehnpNoKm i6GT0KSFwVICPw01yj/POIbndGQYvC3CFqkJNSesFzB8HKtqXscYZd3E+34THYJVrgfp3qmGz9cQ RTRdDP5FckiZeQIMCOvHkla7zAldUZx2INvtKnKgN1BUG/z/k3UIWU+jyRlIreW4ueyNVPycfjL1 d/IkOI7dZPAZHO8vo03go1WhdA58KcBsfdCfNtYNAWeYqLqe0+ESV5zdBOzZaLFjDusgq7qiZf5X 5TR4ISXJb7Jt1Nh/eLo+Yg1ElpyAADSHkF+DWEHnoEDZTk2qOL9nG/135NkK935ROZ6rDcli29QG +P6BFJrdT9J+4QdJ/9ADT20D6zVnhmWafLT6kSPVAAEPIQuIGqnA8RpMm5UtXhu/c/MD/NrJEOIc 1eWt5U7N2YB9AFMjntqyxSK+INJyL9SLhB4kKPurICsGRCQfo40ql7laN+8pfLGTZny+t2kbP06k dGdFXPtsHRIWkgjF0Yzb9qcYnLsIfSU11BDaTpk28j7MifX4flvNvVhKIXbzym7tTBokBMtnlOYZ B3rK5zjamCFvSpNRLvZRWetaHLdeoogGGD/LQ85yEdGEgntUqjd6k01JSbfQV2gZKzU2UMDGgMbq JcmggeqkC+jX+QgOspOp7uGAfkMrciyQljuomqBrhExOA03se8LsJUgDv76Cl33BwqQ14Ys4zmQe w9gx+rHzyip+GsyUrzUdTyq7DMeaV2blW9FW47hJGi4ZEkL1fgxmifebmJA2FcNsUQwVaApbi5up fJCF5nio/nfw7TH5IezsLpZO19VcF/T2DYHhN0zJlNwrXWuMPF+9ahiPuBfB81fPY2aITo7ssYhN 2tL4zMuzIO+9+Q0bicSKkKEdyOgovT2gg0jzWWhglhx50U5yj2cz+vgowoBJ9HD5NzvtRkB6Dyld tvhUTK7D8Vb0gXmJw6OTAZv6KYovgnGQ4MFDvnWZM4TiMnOBudqefvebuOovLEfIXkV2n/kKEx0T pf3Jhtgx4oFzu93rbl3u6BNYlNu/rk8aQbpOKqpZv91+Apu5mcLiKLbxKrZXBQa875x+IwPojhlv WzucmmuP8IPMfXQ2Wk3egrhgg6mSkvj9MEC/fu8QMRC7Ltk+Hg5hZqWsbHY4LaLoYPPwtZUZcute mCNkEuAmhgzbUs8N+t6TqZpQcj7JV1v5NJH88peYNCvSR5YbwiC/qDWwOtDwxlzA+Uc1AiMn259L AcxcVBxJvrvbkvwQwdNF6MePLZHwC/lZs0jKsqPfhD6CVfevMFaLzITrrMmVZ0Q1UCTzw5VzFrhS Jbx4jh1hIT+X4VsYVLW12AHegZ+a9uwWJxXtAC1A4ms/GPPQz4/DCVmH0GQYGdjIxOG1FLtwFCCi l69mcWZAM3eFRCPcDvUpHo2X6346XonXa7PmqDX7sIRDe4+ZcFwYxXoSZ+RZ7M7nEI16pDdMtOhX Iq70QQVpYkzCBxK6D76vgrpKYXoGSb3xv1binmSHn4AWb8sSs/505ILhLVQ6g8P8Gc5RQAs1cloB vf3CMNBFnddoJj7mv9GM6BTIOnNkhdyOk1G6w5NMLHOvHnYZjOl5sZ8bTxFBt6sKtc3lsRzpcQ4S Dwo7WlgSRIgiOmYcUdKAkkUV5fjjUsejmcKR2Jw6FU+uKf1mh3+RNVQxHEMLw2dAoO19E1z5JuMj Hbs4zOv0FGozRVEF6le3giWZdkTONsoFCM58qwKS4g9lQjpVPlMgu1l5ur6TTWjZaG2WdzuXWUsX 0vmKCbI5rkXw3Cx5qPZj6J3+ViEHeBcG89Mhdr8xxzljvqnJZAszc0OR7Ax6k4+a5LLSEcP3kFk7 aIgPTJ/lwwISLjcEriyKMriE0U1HUoYIm9C46oY2VF6nKJr/9LLReaY9H5M0byzih+9GOzMAwH4w PsDBSF/mfiIqAFei+/Nf6FwcVEOzWDx8JGXiPvczPiPU14XTRatPpn217rkFXVBeWLI1QfZ8OkCX fmRgvHVGSkJlDPLaB3JRdgUGNccJdZ//AtdGkxd5rxcKZwunegtiJGb0np1iq/knAJQf11EWkjwB lTlf4ZlHVkFhFYckt4Ce4E1Npv45qLtUkoOiiECZfu6z/DtnqB6ymc918nkkDtYsZJkL7hG1OYQy VUkYmhQONmyTtxgY8F3xLf+zqHqMq1iFXBgeABzZ2qQottok5uWtm7Cc5Jic6Iq5UgnQvRTzE32c geJKgJDTNm43rxtx7TUUFe5OQ9NG0BT6rd4DxJggl2wIsJw2kMXrA/NuBKA3WQDVNJSKP95j3/RB ZigcqZbDBL+wiStCRFgtxCfwKLn3tPd1ofFcuhD3ifDfiC66WjhlQgfG9FjDVsHJKI46vwYSYyO2 5hcRZLglAyOf8a5AL2CZbtFWTCYby6OR7kyF09iPaO5Jt3WJS2fHgExyCULCi0fWU4Umd1oRB+Zt 3PHj+JdvUVgXuCZXq/ssMN4dhtZBhwOqzlsFcG+lgfhAdeofItFD7RbqAbvKq0NmiMB85NxJy7S/ wqd4yBDbL6j02Moebh+G1wcIoSomnhEQDRCvmKd92uiOEeaE5UdsKPusZw6u9IXYN3OZlJ/cZZLS A1KwD3Cnjc8qW202PKzE53aGVtVAFEyCj8MbW/u3QgCJewjScQkvu+7vydmCa0vph633R2duriWG RXDYl6HKK42P6Rk84poBmdgfhEQresXUigrrEbevgsTcLch2zSwgGagmXfkda3B9DJWrM3JtwlBe a1D7CmHPjxg/8jKwB2zfHVmpPJhsTZwFlPuPROgjuxLa0EsIDxsDhGxuMjF8Wg/+9CptEPDUXBs3 J2Kdp0mqQFgZxbgJNf+Tor/i54YVdVo2k8PFDjMVRIj5lEkloWwet+8hbFK5CfOov2o+jytKJXNv SSm0r9trhssXYdqsTkOhUAnxXRm1OGJRAtubHmX9Hbq7Qb0lv5xD/gZykgipQ33W+/ARcljCyU6h 9rrr2BxT9sT6e5dXNlkKk3bomhYBPQq0hAbKi3sm8WbMRfwZtYJZpV03tdLgJZEgmMbUYGiYvPY1 3iN9hiF8f9aWMO2DtwfnuaXdF/SBzfBhHWi61uatrguuplKx28uNwtftP9Dskl9S5rtaeZ9Raa+F oYvSChpKFr+MyH+Z3vhDglocxPL4YcIz23xakihmrhbkzoekELwUJj13epAV53nOJ/p5C+Kx74/n FbfGjN4m3BRcJ46V07bAl0mP2nW4POaDasr1UOW2uOH2EnqU6+xD2feh80rNOi6rZUCkGycZ9/X0 /cz9nzXnJXd2ixtWu/e1Tesyi94vuC+uDQ6mkDPn+VEy4tHc8iXv84emyPD1saWyKp//oQAgXs2y DCOMP6e/ikyW250mdox6Z+mqgDxg4b/YUM113PrFpHpwdu3VZcqIGjX2Yoz6EWkZpFrT8xXLAtXC 42s9qlYocVi3pb7M0kt7V9gzUt63F8uUeSQzuW6WmFidZmniSk77hZF1VYZER33oxNrmaQPeel98 Dt7U7bFYvZJnYLbCB3MsPfRc5BUFTP1s7/CDv3wRjH/mju5cjDvjay3NUIJ8hVLEcqozPg6iopuR wZUgkWKMGIJ5aAkSz0DYox4Y8a/ClgEcFA0+qEnVAxe4kkyBaw3b8TfOPLbKTR8IohKcF8dYkdsd trTC/AHxkHBzaNv+sWPW7HJVjCFT1Fp/nvhb9oNp7J3XVCPsQ8/jBDX+/C6IpZCT6dXfLB4IZdwh +CJt0ty+LLsTAq0CBSJCYMpffCnmeOVuAMwZQwtP+yQ+Er2pfrL+rBaAzvoPo2xzkG7+cfn7CrKK ZAn8IvKcnBEBChwG8e/xW5VLOXvBzGPAN9arokjJ5ZopEk/6s3DINWy4/gBcuWFiUJuXdBpVkbvQ aCnrKcltZG+/uaoyJL3pUIsWYSMmjhpz1EXEDRmQiwwVwZ4sXsn3wzJgQG08IqXWEJnt7j/VdRtX XM/zS4dJISsv7PlC8+Tiwiia822FOKyHPpiikYeIZwVnE4rjCoc1/fA3fCilnUA6ul5xPhBsfEe0 fqEYv/uw3EhOe2qNpf0ALLFNInDkOFaH8/9bzmff6BbTmduemZsaOzhGwEgKfWc8eh+ihVPxIGdB B3MLgGuVCoVT96hzQciZpGCEkUt6TJRvxguHMfgjsniTa+EK7aZabTtI6jqWanSAnU/P2jLaeThH cEIVrysxDEcJ/OIXZrmqN50OUjLMgjFM3NoQgX74cbzGjLLCUHO/KGsFpO7Zs0pY6MhFmXeYQzJg BkdGOBHCRCS6jj0fvDmOXR3VEY2UuIGkSi/NEWIa4nClqWDkbSVv7tQBtbYpx6BDRRdNOUHY8u5g LWUFYoAifkqtmnk3/A8u8O3W6MLKTBDWM3GmjrDN2kJtLIugrJVKi33Q+P4CIRbVH981ICyeEOHx QJ/BaiR1FhCRrVisht2/FHmuZx6V9++Vo+IkDcdWDruegoNz8kloysHYqph9zj35p2rwa/kKlDav e9KFkT4BUf8yAtLthSNf+sWo7iIKZiFfXawV7S2jhHwEVcfmO7tdP1tvb2nPo0QF+nxWubs6FDOW b/sDcUfmKysoep2fNFmwUA7tFe10kGivd0ukE1rzxbJvvhj4RQdC8DalspVTMB0+MvsbHrXbuXxu jd5Hmph5bM+zfzbd5OPBGzI6GQpxpnwT2gU5+vZqRtSbIHfM3XAxtEbEq0BZdysejCXuKvnEfZta fJYZQp7gyVPRB204MImMOuaEmlL++B1KF9w27HmUMTxv/jn/S0cOmSRWAZqtdMZr2czubrQTSCQy aTL3FjWpR7HHt+/w4SiHEOYS003PcRKhnbqhGg8hrPSvzSIDvIR7LTakBfj6cc5Qv2eAzuEJyguJ z/LFaRDDD3wdWT3pwBeMjHifubgBLP1Ci/NnZy1q2NUdSztJQi79B6cwK1VYuk7hXeSGZpUxR2+c LR/3Riu0U/53nRhwOcedW4oFvHE9gx+FHjcwQwimkTWgYavxxGBMoaDrygIS3ha8i8CWsKRmykyI AYvX84rcGQ1mDfQKGNxrMRZw5kxm4d5cve50WZe0QD2aDUV644QMdfgez1pz3odMSOGuv/lbGAcY yXUw/XM9M23q4DpzuU2GheNhQRf9w2iDzz27V9U33D1T0wRgfUwJbHxJW7xPrF909q2aGCqX2hBc DVj8kFMvnvnKYImmWkxVYOH5fxBGLRwH+q3I3+GqRkDoWEgmRlSJnyIxH/JyrVQp0EFOmZ8as4mY 8FxkM0rjEjTe8azdvbzbQzbznXw/hwpTg7AdCG1n7YyPTwWMTuCZKCF8zBVuxq5LwtSQlq1PwFOI l9R2M7RO3DmLp4s89IzbG3M9yAsdCBg/Ang9tpWwplHFs8PYF7tVnLRfv5+RD8dDa21E9PmgERy7 JqBMJ1eZGYCZpWlEQA+rLrAVocl8wyYA97rWwF29SCBj/IVUhwfqW/l6O+TY7Y6LtMSFxAJrvGYC h3CCzS4vHj4r/oFkhgPLKAIiz+BjYgnx5AhgshVRAEi40l2Zy102aID8ZR0Yu++qn3C2xC7Pq1w0 UnnZkwn7nUjgLR9130hLozVDs6l4da5bz/jtvPURDOVpgBHw7CgaQvCKB4w3IR/3EZtc2yg+ALUk R9FgdblG5o0Dfx3M62CsH9ss+2P21tC6jM8YljrhR2ZfLGoUvRQPAaegGy8j6GCzBmojfW9k4YgG OQP1Em7qk5g/WpA8YTxjK2LaXUg+OU+TSeqg0GiiQ8xErYp+DUxZEZLv+cOkTKmLkZGv8zIXvQDU 2EJCCc8DrwJkT5Vyyt7NPo74qUYt18K3yckmL0KRFL5U8hJAqJcxVGYo1j30Qq2MPXTaLITBkKo9 n8nO6nzEyj5u3vIqK8D98eGYhksJ4hTSUXk7lNbIWvrDp4gExYeKAG6S5Fk5Qhu5m59zAZsWsD+F 6O3Y/+mnMglKZ+3EvUd+RhnhTWukBnAsatCFFivXyHWDKBas/TZvtSHGnY6CcVC5EMASHITDFUD0 sb5PiFESMeFMaj6SMC01GVqNqBmQglewRQTqCZdreT3ha6aheGCD+hYqdKbFPNlH6P3d/rkSYNZT 1fJKXk9BpmF4SzNivkqJ4/YApB5jiABdu7AKb6jJBJTTrsYBO2ZvVgtDEXU9YQEuMjUqt0cqGr6r 1YEwVJv+Rmso1avYByjkBYwFFRmv3+JCXuhmhdIYRzYg28ryT3iYFP3ODC3v3TrIyCL9G5yZwLZ/ lB7Rxk6tYPpnrEhNlMd9bKYthoFCyx3RJp7k1QDnJwbKBsL5nRuYJ9CrwU/ZJXm4BpwihdnRGoKg l3tr2jK7zvQcHW1YF7K7EKnw8wdqn9Hx7LEb7cEhr1A3TwG08f4enIkzyH/KYLqfBz91VE/KY5xB 8NW5WJjHqJV09ecnBqOXkGfIjlw6HYDPyqxR5g31YvqgYfFsPyBEJTcrLloHW4+p3Wxirzq4UpHI yNpzEUXIpJwkI2qgKv+Pr3TAk7Z1yi4C4i1sbJEStFvfnokn1OKuQKzeyLVCPwgY8NnLmIA+IL6G 9tPK78+KyQDzhjFobmNzT9ZzDMIrk28mU9oTzHxq0NdLwNnmfmcKr8BsAawPSVLkci/HRZVe0LQB FkO1JmlH62cN9N83o8PHnE6iSAlqa4RefA3yTvvrE+U/a0i3rs62VSqXinyYqeOBD3A5dV7SH1S5 vYEO7urjbYiTa59gfxUNR1xE/dfK79V87LKTM9JgLGAkLZhsZq7wWXesEqYxPo8PJguvQGyaBeP7 pC53TTQ1G48c7LmGWyU4DWRSeg1vVa3hAO0B0lBFzGE/puzMNvdUib2t1uKXRuGnrNJOv0l7c21+ 2C2Q5jhwwUm4tUEfP/ehXEKb1Y1ousag1SDJiunMUnNk1BWEovsw8x/HHGjYaL2H1rT5eZGRMALk So44wbee14+VFMrYc2mPq9X0uuw1shGaJCKCoXZOP2y9ZdR89Twi3AAkzyyLlG55FgkgUK1uEx0Q Nc1Jfaeud2IHbTlS/4sEnoSCwbT7sDmBNP/6WptRn0ctz+oomLCXGRtWmzCdhcZvI+UUAMqviviP 5BvyX4y9YEZCmAQrRcx4wphjOBIOoKpsvwM1YUz97If6p6zTnZukmtvynwSto+sK9U6m5mxyLXKN HpItiU+XoaMnjwb/faCHpo1HUde9ZRbXhL15uooiJkAanC7nP3MS8+IP3RDF/Arnt+SRNgfKaTtR xRQX3Ny/dDcDlqHmjp+A3q8FPjcQgjH9WySBvCMLGMHNKIzXSHB1YlGJAkSqUeAkLtMyQwffrhIw yKKj7I4W+T2r8E/YdxhOu+q2hi7focFCxNzbGPCkkrIUFY8DJ08+tk84kLv7rEIYEF5zjayVs48W MzNBNwcRHmqwPbHBZjo8jJLFKlOywNz0k+JGNmIusVRk1AtZI40GLta9fhT+W+HffGagGmL5fOJK z19j2+REcQvjjngAAv72di2rMcPUJlcULr2rKOI0D7/oIVTV2EYZ8rPrA2apU8/iRiPOk5p4QM9J lpkrf61Hbv5EHfga9Rhc1I1EC+3olen4WX6RnhXwBekKMSTaEbgtHDCQSqzDa6Vdlc5YeAID/bV8 i57UVC6Q/fkhXISnx3UaiH/IjvetLot+infvYYVAl7g/WDYXnHWvo7TNcv+ZooegNnWb6DHHLJjV gLnCUBvTT3FzXvIiy55nXgSYtw/QOyBrVNED1kEX7Pm41v7KU3dmldj8csi9CEYVFbr8tQ40yc0m OFWPlG2j/1MiD6tUIkJsUEcOfhj6W4qCw2obLOKVEq6vQWVgfcRpdgWyLWkaKfQaip4wAecP1qZE aeus4uDJ6xJ9L24GNEUr1ZjECyOPBr5ZoUjSvmr4tDYYEgmo1oNzyP7L6WYfYCUHXEkA3rfz1If2 J+DT60EZsoAhqzkphjnagw0HbJFm64ltVVrNOHWzx1Dz6Ttiqb2EwtX8mBYp/Z2B+1xLCnsr1jHS Sv7LHZEFM3lnLWwi90lqJQLYKI33PzOCULoGAFEZXQzuYjJDzSKLqXCwKM4yHyOEjQ9ch4ZSU5IE Sqdpe3ZtHS9m5h9GE8Gzg1O0dt/tvpQOB2klfeZzm9uRclanWTnVyjMLOBhjnQ9m9PZkZHRiJqBp RPyazyT3gBBjQtfPui/zEwRjrIQeKyvv8L/WTklmdV4MCwlPKiyjN/k+g8nPTdr3bftr7D3WFj+O hvQYg5x3oyrZnm38V+PabRC+mt82X09n7tU/Q0cEoNwhf0XkdtQ48dt7n9ojMErLJX4PZ8QokQ5V YTSbpw1fl3ZZmTF8wEM7r2YxvpdbZmjlPO7QlWSGqwOJL0LiWNACC2n/gFIXbwMi8KU2pVBVnCSl e9u1uvOy3Yrcs1uGAbiOp5EaGB44rK+4t75wxh+b/9Ao9HxiPUw24EAM7KhI/8AoNcRKqK9gu2st WhBkErnL98UTjZxaLIiPY/MpC9NyueKxXzeOT6Usao1ocx6XYjZvVsg3+V7K1IlGWBRAFG6sliTa +NhrDvJqYSe+WyT/Er8VCyF8qOADAOHCiZZ5btcZbQOYf/8+pBrdRxIYjlOmgKnvnJiK094oZrjz ae58zAmupsWfldeDCz1IBT7JvFYCgmTcDgHcpFv4uov7fkxzEkVaCWIMGdM51dFGY0vBriolU0on lSqUJCGyFGtbrv2oHICQJv/2T23c1OmuqfuWbqyFNtyXI3ILFpz7lTetpbt2sNUp6ZhPrVW1V6+2 /UCzFV1FfknArfjXBAXTOoDmmfOyAbf1qPEosxxVfyMIGOmcWU8NdqQPczzaKpKy6RDVNKBZAUON pCAJDn9ChW8gVKvsb6KUZBypJ5PiCpFKPecAJLifl1DMYBLZjF2on7ctVe+oAv+XWGUDrVF8DIui vy/50VlvFiosYUR4n3tf4SXE+EGLw+urntp7j2XmLBnHOQtockP7lBaxjF+67Y1+O/yeTW1Ro/f0 rDzyn5UoINcr0lu8zBwgZKLSGILkDYT+ltrtP+62+XgC4dItcQrSs02rT02o6UFFInLpY4JRjh8n Am7lpff21mti6RRYuwJehnroftri0x99MA/GirBNCx7p+CAYfocUpkr0fR6NdkiNi9EdiR2GiAZ0 omInAbM9sPhKXWt7jqF/YxEFYKZ3VTXlgsxlu2NESHWJZWFiMuts1rxOGslGoCqvTznvDEh/zWqs MdQ1DYda4Z/odUzk6CDAXRo3PnxBsmv9BFhZj5xF2GyHjrLFeD3fbT+ZhIIQMee5aj/xLjVOg0pc 1FXmrUYl0piLId0wOimL7N7bmDO8ymKTvXlXO07YmGpntVnAy/+/dJDcSrjNkg0PNcuR3TgdYxNm NAAty/KWgJU37FhExU0s3Bva9jWa4rKWDmOi4qfN7SVGWlNHIjbnsUbK275sJd1GBWdPZED0Gk0e vmEQOol90hvKNlwfv9yuBXBHVXvL4pv0woic1prMkP4DupIqokAdD77rRlVuv4tWQoupCrWMmHaZ NSkXpmXiaIt0JX9wJ5ueFWXTMvSyAc9BsnWSh+W7G4hHq8wV0ebEcbkZ9Pm90TmdTSp1FWMKjMdN NRGc6ITL/Attmv3WVbiCqtTX06MFYi2A+4QTSUdF3dKvXq+cEVqErBxCb9GFWNbXnAcyKIhlmF22 7A5SOoDJAILlj8FWYry3EevVw2XgPzOM9UrvlCaH3qA7ftBm02aaH1huUASIRIgXDaMTbhlGLNQF MOTY5egb6zKQwDQGuZonjwwdE+SZInfOBMJ2PPzRoLSYKDhr2Kx0Ter1Plg8G3DTZmXfn2GC08vX CL0eUSj6BafXLIOF9XSl5uN/Jc4hMdPLdBb1vY8y0ZNtnjAKGwqGqtq6MUPz5yiaPwrflFB4//Bz wPyuQt8xcNMV6tWu+AR9sQORU9djpJ4iGw7yhUvO/WDVU3MRIO3ihh/LSaCZz9dEDITXa9ASqTa3 XahhLmJEYXYgyiNGIc6owbivILS1tzyF///H7X8rFIEf+YD0PMEqvfcj2VVePbP6uoCg973//0sV Xl7M/nQVnybexQDDlzhpo8EtWCtzs0dDfozg1swIGMJUBfa1s+MHI0OjQ5uWlbthHR4vyq4c1y8X uaG3PLo1nAtQyxSlyG8Kkg9fi777Hd3/vyvzTMRLQdXhnRSDIBjr3FC/Tq0aOXAXGMMjxz+YzE4F T+jfH+OpPrCa+9NJnaZC8WYke1ZfttWuSS+59/hpZ6yf1NtZdZGVjNDTapqU+dC8AwSijBGpClzw DmS2LyOWtVbcM95rdKBuVq8NQJ3Z1aIt6uRAMXWgGLXBEeCPdjUoIEq5sNrzlBF31Gji0kmbhYDV CvsYlXkrim/WtapN7Nc/+s9ZEYBYPhWuAnDWhFsX6prOP5Ii+Y9GBG/bAHOHG/pxkG+XZgjdfF8u JvaSUA5nMmH/LPIM5PJK7cc9jwPSXVAQ0aljVEFbrZtxvdTm5wI1YWfkpAmrXWjyeBzdnODBFrfV UBnf2B/7qNz7uUDHniCrtM+IFVAgImVrYCL8eH4xWyrs/A5eHl7cdxFSE4iBjba9J/ZmVD8n6ZhV QufU7mFQZUB+69G/j/xem/9GjHHbq1X0futUO+k4dwVBUZYacVcD1X/SaAocs9biKzB/SoPdGVyL YH9bhO2oByX78IgQDHrFLrhD4BWLeUpkqhoQ7F/y5RA7e6nX6FWVTJpIkwFXRH7YVRMCy4NuT9gV F42iLhVLHpVbv/NcUJN/OUUfWUoor9lclBMui8q4eNyHVqWlcdA28BQppyWkW9i7Vr5zXU4iUaug HODLy6zCLzournTmp7LTGZstc+w63U3ei8vWcl0Si2AwYr8DWt4msDHHB2J7EZsMeA0uVCEhkVfw T4oovILOpmNrhVnLxdreKJJcFiE3u7qSb+/oeKCep+2ySF4kL9vWkAQwbPlFuq933txx/179h6lr 8NrRKUEzaTxF2SQQZytNjenXaB8Zs1c5gyP/pEZzfOo+VBa655Z4EdMiKO4rBrGS16nrjO1iUbBG fVck2sJeVqH/Pv+cENwMfTfjGp6hCdwRLFaqS+C8VdtShLRDQ9wqMocEnqZGlh/6SUULnvDT1K8C uNuj75RQep8qcVNqqioXmJX9sZqyC4jP8Xi2xoGbOJjOyr7AzIcQjjJrl5erMcVB0eWhqTjhHUow Mj91doIlXzTu3jyR2yqBQ32j8GQ62l9r5UFZQMPmFzG2XYl+v7Wl3JfyeRnlTtwv7g8mXzdan6So lW700OdH4IMSWnqB/PiRptx63rzdMbVLH6YqMlyYysjr6kkR/Vc0Wh6ykXUCM67ck1Tb4GG68Ekn WqqE+gl4eD/jItWPBISnpoP2p6VxDZnyIU12eUI4q1LQbBu1tTlWVAv6b8ixxUvEgBJIbnMf1LA9 9GEiuO1YZXCPcDSTNDF5M19yzKpq/585c+famNdKS9ayWv4YpzlZi6gT6E4nH3WtkuIYTIvgCRhx Z9ePqddOrHb8KA/idIxTDbfwu+2UewPH2tMd2jt8vVV+GJEaqs2hgaV2Byrc1DH0rOtdkMWNV1Yp 5aolyE8QdayhkTMQZLcByriZa2GUxEkaqE44nJPL0XBCI4HruSE08jO5txJSxdRoQtyGpRkYQr3x rOSR5MdflO2guvpMVm1VLpKjHW52Y7t49RMekv48HvNSAWPGZ+/3hST1D8oyaolBoP2h/rmtzR7K Dq2YP7xcGSF4A6YtFauVzIe1mW1ZzRu0hNer3MU6M+v6a7Nb8QFCBIROHI0W6i/VWt06wcdPfz4G mB5inPIPoM9y393AHwyYCfkMQes7icrivr9R1iRkVdcSr8PkQ8Ko2d15byP9Ywo4Gir0aOfQMHL5 /tmMbPP0bdRAW7meCOdzTdp/iHuwnvmt/TLgN/hqI+5yikcUGqaQ7x6Qj6LT4+ZNfkrz2ZFIEi1P NHk7Nc2ZkMkpB9Ib+P07OcPuN7a5C7t93miqJMk2Nhmq3PGWu5Fqfq7iN451kHAKH58YDMQ7x15E opeZaNGZprXIqYtrdDO5Hkj2rLyKqWh5g4lBA3FgdL1Ry2Wl84HOXgHzBGe69DWY40H5yjCAdNXO LEJKN4+SIEt076by/8WrJ+uLV12Rc3i/wJJKdeGlbIQfRn8RbmsdMWK7ikvap4HJGN1dqwnsCG8F Z/+KVXS3I3nwTsIf94kMLzfY6WqC88LhXrQiN5zInmLGXwbr7nz35D30qJpEYO405UZh+GVeyEi0 wrJD6a7EGYuDlLMjcCRaLnROb64ERnm0EIAfh02FzkkP6l1Izvpmuo/d4OmmasoeibGmOa0k8ywx YlFHw85kdW6PEssE0wi9CwndKDbZAnG+dddo0/MhqLOD4nraNowGkiBCyvbylunEoXh0P6oAWebC t9cGf6FnpSFUYEKX5CMkvOMECPmrEXpBIzsF3yz1neXFspQVeZA5fSkZwaIcy8GgEXbFyBnpm/tG cLisjjHberBxyRowmFtzKPw0S/30a1rnKxsXYewJoTEzpiszDRVm/y16YQ17JHT3zT96AbRqs2An 2mZPCQhBQwl9PnF8uZGXQYq7pdrPQa9lQySybeAkkBBtgZ/Gwq+vyipk3T9KmO677K9ygHDnDEJy Lq3LRC/ohvWFX7IzvGK2wwWsMRawJ9aJ4WjQdtzldWB8MROW9PUDeBIPLSUO7h19A0RhyjzJUrLa To0HY2ve46oZMoV5WeXzi9eGW7xu/lndXXlsaJ6I2ALBi8y3yeeDGhi0365S0UOey2zKFvdSame+ 17mZY5O/0suV8aYimAsHuVzRzYbuJIOO8SWWJwiM559fsX8Laaht+1mWwBvgDWBjszpf5/SKguLb uBRIQpCzAUHh8rBI63BZU63fy6WII7qW8whVz1/3YO/LGBILiaJXIKCGdcziGSN010+x670HDquX 24hQesP6UXFWlpWCOjHbsvlNkIYFCMpHhnRrKzqVGqD55buCwylG0UU+E6aEAGV0s2pXygcJhryv eFGw0vXEQTCoaFeXUrl3+e0Xk6pbOzQ3BmfkHoPv5Jp7MrLWM3gavi9MI8Z2e24FBXNVLzOuGFB6 4CTrhRy/yddG2Ue2OpnSRxNXBcJ+mZ6SgZ1UhaU33TTDi/NwqVK40ubaxcRnmJsgJXKrb3n0EuSJ Mcjyf/zoGlsSk5PvWnYAGmJ60i3BuvfNdpKWv3IKJL4nwj8jJSb6Z4hQIe/fgzp5xGHrO+HNPjBP DoS0pM0d0xC9hPCTCmyFwedXQDILH/LevxItV1yUz0FqliM9BhV+CQ3gxe83LW+7zaN59TxPZ90b R9EEaaQ8Y5aRPrFFFWHiDor/D0gwV+0jxmBDUDwYfkNLFyoSGeUGl6+0bmWETYXQc5DJoYB0yEWs G7ukp4yLUfInVJB4Zsg2yVKYFYPeXPrmilUsGe1y5ry1+yz7TpKjDMBKhgMAGdwibsP9E+0L3fjs sqDDsPCdiYS4pLBW66eupsIl8oeAGI5Zb8PpUrXxWJIUVHDfaJKmPgEhkZQW1P11kf912Y06h4YF NyoDNhEUPMlttNTLbfi1VwZEHGqXm0diY/tZyhAsxc1dhMMkS4s2g80LtWGQ8tLIR3ZMvs0LwIB7 LlPbZsinxP6X2Qo6+05usdDQ8fr+h6aYMCoHwy98R8Obk7xex1yIbCL1SZEuRFcJzeQLZsx90jT5 gOOXx34Ckf39C+nBrpOU5txdVKau6ADshHATBqmkkn50Xft02Y2tx9N3y4uDEqQTxmrT1T0hLQJM GFaiCQRinHT2ZycrbvQFEI7TTprhh1uKYfE9AwmQY+Y9NmVDTPA+pvrAVOWFfT2mNyFTtU88W8HU OL2u2aW/EGx1a3NuyKfuGeIXiqUpu3d9o7+EbZfd/hej4THFLGXw+t3GmYaatY32ql9mwwx7PKo/ bJuxu4z9pMPvcF32yhuJ6jGEBDrXLwUilkfs4EUQnDpKTtdyiciCI+THFKyVoXDfBxDvAhHD/rYg WEuJsna2Q9zz48fFAc39rH4qlsarNRVPm02vcvbmuH4FE6hCRlsnjxRh7p5mGPKphUT+iz5fP+rs xV1VKXiYDQrrwtNsw/Wr+PKGpr6ZUKD5rBlrPn2ErT6aEWk+LvhXL2o+SXtpnHRFgpaeN2+J5Txz xWnKPb+QkJNiFthFlrT/h++zX+qSXtoGajz787LYQLJUeR1YVTCnQqYdlbF7nBSCsh3UuWejz5JG tikw9nR1f8DpjiUJt/jZNtl9qt1n4Eaa4b+jfOQRqmH0EORcnIOxeM+qgq70WzFMClZlsqgY/f7G Efq1ALSSBFoNZgjzlEWTqQHsa0WnQm6QdZolo+eehkdNlAX/YIgw1UVwp7siJeuyLtrt5OqrZdQb qElFi0vWsyIMs502Cgg/gR7NLfIy1BtgZFlHU81UWYCvUqAqqzv4Em7ZopJ7Ayj9hce9UGT3zvOR X1zP1rQs7//cgYDOiHjh7pzUIFDtki2i04h0aKAD0Lt9D5NfNJFHyqumIzJMMYC4knznzcqWVs+C rdIpDpZfy/PEvtzm4qikcQ5fCcv3noCk7ZHvdkyYVZXOVg5MvXF9NBCM9/hDo9vsgQCX1w+O0PyE 8hLV1n/iUDBA+h5N893x++QIUzjWUgO4nAVlyjPL2tdtgbn4iFkb10PCkLws6tFVALM3uGvXTCQX i6mqVwBjZrGsjTrVBmEpX6+SBKR7fEr3ISDBIsLd7CA+UHSFEJdYCJSsmw9SyMFwZJ2y89GuAUga tCqEH+DaXDoGpAr8E7Zg6jv+EJspzRPCe8QESGD1mxADvqE6OZddqvRvieWCfF4AqV9/PCsRVqUg tsvSWNBoKg4b8RrviIVlYOQmDM/kqqyQ/WVPoeVSXmqevHiDKukb6ujT1dG22slhw4Iws4PSElOd tlGNdASsRAeM78jvyI2YbDRO7m2ljkdo+T7PMag86dXh7cyBU24jqfCaRO+wP2D5NEH0h8r+v0Oz kSocnB5Hama6FuF5Y13l75wqKqhT9VeUFzvnLu7Cl8k4lf3O5hW3hxwbf6Tbu4wXw3mN7ULTiCwC u/NNPiLXhVr4Gyk63nzUvr4qt1F+XWmaCDt1/16gArON8wYidP9U0mYGxpuwZyKCyEg6FDGhdPVd LscLAddoMsI24FZaQ+PXP7wmHed6ou1GTyyiBEgkUBamPQ8rnb+zh5XIcRbAoNxdbQuYx45C/EQp w82uBPvhZGR4hsWrSzDS5GHHfBfYDd+1GHt84eq+2SrcjH0TTHN3Rjctx4N1PZvwJXeWW3tm/Z/G j9vVGucqrHFgWqIH1zlXEr7Fsz10XTo1baqNIKItvcc0HnFqqbrvrlwAx7WK/A8ajq480R3V257I b05uQuXcDJCPo+sCX8dyxAs8G7Fm/PN5N1nKtrjAS9W07DbJvCKHNb4Tm9AgNklM/uNIaMj9zNBz 14OUadqAxowAtgyddIBLQN+1u+WjhSf1e7HbjxbV2gwA9ZKSrk+0y98pdHcZnMBucGe20hMBdRdV apavCnxaVWv5hQYwdR0Lxkc0FmWFwdYkrwkf/0hm3WTk5TuKhsfhzr5Tz5oHIW57VPkdNlujkSb6 R5U63hJszWJRm1FOe4CqfKdqq1lgkcPDnm2kx7yqhJBFiZLlsRy+gzY2CM/NLoBo2KGTzrUvofN0 tJ75EkzoVTsrSpKDFcyeBb1/mGviJnys7rslzWJ4bNX2UjCOBSxSeS3JnwE3W/ksr+XvTM6ch3i5 3UuU/61pAMLXdzpaz9dXjvIXFRhDne3e0Hy4zJs9hCe/oLVMajV3JUh78gMomeVjmrvKdB1av7M/ 2per9vtsvJtf//XNdZBAQ+S1TOThzUp93UFPg6ANnFjpg6W9JsyCmegzNVJEsQWtYlxcu8c/VNHh RqG9eEvv8rDgv4GMLENfGCOfIHS8j8snxZOx9sOgp37Ewo2NqCM6/t/meNSDaPJj9KqaJ3FpGrDY s6tgMh3JMpv2FapZQj1iLnATwkLacZK8bfUIx29g9JkUC0yVqAFUtwtRKlj71rUNoy6pqn/X7jOh FJMKnV9njtg0WlDr8MdZyMLHol3jI7Ma8wHNi7NLyrfn2IOwGb22WTpXzrXW6i7Hd+WNG80CdSI0 rfaEjns/N+FpDWs0Se+9p1YNKQ2h9m77rZo9/q39t8Y/e6RRmbpFoXMdPocEpBJx0bKQdlD0nw/D gArMcZSzkNbF0THwO38iUQWxLX+LTFT0s/QaR3J4EXmBM35YPoMop9xurs/4Lm2Prp0Vu00IsIaB PJEaa+B+wjqP+GToTowRcvrc9Hqgu1gzWu8zPNRq7sBGFKYd3d2JpoqgX1biKGo7iOzoG/TbsxLu iC67xFUrwK0lmrPNLcUHRSR1en/1UXDlhSWmP39TBmLPhMyX9etS6XQzGW42KMYoOR0IJPbHnfCK l99zsMJV/6/aBGqwwOgosR+rgF4Ak3bQApPOmP+aTzN/qzhD6+2BEs2Jz2GgC+6ahC7EwRWz3g+K wNcmeZKEZUU0A/R16NP6twL+ZdfE+62DZxZYB669OzPODzGdcrpaUQFQ5lrajdUQ4p1rqFTp2h1U KwpMfGuvvvT8Sbyyekmx9FTeZbQErlIfOIwPfuEENnRTqiZdjE0prxWRdQve8kN2oWRMgHR1d8Mv pH5pLi+v3zJiWWvwTY19FqbAxK5svZpyzVugFMeBLmYqyLNwy5rpUKrQXo8MI0hv0Ohwolte6uiK p2h5HEyy0L9ULds77TBwoaBDpyZaEmOD4DAc6Ykl7U308yAFASqGdmfrltwUWShHCQQS8j8/W4oS EfpkFGkjaaWswR/DBSPlljRxBiGNZhkXyPLjx9efZO4nmIoKimL6fr4K5c4/HMLnOW5LYEyY3H8C Mi7iuu3BxFXBB7svdo/hx/BnTtPfi1wCKf+NAG54snqpUWOYXqGCe80nIRP52HozApl/ATDEGhBE P2Mv1MHp5r5SQmex1LJ4jtHZQ8NUYPtLhdpCr2I+H85FB6zDpN/Q5eIePt6cNxQqVfC4hPcQ8321 83UIRtRJghJeR73gqQzPDZ4F+K+IweQaNDIWRY3prBwaWG7ZlCrjejxG6ghC5pzdYy004FJ1EiCx l/xKjbO7AIStVC9v7tLse5WA0/umNgCHlPwsq89bh6IRfIDIlhYnN68dBrSxiLvduDMdnobQwMZq zSdmUbofkpwp/hIO+BhEgnDDEJLBlCrng56W59Gfe2hexFLbQYJuwG40Ae0X0BKl4FdE8RwJsoi7 asmjETLpfvxsN/i83sI8Lv27qsvDw7C9iHM+NOD3yUGkoPZzq6b+gB9kw6/Or5hynUZs4oR2+QY/ gakSXYxXcNMkEUxkwOOD5yfLaaHXkmYvEvzcbD2sJR/ePDFcpPyredgUWaMdp+Yg84JeGFIQKMEh 9tVL4nqVks/MQ3u72Nu5otR68L9ibACLo7TYsv1ob+QiFW+OWlLV+BNHiF5Ik8gL1R8oIWao3nGw uxnFHhaHOx+giP6N4EkR9UUyeBG8101V8sVzkVMTZNkTemhMLlkHFeL0UO6GctGxWPMNTvX+CXtd /7bkjn2HE3h4xJQ9hAoZQoMJkEfIVnFyhayJM/CrX9qfu/LR8IZRF4ZF4R0VfaccL6zIv0/nsQg8 LXL0CbMYHjlmk6Cmou+iyQNdDm0LqJcB1akWHxdrygDzTNDWYyy0qwol7BBaoTzo426Q8cVIa8aP Wd91l6eokzWIBaNmJCCDudBttgqXpQsG6RifxXFsditJdXNGvfXy5ktrgUtHTuSR4cy5sfIzNy+V HBMDG/KRMD9vaTUShw61k9N8TvB9FpGbw6V6ONlaMChpYGSBdFp5DbZsN897DSxfvDHFaUJV7zPV YelFefy4A9lId5ReIry8sQNUNFAgFadJ2Gp4xYhgO+2QsL8Ds/IPuXl6dsU7X1aq8bO5g7+7FYmD ghLQoZCRyRlfCzsnSKx1PCEeo6293GBi2H4v56rwSzD+hzXgtBmb2sy5bGoHuoX+SdZvQSIsxgO2 pVYs0CAw0awPBwh49g+Dmd6sMAW0MHnl1BRLDatan6VQGz4BUCEhzAvjxmIpmrLypOhv2t7+UBpx sq3GAO8AKz1I+fSa3hT3fewZ6tPW+B9x5Tc6S36sHsIyVJGFVrSJXhTngZeZvlgSSzN1Lmw+Me48 QE3T+RTPLpjpjYv77ebSnz2/rkUFFkuzUY5t5yxxntq/p22nlKYAW2KQMsi6OrcmxFw3D83y7JC/ 5InuPoIGyGplMjQONc5dbZBrMdnpHKX9u1JoDCRmsCzq5WJk+FdY59ZzNOasL4n4ELQ3l+lWIFUU LKldSsIIqgMdeTNZv4/hf7jPrzK/E9DeCRcdreUl3F8VuWP9Gamn0m6ne5/W8GUoZNwj8RNp7C8N uqoLihoIl2TF0zPBHnHFHwKeMhChRm+MdWJXU2xKRS4GQekZ/C7qnI1xpYb9AjVaIhuMvIX1NqTv yuzNBia+9kBUUxSCm8cgPXc+9pGcWd8Je+2sQnkJjhc7LiSHVp+Te1gWSNTXnJ/u7rNxb4BIAB1X 9bLDGAesk+Ya3Z1BIZNTemPIp5hLrVXVb0SfpoEERcnFRd7KWMoHcYo3Exuqvsc2kTlEH277AbZu lBBP9iINSavIH7uqKiymwQSYLN8mTY3i5JcKAK7rR4N0/NxCdlY3sgKpSTl3Vs3p3ILn2WRkEzMs qJf7s25bH8KZyY1KDq8yVdSqaFlvsl9HmXdobL9XDQ56BImJmGCX3SYd4HzqRjpA5pTdUrcwObLB RECb8+PCyMVQ3MCauLUd1ybZUjPDjqMfps2IO4kcT1KHYfHDWegpIomnNAbXO3/gPAko6e4uZ21P Q/KmZu6abseDYyjJQMrt8VDW75fz+5OZ4g+l5dBTnwl2hR0h+mbhk3mcrcX84mTFganTPcVAX9oK EBSNtgYVizutVTPyAocEmDvIeakKsDWHRbV4YEX5EmzHRAw/7UTPG2RUkik0o+UL4ICfC2LKOqV3 DUYCvJtJp1T+4r5K9Q84esyk8gtREpNb/KzZA/IH+EkCInUmMyVztZvR5SbJpSVNU3KKNLYiRsWv 8bUq6eSsHN6IM5bRskCUGc56y2t+aQGJ0GdzIwvSUloRW5dyUOx2K35eHA8ey898q53GDtmnBxhU FNYxU7pNxZORcvtbKrYZYi3bdYlkOBM70MwTdCkjxIgRpyx74woZY/52F+pKa/ToDWTqJCOvZgim kkqv6mk4P7oDYgDHENvCk8FGlhGjSG9A+TPeF9FyYtfv4PqQjcWZ46O0uqVWvukasHiPqp1PrtW7 BFtSUOIq4QYdl83RiJi4ehtCmFukRz7jtR55UR6piXqFqFjni/1aZ5G78q2zs6ZhrjyKBGPSIFar 2F8jEcFDJuz4iPOCXx/w20/fGLR+7XvgF8RUeIkzajtZKNeqm8N/jOlrm1EnCov/C3roDWFPv3cK QtMv9U1wdUTPf2Pia9HI2OkFRNvCXHhNLrelvRrrrNPrr1uTJ63Qdhb+UrAg7RXvkDh7h3s/s7SU NHxWo5rF+ileNtapjCFgqHTDJbvRemAenqCFPHE4fMZOf7bAlASQhTthXo1Qas1jYnofO1zDJc37 oeAnc2BHIyOOAt6muoJoObYLVfU/I0pro7v1VWZ0eutbn6V278xV8+XzQdh7B+gs2JAIVe02s6hL FRBBsidT67ohpb88o/lx1/+3c8qybZ368/UN+Wu1T67JuENvEWD5rj/YLcCSAqMXlvNjUxdsPHDe r1lauE4yKalCLdsoPzW+v98keZcBF+c2EfOi1XU7E1/qB0nlw4hYRSkr4hTKko177WZrOhRsWwIl z5Ib7r5HC1VNieNWpSFp2poLRrgeNeRo6ZvYwo8WnryLum4ogfjVOpoJ9gp9nUgF/CL7q24m976p hW8wM32qsHkOFFk6IB242Zb48AP5KLBYb5pinvv+5MtQmmBfv+MHdggyWqn0t1OsM4XMz0kiq0Wo Lt6vr7jzUTRzJtM4WlMScxogqQDg7fOxYV3MwT6/Co76Xb6BY+t/2fUsVa4xbB2hAaOovJsu/QvI Jq2kS0a0xOuWPK+ff/0Zxs7onL9Ms+CXIEBkltHruH9cV1oLT/Tp/2RHrju+LGF8mHQZMo3xFFmx gjp9907U02AsGxqWu/BHWiQ2NTlwrqWPs1LyyyshdWdB436RUSEpWiqYaaAuE+9oApvSTswXsxBw Rl4AdjN5FlBa3DPyCeWhz0uTdbiXd1wqr8R/P4cPQqAxRIDoNzEDVr4Id0Xcr6/i2OUd7VtJcNI4 FxVIUfsOifk/GOU2U4bdRmmg9kwwUF2yne4kVivTbz7MuA+ZCKgphCuhqUFr0717rW8B5nRK/4iW 5HeaRcOwBJHbnAMnvZJrvrK1rG6f5dGZrBfYIBAvnPQ07FEMIBscWFebv2hFbzCwQYFvUy+cxjUs FPdbn3EhKLHQYBtABct3DSV6GFoJQBSU3wFK+0hsIX00ZHKki9EMOy0RWq6cQg0OKp8MCMf9R/6W EkjRxNyK3uY1cIUG02v6k7OYasgBWPmdY+wpO0GODeOiflt5i592YEO92BADgSJa0dSleobRBMCe W8neLu8ZL2NP4mCvtnM93mdiR7xgUNcyTHCEwPksYWEE2/BmbYIcKXwr5EMRpTvEqNnIulCpxOIL KIAiEJwzTkSQyo0/ZhjpN8jIUfP2DlHfVJOBYR2fsJXrXs5D22rUfd/CINZI73zzY/jqq3eD/djp J/GFF6GJyavBU9IVevDe7GvAEE7I3/mNYXlBrdDK8YRmLwBpz8YfvcSGn2jFSJ+GLcnmItCNkubR P+zakZUQJ3a9xm40c6MLC5CZG5YQVPUT4nvCJ801ENqwlSPRa/yS4t0gLq9sJTtvdrPa4B2PF4lK x9bcNRKRg1qLt3BNrPbCfq0eIAKad7B3XaL8H1K12CDVis8kTCoPs3y88w8i71ReiT/VEQndKrin mrctqLoNVglv8Kmb3TKiRI7FNQBGRSE5HCJsyY/HVHWwueFOWXnWLarUhYwL4KP6OuqX5Qy3gQBY buMQqAlayedhIVTKqrgtLIF/n/PkB/A36uduEMWhhY7gjtCsfB347HrhYeb68dXE2GyKAfoFjtGV DkuZFf3YaBR3+4g8zIOtVf7A6RqQrV4y7avC6nZipWOkzv8BHGA6Q06aScvrxLzZbRxS5XINlHg5 xzPlGmP61slgU8xdr5ZMjGfxvnqGJvkzgPcnru72HZYCCbtVQrRGJLX8e3ssgTzvGOXN5iqa7b7I 7zEMbvzk1pvoKK27R3qDHdgPluCZHQKIf9URpTAtGVQqCvCVcciWvNAxyit7RspVSmMGpjYdGLev eL2YpGBjAB+fXqkzblfxie+YlZAOUhnAE4vwe7fTArKGzgm04OC2awS66gnXILs5i251ghirmFO7 zssDAePt2BKT2WS6RIk3KN52NualIlN1yNhpsi350Z+DmFYoIPjMFfvFX7lnXYqEwJ9fObe78w+t ugci3i67xycupbHSenidlssXNqw+yHS7mYl+XlKIAXBdK8y2RkPsz5Hfoqug37mgDh5BNnpb3vKj PDaYVxbgQNh2NqoXojtFphmLZHjNl9q04joBUWbwWgknlhseBKCj/e/j9zp9mf1u6curgscFp4oG Cbd5juXj6lt8AsH5346h2vZJVaUd9Yp6AW4HCjMB/hVrVQ60+99X3CRPltfmUUfdSFQF0eLlj/zi WN9lK8MVVVelWHZ2Iu+BVhLE9rfthqXbzFyc889zzjaGtNKA9OivfxX1nX05kie/3cwFoo7cs4mE jrwMwJLxsqd/3kmvPO7d/PrJ0No4r4ACkLMrqHo3dkIMnN36pQrAbM36PgEpaMdtLnqGfLMB06Ei xSDIKAo7mqsXWgjr7qolmYD4tMKt1LXXE3TvQKDt69fHuBBBUpIjnBNotYLnK7rm1JCFgP32eliP 58zSTtAhDSu2LwO0h853/qyhYkk1YaqdgEp8gj1y8o4HyXjB8VwT4mJO/1BnEj8TmFPcYF2tSTXy eB+qMnfADZGAPS218VTllBzmvMhgdQvK+nH+n67FD9SL1KzMI2CWF++JACEaIitk5LO7KHNcK0VX dbKLkjqChlJ3E5BsM8uACAmcKyrGvfH5TK7h5luLC5ZmIav4KSWQZS1mSSBIEUTu3P67zdyAvELU Ks1OH7UiQw110F+pNohuGHgM5HCMpoU31w/IOlejLDdJ2Lzw+wyeqlGTKzFYvSs+eZg4oXm/u0n/ irISmO8rP4wxDSJTi76XTdwnWD0UHp+vfpF/toeY8VaRSjX+mrYaOtrqw9Ik6UrmZ9Px4Wl/jj5R 15O8mjRdcnEVy2z8XuSEuvdFCRfzTX8j2qKTdZIYg9pk64Bu+2XSiS7nvWRMJQCc9zO2YxOrq0gz KtO9bg1UGdaPYVo0nHZv26OQmoqbz8OyCh+SSVFKgwMU/t3RaTvo1ej/hLDcb08hhzGu3E1z+FYl o/gHV7WGBw4CAdxEtxUrj1/AgCa5ZapBKQ1BRl82wahw7yBMbB4lDT9vebM6Udsh0v4I77dMlLl2 RzcCEeN/lprXf255+lfw6HxL3BKhMRJwcYlXH/2K4MqKC7KwIEfzCfz19vrFkDRACidYb6mV/I3w WkxC9G3ZnBaIvWglf4NMEcV706hp5f0kiJise8enHCw75UrSsCagWL3IY8Xj1MGGKBA0PuNHSmJv WJP3NwbXL3+NfWZcN1/WL6ZvGeVPFYG0m0y10CwVmtE8N+ZkopWiNZoaUlye+33ti0xx9D8tQmXW o3vUXinoVTEjoEtI8C81d4/hbvS59a+zjRWF9S4Tg3cD5X/1FNA/jKWK8UzU+c3aidihwhmklhHm +fpGLvS+KWH/zOJ5r7P7Rcqaow8L0NOw0x5vM4GMqGHJDUg6/yCnuZmVZxri0swvPk5KQ3RXsh2I Nd78vTNnu8RMGRUAAVXdeHwVyVIlMxB3OplsGMXEkBaXJ2oycEuGKZ7GbeNxDf1vfm6+t+iIiw9Q hcXorGp/kCmYGiREEZ5WcTvuoSu9xqHSU+6gUwsVhZY6I5jP7NBinc3iaSf8BZc9qs6b6pMOIMlj 7yPzTQ+I0ShsTnQ7GBi3yNbmGRKzP+8XWvoAP7VKg9E5E2G84b17WAm9s5nZu0PH6r3iSetb+yPR /JJnDWCY9CWD9icsqEOKpKlZGrvvZ2ReRgV88XrectjsJ78mahCLEPh3LhiGF89b6ILgVEbHwqvj WynBcTz1JwG/wNE/jsoRt0gg0X/i5XtRPt6RVbe0e2fIrOctXHtTG2oJS+Z0WJKdJZOFdThK52iL mydOASDC+7sMF2UBsOlkIIMJtikcnw8gwAzuX+Yi5mULuBdzBn0x8mcOdi/wZPzmv+ovzswDvSPE 3SEn5eaH1V4Ng3epYwbSZl8LT6MZW+iYkZ9BRas7jS0LbuAzZ3zwZnKV3OYwnBxhjn78fjZAmzpU hNgAIS5XU57dzCylqDZM8I5xoxzGARyCI0g0W+bOmaObc7EC/wr7uME6yeX+FnicJk3G2noT57dX kaoFovEM9XQ+WHQuAuUQXyc7eQjZeUyXxkHshJjB8smS7kDx1nFNF3KRbvsSuWRfCwQHcYUgRmmy RqsYZNm4ysn9hibdtxBXCPEzCshYrcGyNy14ogqVowNmj/7rKHhU5k/6qlOSzHFGQwg3x7wDymLQ K0XX3uH+JWiJGprPB1q0lbEyQgFaJf0KN7dt/yoEjaGCCc4OvPKYvKm+RwUlmS77ycFiV/ghET+Z +vBFK/2jiEeZdTClus2ZRktFKsekhSmnmR+s4ZZMlKT6cnkiJZa+l5wSrXBnyoHajPtlcJmWQvT7 0ObgyN8M66n49+dsl9JHGQwk96NT8AKDfGvJRs2765XWreqXYyn1W89iYL6xC8At9k/+VT/SJ2mc B2h/q8O5MjVP1UVoisBf4ZD3W8k5SMXgkaCK7RfWm5t22A5INYgI+hxV/BSocX4lf6bDBJDqmC4T 8L1IQMlUXJDLJchpfLTHSLi9QQlhNKT4tZ3bfV+Dy3C4HhiPAeKVCyOXsRGNIIAMpb66b6Au0ROZ cC1yK+5oPL0C1Zxt7AV9/Vnv4BtyrE71p9M3aMbdFGi+c4CNdPlHkA1jeUUt3SxPp6BvEoCwx+Iu MwF+ymNBoqO9UvaxLh79nTke6nrWEGu9Aun6TZ0X4VYt+kxkeK/RkbPgPXy8DUlgmh/l3OcjZ0AW I4uTblIx33qaBWYRnnASWyeGmrN6p3ysGXFXIGfjNkWGmbIHPf4a5FCPhhKKrH1lsRIprHb++LR7 kmlg/JXcpo8jtZA4CJhrM37JXrwq4NYvu12Jxpzi5dkUPnQlymkgERm7VsZafP1E+ty+VLd4vDKq qDIKE+M/P7EIiihLvYxAI/7cI87gCfersqlkpxHbf7qWpWxtwERn45UPV+KeQ2KeBa6J+VjCw6Gi IEzzK5JWuffw4d69EXX6agtc7N0haNKphrKv7lOOruYj78GKKaU+oyxXQWrRclLtXVqA24K4hzzV Bmq76veR/0hS+R2KVGgppcyU5A5FYF7NCkggVmKSTQm+mrzXx1CsP2kU6F89PXJrwAT1PF54pibU 45ifHIbinUKeaAsxqjPy/RK0mvyVlpnaz2pKMq7OOnpRRRl7ezbHKMEfal6mZxOU/yrQwSf8UNIi kBhd3KmfiWV8RFlIoBGIMEipQtmLY7OXuLc+lfULjvR2fExuyDmUsPKHp65tUCaoISlg3pudZi2x yhj3/rJMT3zcAS+Eh4TvzCrvaMJa88f9vazYRgG0ms6QMJh39C1KPY0tiU0aj1g0PKQYoEqYploo tR9xM6IhL+ku1OrUBf0sQea2sOARrAVCdBITf/lWIHDF99ANqTUE6vNOU9pK4zxcQMkt9cnLxW1/ uBy029RwAhZS8Cx6feCskl4PkwsSu4xGqWA8dKIem+sbZ17M/sN6tJLKoNtS/mni/QZq9tCc+yyP VqeHwDGScpN1t8/Eg2/gwS2HLUutCBYcWkmUE17cKRadamZA8NXThVDCbaic5BL2+p0ed7BRxn3k v1Sl2VqySkS7mXM7oYVdOyw4OHevdQxCNMHnhSGpDzRSks1dCHCHl/Il4NYwmCPXaKAfct6DDsTA NGmEhKU05kysEO/EaL5eSnOPRJpZG16ftdgqIlaPSfGXh4sfHZLQ/kwio6KdPCzLXP0Th5eQchR4 +oJkdNuJb9GKkVNVq82aPyPdvUPanJ+MqB+lJuOZ3mSdrQnYJel7ZS1+mhaQsG/IS6VeXpyhYBa9 rDEcqkFDKknNCCGnc/DQ0Fj8ctDwEfF8sDhqBlruU9BXT9AkZosLHj3ym8TTGo3u4ozstVoFjiw1 OG04PSeI7zlwXIKOeOO7f4n+GTByZzKK9b2NPx8et5AEdhjNMJB5SIqydkQmdaJZ89/PBv96SSYg Pl6EIyLUNQjesKDJMRVJO69c4poQ0/5d7ratfm/pG3v/MJFCDMS+PYsbQf8F/+a7gqnp5lhz6q9u cw5qpultpt3d1isLf0cDXwislDWO+ixsBKD0n1NxRNFr3WF+1dVRbM9vlD6rkWJWptD/2hljdIsJ b897HQgIXhrKjvRmnSZT76ki1sF50cUvwldYZgf1cV4QAUQdOzwJBt3z6OgV6XzIu8Zxw80x9Z06 6z9i3rTRxwf2XqShwqyDkdFP9ixjxsq2z6yUOoNrDqWiylpi7sYIyyg8gR0BpNdDQQfA3nbv1dbf xOc2/yDwJgAQwxDTzIrWy8Nnyy/ZiNLuVhBfvFErIbtSqXlZ/EZSW9BhW1Febs4kzlF6kLK3YiGl G7TiLiKZ8+Z/iT1VgWBh8rk5yuAGvVY5dSFSPE8DYTMrewrXuq5f2XRHQHNk8+4fsVxHfkq6pHZD zBgIYRg6DgYan7hSSRn/dOGjXkOl/hQmBpCeOUiarcW0rEBAcDTy6ttf8M13SZrnm7tXPj8B8vyF n7F4uuT6lKNOQTiLNGoyp7BmgN3ImuIBbtx1IH35TPhz4nosFkh0Z82v8uhslfXsXVY0VqcWoWBI gbSTl2HMBigqWJ8R5LAGn57RxEGKOhdNO+43CXXX9b3wFmVvJjhEAHVWA2srTQ9xP69m4Irvs7qH 7whC0d7Gs77dGplyeqKUiKA3EUMQpr0CRnyWzTicZYAf2QnHZF7AXPJWrEGk+fDtAqmC6FN/qEAU 0c1vS71rC6hrV/dprnOSn/oy8gpAJEI8ZTqmV8yDhF/Rzt4K3omIWejXtF7UNe5P6fszJ5MLnIHu jn52eC/ZR4NftiTq5Aqnn1Edi8gUaLZsxKNt1ejB9kT6QlLtJMkT4uhl2DZ92FGlyPKHNr6M/ihi GpOftZrViDuKMojsNIaSYS/zEponVwZ8Nbs+uVJvgaquQTwjpQvUkrGURCr3zCgXyyAh1KpnxZsB In+kfmwG1hT5gsB+eE8VQ8RMJLXJ6TBuokZ/EHb8f0by12YEbGC0HuRaZA3BIbJB0Si/U0DdI1us DBwrarbochgOati3B1rZ/4IeoTTMlF3tY7LR2yTUcI2RVF4UalossassefRY7VwAWefuYcG09pKn Z0oO+CNGhEvF6qjzInkXsVVq+/pFup5GBeyXqTCeLkBHsw9N4XzENUC4ftumderje1rYyB0xRONf hi/bWYxvKWy92UMyLzxtY7iJrwTr05XFpgaGwly9Fmg0qLP4kxpXYe76rpIt4vemjfitjYr3Kyxi lPqHaI0T6EU+gHm1exc+3YF/uEdBvQXNttNIklUjsPHvA+7jSiHuGZLB1DEWyPJQtcoxFw6Qo0gd Qz+/+XQWjp1XIF1mOhm1aBgb7CFvL8zyn/kwQ41qqwqXwyh2cZ/jm3gKMpApbhKl1FuzUQzS/XPu T5syWw2TevkXI4S1KO/KOW0jI3pG495HlZ/ZxFhbm5inZ4iIgXCjBA0FgU22ZQ4tJOyezYBOG7Cl qO1QSsbSFcrqtRMmuX9Ayf54rfJS2EcLcg9KGPo02vhZvRMDXlhPsrVpJm0351N1Q83fTX65sNNY mSCEv10Pismd7ABLuLa7xsbO35Ef+tWyGH/VaEJbGqVOHDVf0OXOYytn3NWFq1sqhV1FIni7EmCE xvPV+EiZyuozPmQU5CIJ6goBoElp9wmLUyGpHMxUbqsoavPrlll6W3XEYrIbhPNjB1Tru6qof5b5 63QL9/obLOebL8qcmegu0YUwhx5rmbX47ucJEk2Ogm32WbRDtj0eO7LHqcQhh3pgaHQJbcN+i5bt HQk7v2JNsTcpO8mgHiaYFt1ZjP0unPNm8lAOtJXhD6MEObc5YnhPp7nXzj2rS71tRix1kHmh0whT u6e8TK6KhbM6G0NrkyElnvMQQu2jt6SJsS3hirEupqB2xyLL1RHV4R9H1PceJiG4zHQMfTVjGks4 bs8TucH0+aBDedhLFNCvxo9xtPrjYslkuxDN8EuiECXZ7w20JbB/O1VznCSWWvET02s4bEnWl6Qc xSXjUl+3aGAZpYIl/s1sQKbv5iJHIWdkAE9RAdeVV7XJZrr7Bc+RogEoKyAj3tKkAAdwtGTYY067 3WiaRLVumbe9uS6pIiSC603w7WujB6NGrQjQhaV7ztHYp7iwa8UCE6hMcDge1I9sO1Vb/mrKeFuK iDGXIfSHJWSzsjX6ktWOgh7WsYDY1cnKkZ0e+rFLIcbj23I/3lSpdjTBxvoWeQmNTCCJnkEuqvX+ oY8ub4w2Smrl56E6GafGh/f5layOz4/nQH+wbWmsbWwWy3dioIHMVhJzbLCNqsPYuxkeWxp/w+hm BG5GKhSb9bliy1YYPndJMMGW0dyA/Psw1LMfUWtSWnZeCL54FXjo9FlKAEQ6MO9iP/HkVKM4bn0a 2+V08FZTK+PWft2kt/F7tugJmksOWGHf5utkPMHcLGGHzLKPTSngbcu2XM0L98EUYZAzSsBZVaJj ZjxPRKZgu7gOE+C7DFMiTx/BBXbj5Qn6K9iL3oZaW49BdXyPTD2rcFFF/SHxnrBQ8XTdOSxme1L8 SN3ISjGrb4bBBdguzk+WtyPIYR1hWokz+H/CourFsRr8Dv8h6Jiz/auKngr1nwefPJdu5TkNqCAf 4/0Vu3PvfTBBuCBiGA94D57j5EgBH/m7Mh0p6C1Nnizw+lrfj5roDETyRG2lLHDj91ZMljKx5Xer VYg0v4WpqYM+4EzyzSzXHwzj7iQ0vJbZzzvxleG6n9/U/QD5nu3fLO+dcfciJyqHBOY7SxZPQX7e CCThS08GwDLSwdMlcxKxciEexFnCB+wkmpPgE7srb5yaoQtb8hc3FLETgmzXmJOWcU1X7aAZkccD Z9sYehMij9+1fgBqCtsH1hfl7klKsmQq5MeCjfgzx0skZ4oE1nXEWeXw892MjbcSphxrOJooQEiv 3a6EANhJgFaLGlMw/JjQfRuVPXV0VvYf5W2MwEWfyxYz3/zc+5pSS2a/WlzYTstr0SxCueiJB61l lziIXR3ZY3UvdcP37UYQjgzQqCURll0jPbW3VxJ5gwMnmg17jOEy8ENMdz0oVRDmTfmQfykG+IY5 Cm89taOgFVlJ3tJn2J68g6+ZeW/BdbzpUG6v4e8Odqvt3yUlvz68wy7BVhWuCe1u32pLiFSKloR0 HcraRHQnGHzbmCZZbfvfEI0QcNX7HJXIURkzS7B4PFsotUAjM9z2CtPBwGQt1wJjODhwCowCZu08 qhZTrneGVxjFhgBc1AEQB7QdXGhd9nQXeiqKWxHJ5SJsKzORh0hWMT1JAPSD3TWp9WYllria/Uk5 JK8xia0PWz0IYAA1eWaAhX2fHpzW9/EASwy2z+yPLsiSR5X1Gh9X9wn1ydmqNNZi3bWsud48eGbh wJyAqOiO8clPwuewAbcmxT4zhGiRGPu+9FfyknXxGTY7/uY2mu5nmJf/Sv17nX0iq7BXMvfCjJv0 ooze2KSu8wCnHuBg5rV0k7lfLvBt7FF3JBLpeipMEtE1HmVkc5JF3qD9K7GeflIwDTj3Ytzyhwrp 5e2AxOnIaFoZvIQ9MQQ/oA2Y6l4bFuTSljkB14A6iW/u/GJU1T98A6cON9CWUPGZBQNT+DEJXD37 3l1PKplTjto884mff2R/SDzAdJndNIoawtLkZr0Ti+spI2s70A0YEJpUJPpsWOS4gk2M91tiM/9A 3m1EJ3biIgipjM+9qm+63TrY9Owv4QA8mLtnm4hE70Rmja59ENZVEhI4I2kwgUnNvE2JSuI8VRYN JzWn8AVLdAqpVEmgPy2cZA3Pw1tPwP/KwsMiiCa8xGhdQCr8mBPthU8SC07sGxXg8rTyl2TCcuG/ /9uj409iOiKNxFsAgZs4mMxQUeuWszSuIeENaEUxeM9PzGQ7+w6okyEZNdv5tNAgxlJA8zCuqC27 KkaKmvtRejPTMMK+OswM7/eAqkQuMgvMvQOEmfFxhyNV0Z1fyODComzY9lVpEtbPsFU/F3xRI37k x6U3Xh89uESW93IxEjDX2YleTbFSQSZj+25ZwipPfFJhZEpyATxQ/BdKwGnEY6gpXfzqedTrTbkI 10b7u5fLkOiFjL0KYSCh8Q60oAoQok3eDQKn1YmN0yjCsVZnHrh1CUDTBJ4cQ+QpBUoTUct33oLf sn8YcsXaTP8OEYEOpshHtA+U/sxdllpKJHdz5LQl18IK7+7o9VHlhIBRjbCMyNzPRg4YGaZf3rRU j1/4lHSz8KJlToAffA7lqpp2psDFboMpgJDkePRgDnYxwxB75XSLbvVuB1eFabsT1u1MK243+iVs 9Myo5cTTvj8tH7UoCJ2l1vydGdxKxnJXphGuPnAYuIzUo3WZyVZyXRDXK06paNgVxm0/WwAdl4Wj gneWrGUyEz62X4qhwwrt3DSNXk9TpZa3+5el1qbMpCdixYinhTQyCkGZVczXbaJQgrQSksXoXwyw o6W+LTv9ZyXnY6iMh2nId01kDueqXI1n2BgTixlmnwrKS6yo2wnl3TmhfgtB1ByQM6ttVgtjhm30 a4g2ZXqpuB8y1Y7Qsihwldnnk4xVLDgqG0KXlJW4ZS5Tzg4i/rp0W6AuIRFfbG9fkn5ir/quStRP EW1oH6RJNKk2rCeSjSj8qM1tviOy42sKPgxSCalhMkfxUffNDIEdDjkOX9REozCDtqwqQrDx60hm exwBJ4OnjGm6Pd/UJGnClW4i01IXldlfFV2NwIpFsjn+vq1DLQ5NY5BhIJVjL4L060GNFJDvso8o JYYnNwEhzZQKkYDnsAsYxUS5nam6zV03kct19alMU2RjbmYHLPUPURuNaBJrMntVc+RTfzo5R8aZ 9ifgMbSk/GX1W+Y4f7dMz3uT4XreaeRgSNaixfY3X3Xrd1KD+xQjoNjBa52a6ZcJZ/Ahg1nEXuO9 upwk2FEVTjOTvTOnLhXxSja0zayKbrOxNhDdPVgE4b2Rr8mv4/K6uR5evWg2pD7lwid2ukvf0XjD CelJc61AfVwva6zIjSYSqxfDF5FRExFM0IbAxA1/63P5nG/lyPwYrq0r2HWSytv8QnN74KF6bwu0 +29JbhgsNuh5sxY30rAOVGU8GhaWREqVYN87v8Oq0VAgzP/cZjKGHiq5/hdfvjreOzgqbMpkfFvb +Oaznu449vg/yO7YaqzDg4BgU4hUgjWoFk5AOLeL3CV/o/YauK59ejKTKloSDy1ADphgrfwfdOmx 4cTHfQZ3OTAZrEPS12fnHl10HMdSguPwptUVZD0/jLWYrV+sZPHx/HJlTd/X5omtTmKKf8IynNxo vdX7xt4RnhjgL+57jxa23cMpiM31LFh/zNARMOkge6COw0Sv6WDB/aOumMfSpYwvI2618lhOxc3f sSV1tIY9uDmtn52h1Xdn7nRdp+sD+GLKnVuPpgN2AavFrrlyDkkmjnScFnOQa1vRwqS170Pv//CX biMHi19J7W5eL0FsZGWDUKzBdGSGe5D1SjZLXQVzrUKIjyfSm1CNhPXaNueiE45ECJII6RndWoEQ Bz45OjYxRc/iSY2/fuiW726kZfPRUZjSdRs4iXdvrgOT5bheJZV5pzZluxV1S2qKR1OygfYQFmws y6ifw2vRfzD/xZCXCq2236xVU03Mv3vSHmWTrAb2glF6YjYNLYID8IOGVlqFSBVwfInGzZSHC2vH ugsTpgktqdOvc1hO6q/Vb26a3Np4/VvYtPbfHmPyV4+gLeaHvhcqLFlmSf5qShZRSreCMhajpIm4 loyCJlgo6eqrOm4qx6S5mGVmI7dWJZDHwaYWPXnJInkh3CcGSjuyM27DTtmJ8ItarO2Oog/R996i z4SahSNoO/1wAzKgYL2M3k/coh0x1gLQ6s14AsAMXxcyC/01N78/ccGAA/5wc6uXmfP6LZShz0ls ML0GpDec84p4eJK+yrC/0qM8EO9Sxv8DPAbSJ4yfg94tiYos9CV5qylBVIVq4jqKwPsBlaMPCfao VZ2XyScCRpmzmpDiw18MplrZaS3khOuy2Q7WRL2c/1cBk686zOLEqiaQLKQdL9tJTaK7NiWPGyeq CaHTx02ObZCsYvFh8kYiD1o4CdR3mNAtayZ3097P2AWGaXCi9k5NhnsBcHjHrnD8B110F9glDX1S JRjQI1E+673tzLgdp95xdi8Xk6iDlAMWT7nNYwkC1vSg75ueNBT2gWXwxIFvpPUK1zTWwwvfaGLK VRvpEEWL0HvQ0Q9z388mB7NyVqDbGEnkJARIQ5UFawxTzSeL1hxKCanbbWARLmdMqcm6Pm2U8r1t UGYH5q06WYnq3tDwqO6AdORYg1Uw1VnUiNDFbeepU1nnZvaNsZPiDFg65/oZkOLlADk2jQAvAmuA ZvDvmbrlLi8sIztPYLfEP5kdDcdhjnZYEOKc7hpCdl6w3gpc21fXVnU1/88UZ56tdwpU2sORZuHs B5cvJsnlQV74Ft7fGg0IScjKQCkpBaepZbqXWvanKc7d4fYlkdyhFQ8xEeOLGzEo69x/kNpr//fK YrD0Hm+WJFzHdXRV0cxoQW+U6aEElgL+NdOKUVL2ypiWyxhCIwDvDk+EvhyvBeSGhTHxRMujeIzf wGY8TopSzJz4MIWDA+n5+vluJ34C3PgWeucNMK6Iu75AWGS/fCfg5gXiqZDkOPUM2VkVAR42rZcY qDULiGqtOVFJ3wPvq5Ks9QPDpjKz8YjuP0XSq6SFVExcr2LcrwAvIbfzv5OJxz1MQAAfplHJ7hCx +qUumN7rmBC1eOAP3msHbP32bBvAxON9bOPwocwCYbsCksWChUlj8pkMryOKh9xfdODAXOuw+s0n nrK4xG6j2qEc1fENUvNog0+PFlUVu6HSRYJG07jY4O+DuCgJrKemopwz7tPfVwhTwHuxtoo3YWjI FN423N8jyo69iiNmt/rG1og9P5NtMwA5rcR2orTQuIKJ/9hdhwTszCdTmpkwe33348glK5uahAtx q1iAfQ7E2QOHmmlMEE6cfqMSLLzVMj3M7pILz40vge6B549SYgTjoigDt02RVSDClInBYwUKhtBO ZzWwonCNWuK+F6qW4+czIvXJcFgvt49eYxY7v4/3Z4L7djjovTgmnapkWSy38F28DzU1RK4xFO1M uPb4D6TWZTbjDlUPTKMd2Lmx0G6Lwt00vEo1LesU3htHPoLKL5d1SETCLELDaHMMU9wQTFgK6mcV JkQzA1pfNNJ1D8gweyuDrilaFzeakpflrKClYnL1dpucUvsCOkXZDoT67uZzDvI5N/6Ks59dB3AE laYGsbZ48/UIps7xRXHKqwG8CInp2Z6F20QIOBXUZvQztaO9oTkZdUh2HFkzDw/Bx5WM8oDVaGca wKD2x/vK93qcu1NTUclxanfbalr8KmuMTdfr8EgGCK2bvSJsDgOEkyd1xLhVP6bU7Sk6yhs82Pox GX6H3fHRPsFIhsZOdZuowPC9rO+vyWX3BA/qdG8G4a2YLnfwEDuFoc+Dm+rJ5njUmanVS8tCXDl/ KWu4oDUbuU+UROMzdB0rAHGNMl/HnfJg9iNUk9cGMHgDjaMW0ZQhmAD/p8ASuFvwcxeoKO9cSkzA aDWNLQH4Da69l4KFUl+omz3OqT12BZ+3nmsQfzMG9EFC8fi0hi1hmlVttbwfFHGeXr2I9NU59Eja p8uEZi3jPAct4Z8DvMDGxScL3zD14qNeGKHOsRX4bDJDc7UL/ta5XWY596JcgcT9cwp+AjWDNb9p 5O3AhHHrO/cVGjxr3CgTIdtIrVsSBTBYSEigBrreIUE0mo9syUYzJyBCk9vYmsqqym+q7P0Gk5Vq najSqQY695iDt2u/uBupqBnlp8g546O5UaBrWvVsQFsJeSlSubwaooD6GtjIu7jLtMkPmKlJT7Z3 3IvXsn3cDkRsYRo4QpEAr+QboJ5s4zY2B7CJjV5WR0x6ENQFfGlIsaKSrF0c+yMgTzGt21OtYFrz qmnRr/kN319+2HAT5YzjYagyc2NANC82BD0c0mOYhl/diwPOsi74Vhy0RFGenliojl/Ppoig/J7U 9Fvee8loc+9mkZApsT9kR2Teiav0bmgxO7a//9VyOf0wSYj6FGPrbjudaOJrWR1eLumHBXEK/vFQ NVlAMeWeX24bGeyfuHD9CYNT/BLnrjdfapdP6v3DF+5J7AkKq4lBq5RmEdIOLCUzxbO0IgLgkSgj W7nu7YyGQlrrng4FQWukhWzJAmcOdRQthmbJdeF8nMpLATqkLFS1bK8gFnbaT4HDhd2y+bs8BFD9 N1RfeszSblrmPZqCBhFG4MtOMj/GVwgcKf4ohAew6AIIua0bOoSc1ycp/PsbCzK3Zr1awUd2u5p+ on2+qtgiUrLLMV2wWXOAY1Pz5AOMdQeCD8GI//9nJehi2A9RLDXvK4OVoESe5EyWrcf2uaPD+6Ni yVPwxFtTUANNFYty65gE3oLtYDBU6nhDaJallvhfxuqjAv9zFih918LIHUt3BfTEihCfCHV6C51E /7BfJ9Cxqrx5iJP3ZQp63zrTZ+2UVwYK3LPNiUobXeiy8RwB5llmc2Mpa9IiikW+akdfJRhAZ5xX 544SPMt0yQpBsPvHqaWhI22XAzE7uEeHRFpzlLJBzQSBR2AVOM4FHNWwuBBRdUA2xoc4uGt27myh EenMPZVpLjP/wSB056EeGMf4hMkChNfuMhwXc6qdis/jAX5RMhZExxAJmYPBZ/tWB5dptMzdGZDm sy1gPq1Njtn5C0xAAIlLWrdv22Fa8cRXdaXwl64dlSKVaKxlxkX/7V/u+bkQErze41XnV+UVeRnj hAqu+swiZup7etDPbIDnWo8ysmS691eAJInZ4O13bCtMPOAlb5nGQDJnOKeIiYrAKC4O7BqOhaGu ErvG0eiP1Ds+wGn53nDYr4etcfWTwytmQNZWF+J5ByTdvy7OXI/8ovCfhuXiqqwybXhdjikeu+Yr iLyIcGOxGGI99xMeO+kdpRejvhepeW9Jw3126vqd1wOfX0ke5w8WeStdZWjhYoDzukY2JEV6Pyai VE+RgsPdRein3atIjwdG1h7wg9S4n8ED0l9DDQ9Ixhbyo3LzEy0g5WBmqkTONbE+eWchjbi/ZMbW h0ret849SW06qV6ppZCZVOeYJOiBroH5BklLCGrUyCwTzYsM84yVHwnj0wL7G72CH+NnqC6knr+m LLvAkLjwzwEVvyQIR6qThMBzolP0SKx2U5IGnloXrg9Qeva36q7oGZUxz+3/nXW8JWTM6+kH2olM Ya9805Y/F66084OvsmgKP2ZVeYMEWIQZjYHsYtu12QDVRd+XICha2IbB0EzaXMGbTMn/RPluyIgv O9WxhCqzw6ckne71roc3ailQaVXYqhDV73si4r151S54TXJv3XmPxt8wY+KKef3nCyTX0UyhC0IL 7d1D9tKmgsRzViiixl3iGFOAnFlI+Q3a1LDjtCHpFGkBbNiO+lIOgKchEd87z4EbsTwMbRsdoj2H s/FZyVJtKz3QgMGS+I3X2B1pLywpros+9e0YY6yVlmbNwNUalBYK0gvFFAm+40n0xBYwkszCq8wD 7x2p0aAhYL4VchwI3icO9DXkNNLBT7mVbuAyWGtv/Xzf80f0eYGPKC4Kk8WmOIcVdD9TBJLd6QjJ 5xEeoNivZZcpNLJA9Cq1K6JKHiOJ9LnGbr3NBhqu4RDQs4vppwx6/FtmyNv+XWMnAI0rV6i8wnX5 VtgPePqGpPGpAALefj3DtXBq3/FyfDFYXQFdvKRN9QeGPSfcUE4J4FSnhG7C0OryKvQOyC9SsNUv JKqzwLMoVt6czMBIAfxAtXc/BcxQEOvMjL4WYoNIx10HulBKQECRp61WwVWUPoGqVeoyraW9RPGu j1IbhkoHBchztVeeLxm4qOZ9w/isDuIQwV/8qFvMePnnhsPt166OTMXLM8/a8vNCxy67++2AzumB 7ttgIYqbTTKNzq5uYUu4dfLapiwdRmBoC7k2xlp3WzwUxrpRi7JMPidB6IyDIn2UcMITw3sGBocn 2bS1gwb3tiO6vCmCRYQeyQzbKgTWvLtnmLN6kNIQ16pQltOhyAmgVqfEFXpuYqRMrrx8UrOFZX6v tloevz+1b6JpXAAIsQuaVAbx4fQ/tqYGcewjFnIaKJlVqhVUs+OSE245jSJWZWUTVvbD4mpc4CzV XM0/HMAy6f9RwtFaOmzKUQL1KzqsNsLeuusgkRqfc2K39AfxxMiIkp6g630UrY2ieSDrWojIZDIT f/jc+tuBHJDaJX37r4fhwMOA2TFhlEHHQxWKvB+eU4M+ofIof1Stb/DOgsoxtsesNjW4NNPAWgGb 89ujsAky00jel1a6vz/svC1zCP5Vvq8qKMMSWYr4vV6xY3uJsKT0rTgv/Tem3AAZmtvfrPU5E+8I mNivdZgd75kJZ5YALChGo5g+SEUgdp9XjGnQ3gG5XSFYl8+isVfv1sIYxppuQokhFBrwXy+T/uGI Adw+KP/yMwt1OMB9h32YKqtn/BYrQsGUXS3mCQpkY/KtawVhvKgXnaTxrd7u9J/wyUF44IIF0OGw 83rQfa/pTn6hbt0kHXmSRZdjfLP4LDlzsYYbR3JG6h0OKq+OoaliRXGE8/PQ1x0Hywvro/f7WPNc VWqSTaIrqUZJSkp0p1beQytZJJby3W9ZXqMskDq0VLpViGdXNfZ0j1a+YMGVomHlrBtdkxmHsCoz 5vA63HuHTPzdztiT5K8i5aYkQUxQ0MmosVQSKF1HgodwIg/PiHbInxEsgP7JwMq9FuJkzHY5RM+t NQguNihSp6a9F1u8EF3MQgcaA8cw0cvmyviIBW5OtI6M5/dF0CP0N0YJaqL2/jJ6lzilm/6eLCrg PzSBQ+hAFxAMiXxyRFdFW57p607ZKQ05McFBctnDQLZsg3qeWvYLHa6BmKvbylT2jIDYwuP3h9OT 3BHlpz7kJ7/tuodRXqFlJalEpRe6mplcgE0ir6fPrbL1PNbbscgn1WHuN/quys2+OdhvoPOdZR2W ASNu/AUDG4UYAMr2zxDD0ympjaV/M5I9t2ptiDz2NI+Iyto/E4yMgXUsCTigX3VhJAiPPY/4xJnR BVEORJD/LVnnTm/KlCfMLtJc+iH0tNYAIpZDbyQfFFIOzTQ72twKuiLT4K2jN+sqIDxMe/h01uCD exmv7dnws56L1o1xeD/kcx6gusfxwvdRC0UhN9HX+bGvw4y57gnyiEtUlrxkio5fhTQGN78u9qDs DFdPvTl03fFwOu00CmJG0xpdnm/rzr3JFoLlVgHXEZxaTYrvqe7qwLUgVwDHPYQh6/xq3kBHAXgg IPIY1LrJJzPmJ/Sxxtvd2/y79XD+cPMXMnjDFiEr0KZ206IVrDY/PJYQozKEMoenOI1Mk0aE5+Ks Q7aWUBoiH4O33pR6X3cvDlzDVK4ScWvAPV104uFhbidaG2asqauIvfK6kSxKI99Xr0l7oNjMp1lW qa9NA6YkLyf/QsxmJnA4y2/33DZc6PnOCVf4xo7She3TYIeoWN2MrSWue/UyDxHw3vEhoZelHRlr kyUKoRGN8NaCnrWcT4hoE3pUR6w0cMKbHnoqOwErp4DDFncq/C0D2ziiua4bCRv0MQIGBPzLduim gYSG4JJQkEONKdQGUTL+csud+llBrw5qzTX5b5ovsWpXCA/o8v8UJ6Sikd6NlNzw8Rv4x0ZcGb14 jxCSbOLg/tBFGJy1qCX7XWzXXyMCNqX9k8UzFzUkhwumHKAcxpHHMXFRb6oMBPiI6vQ2zpD+d9IY VJrgPB/qhKaudc6GEUJTbDzenvWDJBcFnTKzaJY4l7QRWdI1Sp1tvvfrruv9G1KoKrqVVekG+3z1 xNjgoqBEvr/l4AFDevy1gCXzwCajhL9haF+qhyaDSERHSDFxIX1pa02uyBXxtUHKPBNgHxnEFlIV zGO+d14cBmuWpH9MPsLtL+GZmJsV5+LdSpDRHXEcP/fCAMHNYO+M+5AT0tpjgTOQXFsdzykZ66N1 PQaoayjaXek3MidfxL3BtDBEY6cdAtoQbjU50iswMp3v31YvO2V3BzvjD9tcJYSq71wKai66Psn1 8jGvrCOp3L4SYno7HkD9k/hU7E4kxDHrzuxjJj1N1i/kGI7RzTmCz5s1YLQ+L3xAarCOfgOrzIsy x9yhgnb0UWYIY8QVjgAmsEdPSgtEBdE6mRQXwFZGXVDKYNJ9TzhZMLxVxeDy3uMzWHeqjIVul68m tLflxrCefCDYC95C1LU0ioxy3AWyNx8jf0LqKt7iwJ8QPkvHY9IA7G+RX/M/KSgSoSjLaJDruzbl ma3eXHryn+MHbSVoC67zNtusZEiBGQ/jM/Jry9HZcY847jCbR8t7QJMbop/CCraZugjdCwG2Ybtb i50Yc1EE3Re9EsRN8IDFQWyJR7sgyugCxQQVcdGSocZ2825jvpPUR1KqlyNRJihkuJpFVoc9Lxd+ xtANyhghNDKny+CF7e6znrvkr6rd+R/oQItAgXl31/848K8F7YJNyIK5oDCzGJGJEWm+/qmJ+k+K mtBmB6FkMn1yyeyvrqVtSEnNaMKLThSuuD163kF6mzmRHoAadvM4xEH/4angAe0ah9sZdGBXKR+R cA5xjpnmN9lTl/uDksPznqQvNzXAgvlEfQkBiFtlBL7Ig0EFGz5tj5eTcKoLDfj7agb1Cu3JX5av 3pXGuUziNBCjsl+H7aJqSEjLS6uOZseSt7jrvBo8ToJxaeHrfEoLLaZ8TesJ3/AQfORXlR4pu9oE coWGX4lOWDRmyoDQozzk/dOA/z2Wi2zFQUxM+RCA+muXxEXZK5JECWVG7l9ijUdRpK9E5m62lXo8 y+pPm5hzUCimMnmOc96B0VS7eZEZETV1LVDbY76/bWEMwYpK65dopKFz55Z4Fwd9T2xCCDPsBTZ0 LbHy6U2/THarWxPKKjMUm3kezXouznE/z0PhCMYH3Lu5R4Nx8MEL9mc1QyV04KLF6+iQ4ajk7/5N Me8XjjOEBJm/mmu/Wzy4raO5S6TYbiaovKvwEefeFL2GzDaBXkm8Ozop8kKPr3efB1Um7gDgP3u7 5BpKES9A68k5sbjYnOvMlCSTMTomHsL29hu742K/YzzK8H9R7EAylfSJc8vKp0ETzW08UHdjLrCk 0qFAEtN1zmhzaFKiIM1QCS+kR/s4yXMey57HxHs5dyjGT4GUbf/rw6362LdeWPG6xIIKGwygHIaF N1xZPzBvaGPtHGWbHIY8U0vnF6UHMs1YHwH4Z25kVwYY9Z3h9d50aS5iYh+R65WfhwcjHIcw7C8m 3Y5TIDxxQdRs6fYJPtmTUSlE410NyGb5DdrODKmnkJZ6GtcSMFQKKNZvEDUEJbXpSlJRGBw6njbh 7Hzik6g5R87O8X3ImPHquBFikdNFkLi5hrMPQxkkcYZ/ohRRJw4ZtdU19jaC17wIeBuVu7xRjGT8 H8MfHY92KTkwsAYgwgkCHlhy6egwIUbMi2x0KFSrBZGyThRNdY48lT11D2atIuDKxVKz2nXVGebP 8DTzBkJfx9utguAIQd8aH8o89oqnuzxPbJUuIBL9CBD6BnYyUDmd3uqYpO3xhLHy/smm80/xDeOu C9hEm1iZFhpj2iloHA8sPlwnasqFTeiIfjWDw09Yp1ClzlMzkbUsuhuJKAI+VMmcFYBDo4VH3eA8 1uKkzyq202VZFtoipC8yFmILNKhSA+1esq+PdJvYnsJGR0qwdfE0RJSVpaGUl9s5sgRaYw92IUUY nNaJZfBlVNqHphlDcOvi0aY1vuXt6oT2UTwGA9UdeDxHOrdWU0WUzzQ66Ii1vX070yrSuutvSyH5 1gGvNpXh6KFGQBQprRgDJ1/xGcin0weZNoxnHjkyffOCvhJsq9jxu1k4pW4xPvl12nRAsjw7xgYb YbZZ6d4Tt7DDDhuK2Jgp84n5SVotAzsNTQ+GBJ/brEgBrbQaARYu1TjMvyKJrpR1U1c+PKA9p+vg x4WC3/KbKVN03Q1RDF3issYWGOQISxCsSgJLlAleQXmX27sELqTTXuOmtFo1Wy4nAQCjbL/rMjb6 WnDlh3mrCXN2vNbWi8LYs44EPBmuUEKuGTj89A0ENXTE5oN9+QCl1bSyDAVa6laVxr6+yGXCb+Pb lnJ3VYF6DSAFI865l8LYoZMuz4SHjTVYErd/DpV6LiNLEzRDai/wpLNDQY0xSFwntyStCAT23CCH CLFJqe9ArqPJkL58jpWoGMVz1OIuzDOa2TCH5hXqpVLIAfrC8lmTKqsn8bzZ+/QdZzqk8MyobaBy QjHYCj/7ok1CVr14hJkNgsSecCEdT874+1PPk1sh57jhSK0QyTXrS4Ndzj7XmcXVzjjJCOIu/jHj IMao9OezVARE8MjuT+8cfWD6Q7whoPWJQTCe2QY1z2jl23/1vuPJIa7Kt6YVZqqRk6llwByiaJiq cxDa9+TqE3o6RecihsfaKTlwmsBawfKRA2WkjNR66bVSfT69ErQlFx+tIw8cFLm1bYeOh9C4sLDL zsj3Y/2ry7OK9WWpPfaf5P96O5AtJeWAmFNYF5VwOmk2+k/60SReY2fWXLK8s6BFjN1Op0EJYC28 R+NwGS+XWzFkbLnZlwuAqj7g+WsqmqBgPKvf49V9U9RPQaGhfgQnlrg0tCZ49Q6vh7djasyVxc/Z ojxl4LxgNpJ2y/gakiDOlc8/ijj+hHxSD7vgcAu+J+tinr1o201zz90zuw7FhMlr/801Q4S1YkUN +QFOi3Bscdz2A9CeEzHgOU5pYt/uM9mKm2Gq/i6gRWoAa8jRjR9bk7DrH8ZVH/r+/WNwG8M3w2sW eFEP9UydvtCD75nrDXSjWs+F13Lz+bfllO9knaWDqgBLoO/r69o7/XJHb+vKYlX7W+Gnx6e2HRDS eEJpKf6xbTQX82aQB7BaYJo3GESZLqGfdKV1inZgmNaD+M7B0kJ4ooqlFCt2ZSs8fidOHLCT8+S1 41T2P8WNkVis4ewHEHLmElSWc+Nd26m8KHSYFcMq+0jVu+PJPs204xo9lWNWYRZo9OEimjsj/91i 7DO8+/vKMC1ZBLsjA3HBk3q+rhXStFH1lYmTCPu+5rpSqM/EAmdh1HHsgEztLv9eL/A9RV2vhztr LCxMXNMVZDfOCMbBaVQTg4p9eVMeuH8TgwyVKqmGK4Hpqi1KYzJa2nxQkGzC5krFdbGehRhq0Uw9 S+PsG03aDSBul/IVu4jAmi6JIVXH8pnHtr5u8HG7bh0v/10B1vGnZd59mEmVJKdFJyNEx7JDk1bJ mc65IzWWRGZFpXr76n7aVCUb4Q8BAyxv7QdaN9SsqbR4298f0RhZ3N9AI7mwngbmQpbC3z5iyAub mGStuRPvufd+TPtOoZI/8161DtdVZg6sX4nBAVwRCowOo5ronyvSXmG1kYuPJK1F+5KwC20pZiMK AG0xq9Cf6Ta6kyLx9zE7Iz1Jrr1yPozg62NEO7TDiW3XR3tAFAHuJV9ll41bcrFBjHqDlfWf0Bu7 0TD2PgqdKAs9TtYlQRBBO1fsl9QTfwHKFmsiaSrYV1dcdgSJOfwTeoWMhOZr1z+blYWD1WKV8Vw5 HGC17pCLVB5BTI6y8c/61oYy7i2yWI0AZhIZMan4BN0R3A+hMo/jmnFWXb6WXD3WU0p+gBbjNh9l enjwmnu4ZzJDFOvPfHqeJMro3F/1eOXk6LZ6cZB7gRZS5ibFGI2GrucoVnz2s87ypltE8cCE8dkU LjKT+/Z2FuAnyoA7+jyGbJatVxEPtwZ9bSC8zrSnjcP/O3bXmXeID2E30xGadKung6P72cG0Fvgl QV27iXhUNBf5t1IKJJRm8vHIOsJmNh4JDnDcAJZoUhEZDZRYNNXdxXRyqr5PK0vtLUr5okWvDTEy MbgtH5+LxKAdcftoBdw5vCEqnZllVNHhkZHfLrhpesh7xh9kK6G8y7/NrB5mTKieacQwbocXGFgu v9Wp2zwvvHSF3hE7BYeztfzzlc31nHzZLdMYUmMUijtEf71/FPzU/Tzd+OpP3A5l46lfHl37ySvF 9rExdBlH+RjndD2mn0HKbY/O6mkRG4tfOXyWZS36wIQXYDq6NUYBCqct47NkCdyWBPKZuyylDyJa wn5zLB9hdfHeR+8DRbwXl4YQLD02h2cG1sz05CtLgiHenPM7IMjcED7RjvqrsSB5mmNdSVWeSkeS ewFGkrgI28VlLnf593eLYym5KZ9g3Dst0mMaLACTuSX8bbID0MOiF9GT8CYB+3JYqoArwmAbz1r6 oGrbMGhNZoCNEbvZQp73XFHeX9vCA0OygUsEK7lD9R+XPF7aSA4zn/yxCmTEISkhyy6EmlgVlccB kGP7nUiBm5TiVgJVxXJQ1EseK7IH4CQ2+sbfZrZblls8gmAdYs/i5VC5st2Yny3apnxTuFbH8bfT GpWTBKl062UIpJK1MAgcsVnOplb9PAmOh/qwfAE1F1jQSvLD9inhCXqHtfWsHx1CHNVhrykbnpM4 krMNyUz87rpnB4nKRUyB33RRqAdrqB29sQgl17H4+hryNF+sErFfqEo7Tk4AYSHBWFW41CAUqO9z s0/8xVijoelz14QOzn2F2WXNS8x20VYl0G16XJsc9uhF4WXHcSxE4W8ChIweMxnClty2zyddcB8M O69bOkdZhMbrvKPk4KqJ2H6NtwuyDyzNyDdbd8hL6GzKIvFzMdD4n65G6MFpeCjiRzASvv2ZGVeo lyNq/Of16a6ZBVDnB4a63JPJVxUQEkfP0SzCoe3cx9CRTVkPR7QGEPV5FCfOgR7YcnlaEp4n/A75 pi8hHcT7/2dpVD1PaiEky3gGj8gAiq+tELRl+8ABfvTlc+uHXixVcIuHhojpopSbDOC5SwBNmwyr NJcRX/q8moT9QwgfdULGFKF9pYSZ8uaFEHOvzwG9FKvkthc+OqyF80Q6rWiCp5+7lShprHu4J11N v8yUAa4cCrDzfQ3c4z5DBfIT7fmf/mYS9P3OefJ9xaduDO9iF9iFAw1kyBOvHwaNsCTZgYwA9ebp +09HEM/jHMyPbvwNK2VZIqKUbPfZ8KyBMq6NNmAuLxTK+q3tuRi6iYmJn03zebbj2jzkHtetznke Qgm/aXTVUXg7TD/3PqzcWE4IGySbUhkY42JKvpzp1/wu1gobt/STLMTKg/ee5NdpiTKFXmIeJNk0 tBT/piEYoxwdCwIetNga9YkbU0tJ9w749vk8AowZlGzS+uZxoSgbfaqgH6OhgSOXuj5G9qZgl9d7 vIgcVFsgsnpQ3F7qDJ05eSaRvOQr31dCkUzjiKKo1ShfqyIAiRDGGPjFhqjF0WZXWFXvoqEFy0do 3nlSZXFeR/TX8EwC6cj8kxXUpNKcybV17fDun3YzrLTwsHFMn+2rlgzFNxrXxOS2lpcu1tr72yZ5 VhMUoyLdr5yt1qEd7is8gSb2nkOcwgjO1XehSMEGjEkkVRvOg0PkA1bQtOMJ9Z9GH0TszFcZxitX iO3VNNAYy70kYNpSj6l0aMkCoTwgX0MNDVQynbqr3zcFjOZbX+kLKkvy0+AHTSjxPebqm+Qe26c0 KTCZo479NKWId41eGDyO6TFhuyI7b98UiUNdezyTLgRzlwolF7OqrTm2ci4j30d80/txH6xG+QdF 8ROH46oQJ8WpaXTHIze+Mv1p+NGPzTa/Fs5yK/TVeGjwrFzB/xoAsNZzufNEkUeSzwwSzse1u3sW mMr8wbhln7elbz4bgSWbeURIfAwyvxCR8KaxUQlEWzOJNtV/NNLygn5i5MiAVOH178HAJXfvgpXA JHxyguHsdPOXHd81tcyYSLiQirnE33c5PERmWQhn/yaAd/Cc3WxmC1isUrTh4eB5Wrq+/HkutVLs 6DCP8ldIpm3JP+thoQ7qH2dmkYrfF2GinpcCUliJTX6IwSVUHQPk/ig32JJiDIibb+9B1kCYamxB wSKLS6Shx2TP/EI/laZURakYTwTcljJiUJGI8icJt/eE/hK07Iu0yR9YbKrSWfvJHSWEFYz7M57X BQIX3/bC25fvMA7U7hp/I8jXDOaJcp1hoHUonm0pj9NPs6AOroRWnyNRN/QA9dqKhITtCr2oZznW jqhIvg+pBxpZXAaeVywxjtAvJXlQXXrY+Jjbjb7nOesu83+Jrt5BIIw5qtGP3VXNdRbLoR2Eg2rr 7okpkZnspHPwtWAx44bZVhEFq5GQuUS8nxHXXiM7nbtv/Gc9juRwIWX2tnrpi5Exa4+ritGZe8jV BwI5gi7mONiOWfEN5JcEn/cL/9PdDixd5vRvWy/vy6B/NzAIIn6HMB2VCFDWNLRtFlxbTHFnckwY jXvBYeJILDYlWui7l80TFUx4bG+pvtM86e574YgQTVl/hG+JqL5oV8c/XKiZbJYgQVavjqEDTkKV Pw6jta8jIhMO3/sQLDCnEeVRGBUoFz7ocPVmxaBrkc2+xWa2UYZSS/0sMBYqtt6vSAbM8j7saN7N eC5ghzttCJB2euTIh3rYctf5AyaJN8BBEgOQ/ypi1pzP9S/W6xTdX8G0VhTgLRne5t9aYRdcdIGW iPBCtYd/zMEjZHGkbnQIcijFUG/i+UEyiVdrJI71+r392yjQ0QzjIWDVwgI4/GfhiFtcVg7qEC9c DfhRwkwRpY6AhG4hWTsDDx4b1SXa9T/w8fThpXbkW5w+zJ14QCCiqLI8XjLwXVgVWWLpmiEGux4v xfcVVLmmq89SY7ObBmN4+N75o4BOjelJHOQBeD08WHss6+6ECbUkISpVSbCZCEB5mSUEoSH9pZhm I2MTrDzewefUEPXLv3l4OjgPZKqUX60tAQ6P8184tiI6oXXwmx3eQJE5ZTR8EM27hNLb+4fXXwxy jCPZkZ1rqEb17bm50PdSbn41/WGa0ByhGw5jCLlyczZklEn4THnsOHoqSZN/VNjVxEq5hpyKFkCC V9BhdQJONfEiT0QXHH+v0bLED+qLq3x/5wQhExUk+DdzcXV5gAT8nM2una8zy3NaPYBefDrn8N+C 14F8UODof+8FG7MCKqXduxpURhQQKJ6PDWfY0SDGa9+GYgLfX1XkNlHG1JDHodttzWMwfSvMIEKe rF9cmPbW8r5uppsn4HSAo3h9x6r3hKntRQWrRslfW2awlwXN1gDsaxYzNNLRqyrM+smvoNjGOkRi QDuGeAqPO6+a5cpR2kJH4QJafORwtgJebZn1N6C10y2p0uEAI9PaqlTUl5GtZFxH5ccJa++uiY8m 8Aq8JaJEQpU+xBY/s8NVwfXZYV6cJP8wlztxVbkQFDhulF99X6f9o6QiS6EIuo1/Vsbw5QayW81j CQ+oHOxr/utbyVBJS7WgBljYXtYsK3IHvm3lpboLglOf9SCA9DqWTu+PPNbyniwl63VjB8Q761fB 1Q2wbPRVJFOCJOc5UfV38Hv8vVx7DaLgOIw67fFiAA8c2/g6DCas08URF30q5fQfKfQwN6Je4Aa+ MosLO8YpJ7/Jy4Lap++Q16b+MkNWGZRXYNjhDozg0mBNR/csh4Ts4nI5ub4VA5rtRaA99kf7soph f1wqenXnn8hC99eXA5OEfDofCncHdVvQfy3nr70T/Zd6syDCWzTWuDGFz1YoDPJ0KDw4UR46yvQ5 sQLaBkbegN8f/YzvYqvOiHEede9iQAljIRicXG7mBZvxyT2u9WMk5yL0/7MYFYqL/OeaBKO9hTX8 4+H57Ks5EkHwqmY9QyGFom2rtKsUxy6Q50lK2EDn/Grfh+GctTYKIRpLA+j+sfEuLUjm4fszCH9t rCBWvHOqGw1R8/QqFNSVuLyP3rpehBIY+ROfnLC4VRdhpMuQ8L9YGxTa3yrg77pRFp0yl3Eq4Zti VdSZXuu2VDLDOqohPFXLkK+7oq9DHkEnv/3OCrMiYTpQTvvcwdnbYEXlakTY/g7uATPwzzJQk2hU n6ts8DL5ohoMqD4f0Gu5xqBp/Pyl5HEkYpR2B15/pvTNTH01CCFDb0od35h0VA4jTsCK+kz1tGlg 5lWDXGpHNGiWJVkaPoA2v7hQGS5zKnxCwaqYUOP4KXfqP8fhdMBvDQRMxUw3Ua8wHqNbT54iMaNB JMxBQbUOFWZl/IcRADDuSmI66OvhhjXlqGLt+eGvZGKMR0diyL6OiIvyPEihvxKCxgVcPMU/MtyT nvzXvsI5mrgkZQrN00qLpvitkl3xFUsNIx0+TSB2WZqbjUlZW0e3/ErdodOMUm3stSj6+Tn1k29z GaqqqNi9Ru0iW2Fa+7Gda6AMZp/5Q0R520wyAIvy6AfiahmeuveegVBEjDuq2LDnm+zYH4oDamBI xo45wkI5lA7/4nQwThe5ywoF43GI+DR5i1wVvzmpoRrgGRbVZXmlVJAMyn+eWRb1CRbucGHTQi+s E2DnvAn+d1HIBYWymUsaZkVnKcHw2zlmttA4altig5GiFpJc+AIx1weSyDq+uVTv57wyOEADbEnF pEV0vFYyo0sNIbQilzZZdU+30R8HM+iURVTgN/IOQaLFh9tmRrHRPcHSuIv635ARSK0zh1DIjFZs 03CdGthPGnRJw72jpxyM5QzF6iorRq6nhOzB97zcG8Agr8sk/XfUh1s+A5ybvSzP2uhC8Yo9jhTZ qzIArXrBm9kehmRdMd1FoKe949iJRSsTFFy0Q2E9V4gI8NcmRtq6sa5Sm9GZ/7HR2lVqJV/UJy7s 4JklMSQdepDz1MyhiYk9u0SHABcvJRblgRJFirS9TDXt7jdgDIjFvyq8MolA8yw/xTSQQnBnHQMR Drj1qSPXjHAiuj+JsAptbSf7gUwFC+pvs89+tEaYFTYGfS9KxdpD+7XmG6p1Tv8TT4Roe2AepD+n dnFVTYgYrsEWnTRtqx/yWRphzaUhrpe9daNAUpYGBfr9KZjlq3xGcuxg9uNovxm6RVuzRxPlOJPt tE2u+n+jj6I+wvwCp1rqJWKq3hh4j3YwukpoZKoaGo7UKnMNRhBxmcUH5t38TSens7D1xXdMEo87 xh+JBKdK5qyw4pUJ3BqZ/VZmhA2mh5y6eOyVH3ohYdYGjGdfTt4crw8TTl69wX/8HyyohHYx2b3e yZ/4+N7uO1MI6bKgzRM1QgQWIKycyNyBiw+k54+9BNBESuQS1kdiCqLmKzoLb8DgLAb0l9KZA95+ pBVM2w+kvUl3hPzQLPdZaTr+2vK207JTwALUr12QjorTwcDZdtGyZ0ivntXVdNyZGkrJYafhRRvG ZLtwwE6dO0VBvnbjDPreXpCYOyVCrW59VSJjMg/rjIdttV+sxszgPR8IqPwPZUDpDbuZW4WN7lP5 /XUujGx5BC8rEVYEK6cNXnlMEO7ZfdglLwZ7SQ1qmddx2vnfqUAQmDhTRWy28tmWiXExBqzOVA70 sEpReWGN6AO1iXOgZ85HW3pWHEd0IIgS3tgSLzJerV3WTcrNzszPNN0dasoknJUUhk0v0y1RK49s 0Q9QK6B1JAWaST/5SVueZoQ5uCxLjioJVRR0AyS+gIp7cpEkk4uabs0BILroeZUH9Iboc0vkDZee 4igf/lPGYBFTP05Hw8xPWQWJlAeo1UUm8oWBxtzqVB1B1uf1koGJ5Ac7caAQYIuxBb4j5ksQZ96R 49vbaTQ3q5TahTUCXq51ignE4JCAXwi95TnORTdLUjTUjDpaeWnHE6ZQZbw8uxJtSDAA7+LS0m01 8UU+SXp3f2tSAn9juNLkwEsWAtLU/8HMqkkolZnhD9oQ8HJ0VR6U01qAKX9hb9yoi9WAthHj3pUC 49qkwSUgMD6k9gEyY13bYt6xtqInW8hxrSfoUJ0ZerPElWBdhX2NKdPv8H0VwH+M5vQvpi2wxfnA 0sR5T3UWveUc5Le5Te6+LfzBDuXIRxAoK+u143MyC3xc7g539WXkQxYxkkBlTVRk6piqOJz5adQT jEKcAmhxdi9udWcwwdbK03h987+YQZqi/Vq5NYsWip+OtqPsiH9l1P2bgo3uuIZCMu0/DM/8dsyt qaqxCc4lOcwU8hCbh4SJELO+ur3iGJHVeqI54OmfQliSgEjvlb8VVBmGVwqpDGt1Yb0l01MBZc9B +maYmWFe03CLOZEagg6q+GRhEvVpQePVGW2jbti72gqvBBIBzqL/Pb2tC9XjzUWoToF7JNvy6JN2 wfcgyaSfOy910sCq3DxojInt0/Jqaur1u1EwwcgTb1zTvegTyhK5G2t82ifL2lZCRSL8foTrzYJC XiOw4TvK+9J7Sx0e8ycrSrx5pS1bzlr5vjEkr7jVfr+HHWxQQL0AzZIPRN2S3fPsojZGgb2DB4lf q3J+2wZ5LHmf107GCw53+XKOXDmIqKMhSZYoDb+/kjIq/x5pQFLWZMxdJkHP1MzFEIBcQHA2iO/5 Tk6JlYvnB1IKaoiC7medIFaYUdwwNypjvWn0fBfVciny/T3zcn3tHHZh9Rs+Hosms/j0g5tH/pbF p4L/CY6mKdAkKsfrMzMN/0zOQWky9ZxYPIr9m5xtffC2P/Vf6ZfbTckS+VyiqRs59zCdM2qNE7AB mR7I6M63B+F+PGpjFT9H1q/Uf36ulh9cgSDa7pi7s8duqsOlpImfR3/xZpuufh/zVecIMnSTFMCZ NIH+zyAt5uvgfvWn8Dc65GBOJ4kBT2nJVLp3MUyu7jpwJ5gsofTeL0XjMbTQLDBAKJriVoMLCl33 ZXs1k2o17Fu66YTBBTXNbMZecGs4bDe/MD6YMjh/T9kQVvNLC4UhYtVueQK0eiBliSTvKRN6JAr4 P7+m74gNy/q30j5RN4iUD2GZzeWsTsrEGcQY+Vusn54ZWTySOa7N/EBPIXADUqSrfN8h6FUoYpFe gH07lNMJ9X/MS7xN6bSb967LKURw70We2aP4q5ZJs9vjBAlFekRPBRKfsGLBeiBVPvrekK/G05+a 9vYLr9gA+HBbAnSWkds/i0rQUd2NW4ba5ltG6bShBUvOaBVpPnQsH/qUhbJEwqIM8/n/ivx0qALM jYaa6occef+nv4ukcxP58fWa2zeHFDFNydQ7UHJaFbV1rOdOqHXtVz/lkb8gF3h9WyCNhWQylGmo LkI3GcPwwlvjsd0Z6OHKOTYzaRUSs9ZfqrOH7eAS/dtPGUR1KLf78gJWl18ku1Al0a5n/1bwsi1/ gzEUXcx0yJYIIPGQMG/5Te88hK+NiJLt2eobN4j+Nu0KdSfqOuwaGeV47pBIXNgJtn4gyycUqlyI ixoQWZ2uHpamoLN2KziaSrsuxNxEyDC39Ix0y5e32SBHSvDVAQNij0LQ/9qEG3+wwJ/bHBKC9LIN bpeM+RVq4Z1CnX91qZJ7E2/YP/yxSmIuqO7ezTJVctuEsPNyvGzKL9RKrvVQyKg+lUl81Gnonrgh qwrObnlWpWOJWLxkjbqoiGbHg/TUAYJC4UlEZWv1rmyoTae5GoBNmIuovbEd2pmD7JI31+ZCfh1L IPU/6eol4M8C0tH1fv+tkWGH/nRffdX+2KloIFLzbyQP1bIAVF9RrShMNox1C5fDKX7iocmbZqg5 HJyh6lCMsrfJU4VNczfIGSCdKOXHX2KJWC6E45+So4jPirU78LlpOQMp96sy/Vi4O4FKIvN7BQKe OL9YSdI6mlbx3FkSDKrXV1cnMIbEz1sSp7b+vnZjdXvHdFr+m2fB6jaNb8DY8W2s7QM3YSLRBcil pgfMiGtu1I6DL9iSxm2CtiCrALTryeUji5enZytF1d5NkYQTCiduSduj68NZge2fLEx/TskszsBJ qMsNdmRfUlpdM2Gm5KKUT69plU0KunNvoEM9ZHvZ9uzI7RvV3gDraAwzCuXRZtN2eBpiazKwIBy6 yHyXuAt0GXr4ZBf+OLpSSb0Q7Bq6PBiRMOnPKZLgSNfZBlkkZPYbBcQh93uyK714Qu7PikRqIg0w 0mqfEy8QFX23XVzOXmj01NIOJmU/XILbFb+9qjy5Xgu6lKP2f6wlYvT+BgRnrZX9ZHlBp02B3ulX kRgaQBKpIJPeIRu+8RAFwrGn+F8oO1KYaUIvec/9XJgbhGV9whEL6ZDpnRXMRHLT/Mf5p2pOX/y+ WX0k+oecHQuLii0qLRgFqVndrK5HtBbU6sUdqPXGPCCz7gKxb3eE1NvjwHHs8lZ6Jv2V9+OkKQxj /0vyGkKsVDiLeczCLS/AGdlP4oRp4OOl0tVhggxP+MqmfB5jdRuqydywWZEHCzetyZ+cIRo048fL Dqp5YCmBOiwSbnKM0roh0pltpN45bbiSmra9Tj09Cn7qSArOkFDxte/fle+LZkm85xjE0ogw3uCS 1AfWfy6AnloXm0YfaMW3va4wYdnl+ra7ehIvNbMDUaGFBjsJ97Qtb5Y8noVjWzlDQDVhMlZNGNTX UFTEP9AO+jePHRbXkeLJ+ET270+LEfhHjGC8d8/EPGFxfnkn5JOT9aiGEz7yju1MBs8h+4WQCs34 zQB2grw5MQUWB0y6veGFpbh7Syw0/ywTXRJXUkTFf+9gje0INDkbBFgdQGC0bMFFJHHMOnRMiJcJ U68afkBJb2i5zINPVM8oAmPUGZhPT88MbkWUa6u+uMhw6HnbtI/xe1X5Hy8OC7DG1k1FZX6LnTSg uzF2N6KBAuuCCK9RFr7T1vLjhIQF3WHsjAyMSCue6f8EWa9uT5cTikdCBD9JXKDpVSuS4KhwDWLb 5/LxQxn87FpZMRlZhjEiURCuZdFBwb1hTgoHrHKEgLifRObvs0rg3QXZukCcO5shvjm7A42QUetM /eg9DDEu/VraN5vsu/qG7YiHcLcuhzPW/rBKfGFL5lX1yPGNQuxB/n5g4TyohCRpvibXNUMBkDvM ZMl+skOiB3J2WTDCPfdBBxdg1hBwBzt/oxqREULM1zEja0AysAnyzgWlHEm3aCDqIPgnLAA06Z4L uUmhrgodFLDmwKloNdwKXBv+O8QFwyKdt7tteEia55yL2wKt9zo+Bs6coT65UHOl0KgThdv6X+Ul WfEWZGbkrJ/bFlB93iPpT1wEdslbByc4ltHrG16PMMsI6sppYI1y2Wb1kZnyj4ZbgUmKqMXjqlra eEItgO4+cldTj0xpSrcgRQkbW5GpIPb7Girtp9oeAy+lztWvIOGUBmqbTa7LQby4cmNW3XSgB82L +8cTyEvwSMvHgk9ajRX5pg1uq6EUrYNaQgINtklDHHNpQtA2/f8I0N8ufHKa9UxV+R4NuzZaa9vc 4X9C/wruGGDGfnfNtDCsueqT5dp1NhfzbpKRxqGP2KPnJET87NwEBtZdfHz/RIgzEcOKRBBWDnKq Jni4R4INuQcNPp2xyDhfcenZOuU/gtRqr3dpKdPSM+7ZgSlpoFbuCqFZMdUbRUFaGUANoIZbqcRT 3gncF/GyOILV8SYbogbroZxuxnm4F0Gq9+pnLx8fjvA3Vj7d/qMDPTyyY5QfeDaDGgjr0YIfIaQ5 uXJ6Swjzn6tNub6hEpo6+/eKifiUeWw2PaKtmNtQyRu/3RUUeCSL/ydGu3hlkqqrIz6bHrCv1zaU sdSnebVME+Vcj4VIqtGYAqaHdlwL+M4gnGBB7xMOEEa5xDLNEixIoUwmSkhwSSiS4JCs067u+t1z SgbSBToWIYXBjsqvr7rbYleNz0ndr/9t9xzMQINsI7yiwbuQWPbuy9A/gGkm/VHQm9cqa+DO1sTE kubGu9Uvo7BKCXcCHRgbj4Q3IhvDMGvMiN1ui2CkF7wwqgN+CQproQc5/UDbYBz2qpZk0ogYO0Jh OgHZE0YxUCudKdJrnlF0UoMDFviHndH+muxCNk6JdSGgHmEDkhzA/8g6L13AJI1aYmoDrMRI5tJp V7czCkFNL4ZkMHmcBpO2MK1sjn5OPR5tVf7HytEG2b/02Fx/wg9PLgsai0G+wyRi0phaquFYz3iJ 4N49hnPId0eaHo4GCXOu5JxxqZmLi51WPI01xXFlDRi0QBzIbq+PHDhKd15bl0+dvrZkCwCeVr9+ c/+f9n82W6N/TxiproKPf3EYNmnXSyRALJrZqbzpuOxOmlp7ACCPvpJahyvQz4XqOzNd1lhp7RCM 3Iv3fJRniapaqPogkAdh6lVJ9KdwodHfgFqinb9s/bHcS3E7kF1Y6OWv6EqlR3fjaaq7pLc3Hmsu kkwiNHLRJ1dVhK/0ePjHD1xL2iX58mff3wbIFx2TXSukpmmzdks8BBCwdxJxpaDY5jupy6ld8vuU 81stNmYJ2N2S52Nakzjj3j9W7O+buq5yBazysGiXHGEPXQJryyRGvM4DwlHG5ISCoRTTWq/aubr6 5Apfvw7cy6TDGYWj+Xyd5Qdmld6InF876d/tjiv1PBVXwukxm00QRPv65ipD3o5y/3bZkm700vWp 7RTOMacOoLi2NSJ8RGWV6ymv6c9kzbrsYMvu+3AytvchvDcPxFE5CVGrKfyEhleqUrkxNadO/TV+ HIg63US3voWWg36P7scnhKMaIY9JZHVSdPFJrW53+BkSlON+zqo+o4cT4pr7c99H+yNDyQibWH9e eohfIYkxSctJ+yw23zliIb6l3WRCB8H+9J9KcN1EdhDWU1H+BylWckAUI3EC+dc2JiElsihXScQ1 fRIz1rjg9VmQ7DEUvxdHHweWwjS4Tqbtmk/IM3Xiu82on1ucix73gvfTDIOJNzifkTr1krJ2DYKY cKluLQlehDA2IeiSsnOaRdtxwyZOdx4uulRlIGiuhG17+vhyxCG5Uk85xgugzW/VdtWch4S4vgBM sQJDEU53iU1OR9RS67HnTbZbgwPFR5zjS+rodK74FGk3sCa5BlXgVZZwj3H3OoWogJDqULGRESeQ 8o+5xUVaavf0O4FeZe3htyJwul45tL/koxMVEu0abn7kdL2MkNHbRS9uI/vCMhGvjJXP5kt6kIjq zrZfnOqoW2a2lzWyKPCGLiTsDVbFWiikAe1MqokqUQZHKf3WX04Oy/hmgjSud2/xRZqjOWs3LzPv nIHLPV9Hj3Zxd5TjpYEWh3hmhJhiiw33/qG55Am/F6pY2Sh0raW2q7XBgW9FCigSHK+HlxPNkQqX Olp8F750pZLzizR1e0xyiIstnEQU83Qy5zUZ5uBnO8lnr5SDNdAoRhG1F35qSC8ETY1CPyTfOUzI C0WnljyJcAu5ywIi5m4yFiaEr/yHQZqfbKsoNey+zk8Pk1C+12M8cilOImHpY6lMXZ2yWLZdGqXl GOdpmWpo5CCcGL5sfqpuHkKVLTQtvKxD2n7xf6ry5axL3Q/GYOw80xiwqd4RikC9HVK3pb8Sf1uV brkcQlyxvyrMjzJMeSSYQfsjRJENC+rsfsrcpK271QoDx8/E6K8z2jOaSE854p2AukSxVvs7lupB 1RL2EoddJKHLWvUZwVmpaOgQ57JbroMcrl2lWbcZ7YQ4v3CvZM/EYPWrOAat/6abUHBeuuPT9E8O rSmH5G91jKAweVKXyrwmI24VqmrMgjw298K/xjIGjR+1zEGL2uAOHl5S/A27lsqXZ/vpCSO91AYJ JF7xVRwIkCVrQTkUw52OguPK/oCdBtaxFBs52fUo/8DGcDJIvTOkEPbDuPDwM4up9zF1DTWHFBZl FnZ7m+9plnZoB8MtXp2C00zT5D5l/1oCj3dr09uJpxVHmBJ5jrA366zPwhkmeSyR+7GdulYYA5Iy 3QRPL4c1CZj3U1l+hET4R+vEx7MlQ2wK59lzmTIGVjXgf25obdmYM/oZYBC+DZKjLmSMG6o+vfD4 YXy8DeX9b7hXiBkdHj+jADyG4aDspd5kNNJehK4nkJ7b4dM6iFweuF3pw1rD2OyXpllgOwi+R9/l u/1diBX4qaFsxMLO9pk6GJM5//lKDYrR/Eq5AJBLIETgGDFRaEtnb3wttchjuiNHpjHAeA+h89do RHWacb9HO45KzMo10e68IVfQjYWyKJ9xCG/6RwkDzpguW8/aFUsQRaxnJlhbWOaP4Ka2L98NaTH3 B+RugGPfJzeYpcY9EqcTmh5iddaAMFs1e7lKsvxZ6Hu/tPmzIxsE7gWI+JCT0yloc/AblzlnKWnq ctO/KaJvBRRAiDfzD0T4YqPcv1b9YCsKU8t/FclbA9+Xc29P7CHRVIEf7fHDWIoyULOlYDe3Zfp+ WpUiV/j8NUoSo00CiXSujdagNrPx3aCcEwmHSlpjMhvE7FsG40JHujH2UJpKSksYrZWY7Ep6BGbJ A75qC5MDUFwKP1Iln5FOn1p0ZVeMyX1r36p3haZ/x1+S9zRhX7ajFx8wrYDcZ2aH2UiJvyPDGEVL ECDj6nuD5UCR3TbnO1AIpDmI/LacMltkU1xSbjVrwkrws26HzZL6PAbufPrBtCqLmecouzOpGvrG AZ8AiVzfeUSjZWlutm4EwxmJXRbmfZ8F0umbg56t6ttx/WIy47l9HnB3FYYiiF+czkVDyQZPBx7J qmONkkg6mKkp85qilywoGo6aTFoDw9A8eZti1mh9TBx/+48SaFrnDN4Ci826LbF8dXX9q7CuXkAB BalXnrqXSC2iAMKi9S/tmFLKpRU5zDYlKa8ekPN9rg10FvZBjUE8/BmYSFgveOjwf/2mtoZePt1J vswK3GWRyFX+MPcYm9usDnfpW4p3592HgUHHnmTHkN6jkKZp6p4zxDoUxslnMJe5h3dK8hdAOEtM s5gsXNo9UPM8q2v9WNpxSXpAs5QefwovIKi0j9+joMyjJvrttjdE1dJTlbtoc+Nt+s02dcBP6pHG PfUml+SWszyuiWDSzKCPKQL/tGqkG98v0eYGyLbvjMyncrC0x3/lxgRui2fb9g+lkBxXQ8CzQjfA kX+B9mkVq1+/sDAXS68GLtpT4UKi+zOrGrOiegOwXOqc7S9JxF7+jKQIgF0cb4GvuD0/kYBk9wGQ 5b0hLdE0j0gfQQ2Z6AKyVBP4ERp+hHz3XZrqnx56/TBjIFsP1KBb6Qow1ySjzBfNcaNAcCT1bKov YGOcAz+AR26m606terc555NGj6+44w5UJB/+/4AGKG79MOrL6/B0NjTP9S82gR5mI3ic8/G1659l 7DlrzbIbR+62XNRJmpkaJaf8U1FnturfLZJOrdAtczx4Y+wk4c0mwk7cQx3W8cNk8wBSMNIMsVNM ApX5DJ8dslPUosrdx/SpQnT97yXabKl9irN1SPIGScQ8OYSWMIZkb7CfbsJJOt1uNBzsk2YYbp2b 6cVb7QM6Ci/a8CEOT69UbzuHLbMbqYLiUEu4iwFcSK2nBMyf4VBFZQKpqx6hhDfDC9bIkD3Cc22F 2CqWMlbhJckJRbdfN8gYYQ4v9Z3CW8wCUbCvqdIkJS/N/naHWEGfoKLbAYDNeQc/PthKA07t/JuH 1edzvrzFg9M8GcUsXFbJjNe2iZ2B/+vNn1miyAKzIJFxIGlj3KNn4t3pgMS3JXuu2xTcd7kAQA8y Jc41depbfXSI3ZUavtvR/UxtOe7KclRleG/TrF2T/o7SRfrmFGSfvP4k80BqmD4Bjqhq5/PjteEE CbMrR42MtV6JTe4Uc8Ju5vXSB2t3EAaLwEGDuDKRCAl4Dd+MMbu2onsj9t3kM5J54EOaCZKSDINI OF5yaIk4OAdsk4e6tEdw5sp958EwecD+GnMH4JsPOwLLnp7cbwVuzy0/I/iHcL5GSpkTHw6EF6sO bNLHnbvEJZfayfp6vxVUgFeK+EN0jux1CkRa8UbjwWHeaERJVvm2kqQ5y6JT0bJR/egI8R2GeLQ9 bhEdaRx2cyLDo/FPQfczcpSzjzSuOu5eWz4ex8x+6dzrlJOqsgkTVqMEWPD9e3beMjGCFpjPIZaK m+A4d14cfksX65++8If0lpkHnSd0BctlCGs8id5hiEBdOBumue1hZtMQ4gC6dWxLZqnahHk/jTFN 0lAyg6iY9y1+erROSFh78wOwwx/PcOEYGXLh4wDwmZu+p4XDQ0u49xboE7mpvoQRRHanlWX7E6FG P1yBlIzXhR+MgcwYS6OkJDKAOiRZtT39H8/zbIXaYDJJItHl0zs29xUKjmd8lJXJqT8dadPD2CGr jaiRd6N6ywOAArzMNMTpzWi5Y2i2QjWOMUq8VPJT4ltHI8f/7k8y/tkwcDrihnWufncc1aHiz58f 0kDe3GO7Wz1wPrQV58rehw+kR04Q77moKoKMOlEm911belRW+q8y1gbyKfdpwP4cLXzO6ZicTVkL 9qjE5qpQLQxtWoqIFMBVp/QnSgJjbV+OV4mxFONSmcMcM40ODlsJ0EFkoBaGXYPN3zmCGE6/qa8/ LiJlOH0Jcpu2WAgF6se3zKB8tgWDvMcYhmi1KdoBXUEsaIT0cimhU9m6fRa+cXz8S47REYn9ZTYz 1ZX7jwM+MDKqgTOOXHEZ8KMciTutr6XUynq2u98Y9LkO2hOopWbZpUqu8kws1OPSX4EnY1MYefrd fCH76qz8IEXN6wicUqwKLEQnAPQ4HCihMWf7K5d421xPvuPJZ+4iAeZiI+lXdvFXFMa6SIM1KVSt jYfbxBdhU3lXy6OvOre9WAbqB1NDj2zowhi5tj5X2irPIKr79+ITyefn5mAzJ5oVVHE/QxXWg1cT 6lZ64Oi8kxU33rn+Xf/ENoXdSERDfn1aaGW012fq9JKQEstcP1C61kUPr/tzRBxHC9kxj5ZeD1tj kYvm7KkppaNQCfsd2HhgGj2Qz4dc27xPVg9PCgt7k45ZXY2/tj4LMwn37IGl1LLAnJjo4jvaXc5n 5wiKCtPHSPWN5wLweywnlkqXUqRHjDyTSNTgDpQwwAO8dVt+ZIU+z/cWmRFST5iivS3zu/+1TD3k hTxCzId7Vh7y1FRVLzUwrKUOPUpBxYGTdmSe5TkHmyT2e3PnDAZKgvUzxkRgioBDSggjeYA3z073 zWxAZKw8uJ3xFDmBBZPj8ckx8Z0dokLKUnM0Y6T6paW7wztoKWph9Sms7kvAB2A1RaAuJQEane+N ldJs7LWi3jEcUy/zwm84vAxVvKNShieBhm03wommnWcwF4bLQThXmkI/xNcHeV355njjdoWoKTbN 0KbepHUa+BjMZmVnwaJZIAn2t6M6nVvXN64jmk7R4cuGccy+dc2igKm6TZg+Jv8rc6Sb5ZbEmen8 3kd2tcVblT1fkUEu5r2uh2LWnwF6UXeOYPey07fjEllU0AHlWFk/Jt93SIN5J9yZl9T7gZ8bk48z ZYdyZ4oUtMZUw9cFHtrMNkxSGX4KsHMY8g1VC44usnxWLLovp2pbZrCG7m8VAqcuapZvl+i9/wvH fP7wluYwc9+mwv4zI5yfIF1q5fGK87BhQIscUsY3kveFyblEc5pSjZdacSw7jI4ZwCROWlSbgVNR Vxp76pAh3/wdx9hapsE3LUEZ1a7m5cTZvQWP+rx50rdibnT0Gp8wj2zQrg7EzAII3ARF/WxvtNhU zoum/TiMAnvJpE5mMkTtLlCwWZK41cZgBnKAWrQxNstpHRyA7fhKH8Tyi73FBOzDonbYEbTdFWPf N5snH07df2CmtWbmpkvNuPDhBx1Liqy2EhIsK3p5XY8l+WmTk4myciNDJJ6zwmcI2s9VZt7MDO9j uVRlhTXRtGD2HvfszYYnUpRcU1vpopnxVzKOdLFCzWHoLVf05VGHy8z+2ydPXnn61LfbgG1uMEHb mmCcA5wS6QN0EfsnggAz6MZDFKCwvXUg+k8c84zw4XQMI+xWkDB4JQEfwPcZRkR97/u3mzfjTyjs QHu2BWzc6JpAL7V9v1Ez7xTcaNBKbZN6ZOU8+/qaKtmAs1XKHDvfpxaF0VHGDf3MA302HqBLETOM 98QpMneNljgA0Zr786PDVxfi977lZdhdExFg6gxRgFo/wMuDmwEwtUPX61fuP+hOZDRWdmPzmzEM pX8Ck8FjCaBkbxsPMQg1C6o8dtQq/cBhyLmqCmgebsfQr5BayIH4Ui+IqbsuwOdN8ReIv//EXibd KpeqsDU5LAc8KskOLhqMrjTtw8L/MEUzP+rEXdb7bcBkqjEYbr/vzGmiMYN3HzbGA2remhLTPWVU c3TUHexUdcas1kw2AHk3J9zQxCvQ6izrZSCBoVpJozSXu2Fhtlnn+eAyrYq/wJlfyrXE1Joti3Kn wvld4VklJf3Ad6LgR43YCFEqgmwXRbX5OWHjWACPu5137YF6DlALyamoIGhM+a3MVu/ZtQosbp+o 11+TFgon4Sw5IalZXD3Tm4ZYnOk19tdotKlH+NfyIQPVRcGE8+CIaWr+0Z7FxPNk7Sxd3HDv1AaS NVv36MgWOanR/n5gSYDVEjoe6OQXTvjc5jtrHsJJTffduB29wpJHgM4evKG7Yv0EEUV1g5dt5AER nikZTYjWn5+PYQjeCoCaJUW1yy83eLNWRZIobWjCTvshBofueoTb95Y2K/ZDUHne62Vp1AxHGRVl 7q4SsipoHPTWkJN2031xYysrj52Hx0hgBEjYs3pjdlCqCc63jcZiIEkTkYI6ckcCw93J0agBe3+7 pfybrlIis2yghoyBeKxEI90eZgMx2dN3GQ5YCsPpSgnRudMs3IoxVWpiqv8VZceEb2lyJBUhaUha jPjgXIIlaps6DVJ9O2hN6rHNqeG2w0JhKOeazkPmWSvs26GzeaYw2Ke4U9jbtmOSwtiJQUWvqpW1 sutGuPZOaeNqJVw92CIH+nupVWq1N/ETgO+jTKoWtdwh7N4DKSwjE1MeNUAYT1I5QcxS4/uyUUaR mJ/dZgqz156hp7K8dqB7atlfhMExUqPP4p0+5Jk9NDJkt0/PX6Iw/eeTC/K1k0T8JFx0y1uH+POj wrmjJI41kpi5xpVQn3kGDylZiSgF2wZf1DZGMDQU8mIzFGckI6pJbZMg8hWBDbNUdD/TIEq+wFCM ANaHvP6rseRCBRZapbQCvPw27HEHZT14TzVZwp/oFZkwKxUHtHMYHZbF6Qmls+d724yHsE5rU4eU r2hIkKFmPJHpCeX0hOFrWQUhRq2KYf18MukiUIl9JAuiNlc95hSLKc2xs5wuiPIECzB2zsHtgXYY ygTwD9KhsuqDoHLOTniV5x1IQFMMC85tvYZ83KqMJHZ9ONUjHLVa081LXhysgexhK34ftuNJ6yN5 rEUHrD6LmiqMsnQtynp9SwIK3XC3q/4M0thL4XN+aS+jOXlqPjcIpwxPXLqPaotGIFRv3SlE5Xvt 3AxaJQCJg40HXBuUKuBO18WNAYta5DmhyE1BYim8QSZyx/pamrYO4fHNxozEEhgR6HA0DyvNE02d LpBOvAlXwi4Nyo575nXO3ZUL5im+vgoLjNcRbfzKd0yjueHjfzYyn+bcRdhO8PUHJzDw4ej0JvfE vk8vKGUWMs8KwNHyn4W6VLm+cS9LiUUSkZq/nRef+SkNu5OYgszRBOzvc0gQhbfT4aZiUN3n7PNd AZrK7nmREOv2brZxyyqAX1p2pIfQZRhuXkbj8Evh3OwkKzQvdTxe5oIaEigwqG9D5QPWwbUvSbT/ X1yeG8sdPgjkFPZ3Mzhc5rfaDWuXewRhHV6OsuKD/j9poZ2ag2Nq0ZpgrygL4D3ml2qECpluCShE TcngF3mzPf9KtrOSDPS3xbT5M6ojU3Vw0tMHRraz5gJ/o0baD/v7qX/RrE3ut4Eitu4Xcnw42Nau gPfUgopgtZgxii1OwKbDMGboMiCqtk+60lCK1ibCeYaVkFjJzT3LFBQICDvnrtr84r27spzgZNI1 kXOEUpIyapy8mn6B7fh4E3KP4guCwcxA+tGimIbLBoHhjB105xP1Slx4cxs+uoJ6K/qCGs61PlvE luXFqUj0QLUVtgYX9HHYDjMqVt61MLIBXzgeMLWJcj0pUg6GrQW+R3Ejzx4L4wkO7uux6k3s/iM9 Qzu6LV5S2X0M1bRMeTfK7I/XJq8RPTcYN70MIH7K2R93xF21oaakFq7LE2NUtfyokKJL+cLfqZeI ZbQ+NlshKoUy8TxGYqGoUnsynwcm0q0mNYycoqXvMXEOpP1uDPyhZ1Zk7aIfJtcGIte3gf/pJVuj 9wL+mcwUK3dqCYKPT/aGd1CA773SbwOCZ4dovFaaNQpXWhG1yaDBZhYCWhrVAyOg0mSMRDKehiBa PAn1L/F3VbNG/aAy/ZTWupZz1r7Qy+JIK/jGM/BitoU3fEao7lnIUec0zgDNp3FUbCqbZjE9s9oW UfRKHhH41uGSlAUQ7GGVWe83wt0VlxL8Z4W/xK5vPm1EGWs3vE4G6PfUcVqrVro8NIsU7LkBqG5i 6rbr39gvaY18XJzhDe+o5RrWxRCX/KKgzTZ1sq2CwgPiHJculih31lkESw1v/AVv5+wyh12PYx17 voGydRleZN/sX4itE5L8xVJJzKk6NCIpPQFybOjEqv+nq4gFgQgC5bXQO1QNxmLzusQfj1kUhAdk aUeXGiCzd8PZj8X/Ypy+dkz8MxigL7i0zus7oKBCODtt7HRbeESS5vTDEnZF3y/qMQswUKb725tP bb96D3VQKAmrM9kpp3noTpjyuIeb2JgV/diQMYwAnSk3cVioV2xzY4wphTv3jAd+AzXGyWi5RORm o/mO2PyWtLuQMsNlhRkIYR3to6C8Lhm3hwRifXDnrWr+fS0o9lD8nBfxYIMPztQXggqdDDSCk1h0 SHF4rPXCKSvLbhQYnUIHBN13Biuhtmdwzzljf3urDxP/6zQKpe6FU5LnnuuSmmJtJKOV6N/Tm4o0 RCQ7eOD3bOEaATMhTJ0STnVj3eXsK8DgTk1KCM95UeN2ExwUDuGWGes76a+Yqusa+PMZoiugoGdM SXUbSaW7wlGoz0dkmXvcy8QFR4Hhdhec5shge5UE5bttmq/uhvxetDKAvxMWK3RbdxjRst20grb+ HcPY2l4nVk33MNXGmvrtwkBiorI9pfzCriUquWx+yD6qKjihTWIzx2GdeFgc+jvfah01PhAgqyhW HMwoAoTHv1bU1RsNNlzLfE9ueD7Is0RRNpC0xbwGrCTpaPcAGbCBmcoV3ppH8TE8TdfbKmFiVb/V 2Oji2egRJK0A9oihmO6eLs3QZYWKmfpoeaInmqmzUMnKlCIw3ca+y4HJx+1NCDzxCupXzecXFxgn ikQasW2pTUz3g28KaK1TnumMZzM6KIM8WodZ264CJxYhlO/bSmOT4WA9k3psUbmDwMmTUbGUwT1L OFBo/X2LqviLVxbgCW5Q6Ah2Z0WtmJTGPFxMD7iVWGNAvA+4ajyeHFR1SD8v96/MdmUv5U1Ss4q9 XYiEcQjFG8rxQR6bmPfEzdtYxV1NPWXUgGDShj5QMC3nHR/JyLdBcT4FTrjKfhI2zfn0sJONyBGj xjIWN8AzNCqLKlhi7qz0a/iwd6K9alBv1tq3ir352DHnSfFEc7tl64JTnCEL2kv/TlP9AfrgnC7B Pz6DZTAn/PjOHVoOgfJpsXUmD8W2j07x/wnJ1UWecN8667hB2unNjBTRzoVqF/DETgAazz2KY3x+ BIqJeLgRTWLfJ3BlFqKF7P4tq0BBX78dMsgva7GGe3aXOqtdLi3R/X2IqUv/OHOJaEb70A2xgeMe U2BadwfWxDjs2bUduw6FOJ2XpTXJiFEhH+qpmDV7IOxrEx0vEh1PlfNrMyj9K8nm0a/fXj280/5V a6AJ/SsoIATKopX9KRgUn1P/FgQhPb0qsyVPYGyh1tawhGR2pP8ghau/X8DBHgDzwO6dfNiVrX+X imRUX0ev23eSU1dVe3lNqzA/IZm4bCc6dds4KeEkVkkvgPhBZBh8zl+LGOOX/CyxAzCOYUd4iyDx vcMjs70ALtdI7TWH8mGvAyhUUrABKup0opWxSKIrjh6VQT2itWrFF0+gXIeEnL3FsiVTr9sSj1Cu 2q7h0gfRf8m7R2TXxPiEmbDpp1LYA7mKTN2JArNxtEleCC6Tz497D9qXYHz3qEhRA+H2fTe+gVi7 Sk1fy1hoM47CJah9yocOkM0Na5tO5mwRPqypcWcnKKdBWRLHHOBM7+SMRBIcnLCkgc8dS8rmRy8X dngrMqjWZUB9gnPFpN59LHGWaGmHcOl0RmkxMjsQ3L9bMFhkWVE2U1CdBZcZn4bTzQQD0Yj4dsc9 uZe0x3i3oSZ1nisaTUxOtU6SddCFAG/mT2Sew/cpyok7v5b0EjfW8bJgVTd08K2Tgfz8ubWC2wEj TdYrhnfX6puw1bgr1nCmqrjt5xCXikNJNFMuMmR8EPuKOmXcVZ5BJyGUgpv/STUnTTiDnwXqsvWs IbS8DIDtLGdw4dshmYUBSBQ7ng+/Kf6NSHnhrI81FndjB4dQYPEQcbvCilhEXEA4tjOu9JoHvips 5mNgP0ptK3HuUzQvJI7SB/UoG7+gTc1yTYtY6V5NpXLs261hFfzglHsRX/UqHezSPGugks1cikI0 b6moff7YkJSMak4tf9WuRsD0JLEAt10GUC/lq94IDCoPGF+LouydfIeguyNi1K7Oxa1iKpMTZAeB Kjx8lnSJTHgS4rTe3qSVN2tj8sYuBw8ilOop0U4ZLhgOnTCoj/Fr1RwA6H2I9xtvnASjIP3uGlzT 5BHDVgb4rmjioQkPVqC4Pb4lrYUJJtmeW04tfXX7wPhP3j7ybjehzj0GQQUVOPcju2zSkDotnzQI PKX9IljNyhpnnE4LM/WgDaMxID4moBbOgjwFfob1OfMmOG67Sj4EaVFqBFsyRCFFxSntAAPqCcib Rv5vLJPK489GW6r7AB/bmSFzaMXu9uytw6NrckRjWYTC8LButVCobhOeb1x9RnecHFozWY6DjEjx +/IxE5CH3igwlTxUZ5Q2aaClY71MrLrnd3sjAUAEPV2zI6pS4/d12HAzqvTCes0xrGlcngPrgjXj AKGgxfrOH5bsR+hWNbdeUJWtQVXprRu0YM9e9/9JDQu1vZH5XFg5A3h76/MskG+z5X4eEtzQH4+u hUGdVtaoGInQBFzug1Mwv3dEyuizzkCNf0Jjxrs1lXWfN2iHojseVJxfXn7Ti+VW/FwQhG8+umNo M9vmGnWpfM28kjSX2y8lBWlGD00/EupP4RmIlrjGyQ43Zitmbnvfz1NdRJchmU6eVEorSByq49Qk n/yQezLlJPXVyHYd541LTYW6eL37fjUi5eVDylzJ8Trl3G0RQuiYS8h3FjmOEmHOy40Pl7hxX5nQ JzNAwKGO/6NX7Hm4dK2OJluBx2mnxfDlXRS31JUn5jgOBlYfog9TZdRNWXyj1FnulphlKjMVokzb lWGda3i06KOz65ZgoM4m/zE5OXuRQRl9WqjBeFdDDsVVpN/PlcXPm8lJ3aUG2io/j03FLPJ/3rqb tP7MnVk/e/YHqTVlTLaJC/kYcFGBG69vEX4Miq9NBvCF9f0B1014geh1jM1m+prFsjSDvsjrETdj 0GpT1eBEJ6t50v04vMdEiNWskjckCHs0Au0ht3+osBfvI3ABZmQxmHUJTtK3oQpuXg40T+IhGMjH OoGc4gYgOvQbLsE4OlaCS7webw+rtg9DQVLfXmd9SEY0TkQRbYl/KGUQiD6y0rOwM2/vcAt7XuOP Kl0dqgHv40AK3OckfFS1wWLToHi5eWbbe9zD00t6kRLdmESZx2RyF1yOxMKuu/EQ4lhir3RrjPXI zWmY/oe+pDR5UHR1GCcsWPsaMAb/qi0O130qCY020FUIDpYAC/bB/RPRW8MLvU9iMN/TdQgkjlex i/aes/DJZ6nsq2DDizV3ZHVbD4wTNF4H5FtpCIKFhxrKIZXumzNlzFXdI2b9D3sF7R1GPotV7NDm UScZaTWouQVPaADB1M7TEdCQSgi96mgfjr35pc/JCOj8srzXeIi1No2GViA+B6OMzlIlLhs/7WZh gkDtq/npSE7bzOOEHEKpf/3yKe8ALW80eydvMxP/702OW+ygCmMFjTaspZdstUhwWkqGbrfCVA0y L0CYJkD3RIp0h0StOOXiiYiJCVMZQbsGoXKz7966SH30tlCsNwX5/o3BRaEsdIINEyiI1aor8XdC eYMJTcDvnfxXWaQpcdriwuewF1Q9457A45Pt/fgEam6gSYk3ImEvi7qAYJl1MhIgmHv/i6Tf/7YN cLjlXSVP/VfzJmqlBt9c+syky89ik4tgfOqozoiA2qEBHEhrIWaM2uqP8ZKli9yw6G23J7ODwggU MS9wrf6EWh+9+/ZD72n2dgdCsVmcLfFH0B0LJG5bnzksywilz9m6lNpyn6pvao26/zmujz3I4osx K/wVDyvsGEH0/GukvSAujA4XUDox/wPlA+w2OQvGmOV7LmKLcWVS1s63VcrWATKdfHblxdOLy/9Q b06/I29YpP8R1Ljj4cTAzw3pjHycZAKxN8yG/FLDBJYXGS3VFdsnAUkSgz62Qyjllv61tzzTqaSU cn5yhWCozqT1+rbXrzdxnVN0seEiqMtGuXhT+nq66fLDcgQmnKmNVIenEBouEiS/FZfkFXM9tzFS DNMWLdXKxpypAb/VwBiPdvqS7nubGUK7rx4ZjtNZHz0KtLvfe8qAU4nmgQSFC60SjZ4GEW8cbldb ejLhTZU4J1aaunMBC2/MfZUxWYyctp1iRc+3N3P+wtucYYN46hhQeJlIXJUhh70MIc48dwvYqCDR ENd87M8q4+Kn8uzB+kZ6PayH6oCM418Kqz7z1eolWKu20OympCNdJJe02UuEZqCUlDaDrylad+rV FR+DoHtvjohCIgdq30ijJ2G108HNS464UGvm5Ztw2cwdlwlHXyXYspNO6g+wCr7E8BM/pLTkBaGf n8mlzNFJdMeQlKg0kJubffHN9P7ICFBITymokwaG4JmZa7EY90sFuafozMoUNtTjKR7V3u3WkW6B 8Ze+IpTt0zCacRVVClHHh3Gimxj0MyNDdjI9ojSDFnq0HUznM0IZ+ok1NRBttZrABX9801ExgJ1U UFCNIsloHkpuIaoBeGmPLleqkLZc/lfxuj3AWeL6IVU5c3FJTtZo24X21cxwAVfnp0ZqkJvFfrNo Kj8rsozYUNwlPTXU5EG79HJIspe2/KuUzuu0iFSGEsQMlTZ7JegYfg46zjUdTTWWqbtukG5Ypfl3 8shSdE7PyH3u+pf92mfw7gDIEvtITt9YfeAD/Q3poP8VWD1KtT/9a6X4+8k8bj2XAgz3vyTcEn7C t1GObsul0xGgmqA044w1twzH6HZEbzd8R71hMePiPvZ2pLJDVtE0hr5cglkI+i7cKOMN52Ryfyyz MYIUQ2ibMBzilt1idwrtIVYtv5vkyG62YtS+lxkipRDOkGWUstyrSwe0NIOfhARFDZyapixeOQUF CkWZT4Kl0lWoAzt+rbsW39e25SGjYre+u/w1H3HdiZRGUWEoSHpsno776fPbTqYI6+1+kUuUH3Si L8TkskurdNBfU/h5UPCtgQ4j2IivGTDDJSn/bFjREC4djrD5jI+BoyS7CCuhrwr/ZDDLRSXsPrFG mfoJMmuZjVNMX36sR1cW4BBG2l2rjZIH1hPMxbXbzpvFMjeGG0MxMiG8lI/6T/yFFsCde/drIK3+ UpazYeeuCaRkej0hTMxCfSr4fQDjvrPAQ2G89lLL+nXuoz7/Q9Di/8Z/B1W7UKkz8I0dXzIR51uW gdglQiCoUH+Mw7q30fK4kZYlYeNCM0jzDk9DbUai7HHP0yLP5IP8Bh35j6vdw/eQrIcivbqLZ1bm 8ob7XTOrqlKkkJOvjUz3ma9vE3scAQ9ioeL1+ldWi/3UTONFa7LIcFJsBnpL5gATp9F5nFSXH0Xc 0OYtYjm0O+/dsebok/Bp1kjXL9ZzswBw+HS3I6Z5aWZb2eoxXZIzilylys6G6bS8ba+Vr79XbKNs /j3wYfdUI1YXi504vDP+vYLXwrxrhitPbsW3V0Eu0wwPC2v1WdGR/uFh71zV4+gbXzkWNU0EGD5A jtzMm7ysqCZm2JXfnWuOJzpoTkpLRN+6Xl93IG2j01K/nMWvtfRoFnkPZoTiSZqUTOScZGDdM7rS CPbzlDUuXNoXDJ2+i7eeHb3t42kHVJFTOtAYvEondl8qjZEqASGZl0HyHz9drTcI82sQc614/Rcv r+6qarRnnmyWs8B98gCMcGC6YvnfjKoZ9yJmfEKwMJF0VOO5OQ77AH544bC/sFOlwU2zpmWCihSW c2SVNu17XcFk68R89GZ7aA7LSpnPxuxraAI2RHTLNnjHfNg7/WQU0DCNtrRo2bM2p0kA+2pKVaz/ bu4ILY1mMeSsQ75TCI10Tr2tva3Sz+/TZ7HizCBffdhzGSSt89stQgXv2ljt7Jn+8mSwYZG11VwD xJghjV/p1cMuXPKeMzPCuYOKgOg1xzkgreGIOZ4d2qr3o8mvlMWq+MCKzCupVe8pHBbePzyalIlw 1Cl+emWosJ30vuVsu3mHk0WAzM85pZ8kRjxiCdR/UKmzqPIcIBipYhbfLa/myp4IFrQh20HHpMqq 5vVwNjef8dh2M4+YxJlPay2Ug7inseoyNr5B2s1gSWGSTzLJHTimH2VtZaoUR0eLCSEdhAGGLwxW wtu4ZU/pvmKHRgyQYCQ2VdRi1dUBPmWVbrGgjaVHdEwYAnd6g+uSN7Fguhk+DJ7paK1Ua8WpvxY1 Dtye6wJf3wnNv6HMJ71OD0gnxGueCHocShj4Fei4trZMJe5mOREUvKv1JW0dUZTj7m82HJT4uMvj Y1ZlurvOnINty2Jg1B9aEKdSHaVCvwwW5+Axb8JltEMiNnTPmaaL3HUC2y4SD9zmJZSwSkMyG3oN JmxdgLpMlTR65PkJCeftTiytVwSKGXwaYn1AkBhIjeUeKtIpXDa3i32MPwwjjsGXXUXyRVGE+yaJ iFpoTtNwyPPANhYr9ikfRD3ZUW/bb3W/Ag6Exoc/piQWVeo4FtoBVLTd9HQGQDXVPzKh1h0cRsSG aaeVVDp2cB5vne/Iey/mSmVLrWuNNfuvwEUsfXVZ5a54eHJCldw4np6zlduv1sr0PPlYKGBYluW+ GLU5I4thFHnrpC7WZDAM6kFoib68Ua32gLOmkt9dXx3aHlK607iiPsI+6z/gUngMIKK4KchuSIzH UIUK1txIME+qVHsol8IKnlQuxCxh3pDx4tnZaxCSMwo54/B6SzKVxilEcYGP607L38XETSn4wUVc kgifSyoW8kGQmHf4MBgQOf1bMkfYgZ3+PBl4hp33jlf/Djd2nrFQ7/OMV+y2qHDPTuHAf7jUNX3g W4GGLkyzYGd+R+ukaWzbv9d9Qwk6lebttFqn9R0k4y5fkMT3vO0oZdiFhI8ZrclteVZsocPzx/Kz TZlPGESmcEc/PuVHzUk528o/vLTVagHp8c6N7/vPHOw6nukvEVVK3F67pD5dezweJ40dDoe6moF8 NjSR5FHTOGm6rBvLDVlYLQ3w7+qevPx5RXPfpO0+EPp6Q5PMzThaHw/OVLvRK5/mAt8XL5Rc4w+d 2IGuq+CGnxyqBC+mHDsnYGUA9jDK1dN1JcbQ4ziVrDUyRJzhw3AVvP8bVlKqX2XeV/HhW3sq03GK 7n+VwfVXkyICZYWCpibELnhIo5Z5XNBOMbZfma/jOr7c9Z/WjuJBOCxTWN6/qosOfbdTBRPzYCv2 /V9/ePXKOd/hZ9q/oZnCbwozGeQ/cDSVa+lon3LmijanulSFEHgvhaERjr+iHOvA9bBOCVq2q8Ls 7FTPDxqaf5E9LkrsnZwJiBoT6T/dHLID/d7R/fbF5p0ItCVe5FSipZaQ5uodqdtPZ2YqZ+M6M7lU ZcRSFkNtZRNjCeLnY3Tbzkcr2cLtFGe87e6s8DESdaCcZSPXFabATaJCxI1fINkhsuj6QAVQES9V Ybmc3W3tyiRDRCWRqK4xw3rAgotjdQg8ENd57p4iLuAlXd3Wsr/wZF1VbJoha8QsHNBP8hA8IgAk CgVleN3bZFVp8uLxQTd1BgoLbPQU4Ki0It3ohdatMMs5t0JXJ+SwlQa48Z/UA64kOn45NdoUoS5G //EaoouFc0wtvqDAh71Sew4KRJtAJaZZ5GET2IDd8Pu96kFhBf4F9texAzCPl45H4kYuuhVgDJYt lhdRpjEKCxC8Q4Y5f7msVCkXW+Bnv5sS8mWZBd1xOCPUkyrcWNau2diXmSvYT17a7GEOCHkwr/5u dhBtrYW5hvL+mSbOed/8CGsksLU82qSxKklC5KxtamWzLTQ7S+10+t+LTGrxlsh8S6HKtBK1k2il Uaa/5GDsNrP8XYfGNWBk58DQCRhl+soM2GZ87B5Iis5tqiTTDtJ3gMSMLCzYZQMfUw7RU8/NDR0H PuKEUp646tSwqvIyeF4YQ74l8pUMkXcpfpW4KBOTSpzIpm8LUQgUNG5IJqh8RUIlrL7Jk8PQPuhd YFeT4b7+y7eFfbWGR3YhJqZFdHzfx+tKTWwn0+5/6kYF5cK6GByAgtAbaKt14cqRh3Q5BIhtHw0O Mt1NvySXc3aBs6Cm6DbcG82GcB297J4680rjHtCX7y8LGFFAr5RAF0dXArb04jxg34P/m60dV4rt KzRXnFiuDnJJtwVPYbTl+4YGeesw8l8OLtcKT+/WfNrCQCRmpjcec7RCt6u/qR6fl352F9dn20t/ e6j0Dqr7ir4pFYwEd7ypfMqgknFH4ebBwQ71o9jTvYRGbQmKQHsKCbl4k1qb5syy4dxMvyvAf099 9DjQFKPs4f9EmcLaCmgfT10wf58xq8QRgLj+Vvgo6qwjd9sxkEScMfCAB717INXI65k6TSlZnt+z RcT9mzOdyTqwj1Mg4H36L/QXdyteEnWDp6OFYH7yVwxM9EMEvEYlZq0WjbQzE/88cUHZVhCbt4H6 UsKUKPGKvRsMKc09l3W6upIzF56Ho8Bl/4uqBlypdjlE2FnN6nWruAeoivoein56FYyY/CmnWpRL fCE4902CLQZ/dmx1zUpZRvZLMphS89HesKcdcHuAyS5C7mF1OsLuLokX4BdK4f1dCQyDTpGzbxlM bdeDJJH5+8YaCvfoyZ8eLqmooEqH9I8ktoRxU5uYv8Thaiv4mYfShl7hzJsZWPwZ7XCxQMrji3Zq DPqrLDJjLgKeXtDsockbT9S2LHVFy1HfsetCKkK/k8S9jrYxEpY3HOn4bmHCi7odj4IHnrTT42LV yC/tNQPyxZA+0zWENHtnfRKz/xIScHC5jvRUy3likuXGiSMuuvJdzTIF1wyOsDcwL4GmDeJKMbUN JD8KFBpZ8dPluwB1Q17VqtBkg250/x7WzE8+mVs8DpA+TqNTLZwjhgl+9pH+F2kdX9m64QBi2KN+ OzBysZAe61wq6Mn9CZZaVywDnaQk7MVRE4z2UBqdBB9CBqwjXLiy9kM1EoIJif/toIoTkTorJw7Q So4jbNdtxLK0RuzCrHuq+60NJdQ+R3v7F1UCWudKRgfdr8f2sNU3YIMP3bnfHC1pnAtzmC6O/CFp wdHdb0p+ksSWHHYhA8N9qXYzSn4RHcnm5Vkx+lfGzHYMR3r5/uTNqmFGRO4F+xTCuabagcf8u4wv rjzY52MQGaGJWvdF9OoVgpMzpQZKa4mY51+5jnlfWBB77HVhuuLQ9V3VQz0x6JerVzmWFSEaey4z 16mMo27Xv5ZlTxaHahe1RS4vPogFKHGN34dz8oDQFhkVZ0u14/5588UFLkNkvKjAc2DWBl2G+est bD6LW1aBdA9c3EFhurHetq3zVrvM9h8NgF/fY1wXTKwOkV8gm9bOF79goGp/a9a4Y6+7Js55A1xc Vd0yTHtfrBU0d6L5KzlZD0HgV3hxdBqIJxWaO36jfP39VngsDeSKzLLHD7YNqKLVSMKStuNwQ/WL f9gg8R4LqbQnw/Ht63fMGSPMx1Iml0hXiNoprX3sGxETfEk+PWnvUleUnPL3lnv0W0Zv1vKHOWJC 8ucfuwIg0Cp2tRuCTeO5vXLcVfNTAn8P05wFHZNtqwwANLp4gwnmxyJLHxI+GnAzrLiMWbxwlreq x0izliYRnMedofxNcVH+fNlCsI5GQMKdxAQ320YaMxbX+FzCBSeM99mhY8lhqT939osGDJW1XKTD fR4HaKUD7KwATMDEaPzwJKuMLBtQpWOTQ5CVW6bQeF4+v1a6zWv9jyZjFJq6JDVRRDtUj+mruVAA goPjfN1tPIruruc+NlE/A3v2fjCQwxng9/yTB+2raHQTUr4R5epSStdB1bWgl4CvbeQixF+t+ANE VLyoIkkaWimV1VCxNYqhRto3li9IYsLWikcNwg8zF20ORvIJ9irps9YVBnqCCt63SCTSNvz54lJA YjSmTM+sKPhr1ymyljipAAsaayP3dXF/F9sv0Fe772g+jnxzDAydWJsZUtf/Lm7Ljh59myoHZIYU 4zTXN4EJX83YtNNJzrNcjk7q6/Wx80xvTm0lNzPCUS6rgn0zLS+giVY0oTJX+vRWgsbGQ9f/tBdp 9Dmhi0UTuLL++spbvwwDiQ/LWb9Nunld/C6tGpkWzWpxYMHZV8xPA6DHXY1wQG/MTJgZagiHjVJ0 CVdm6fKCsu+K4CyJ9RU2fKXjpZAqnmN+jwnpsUB4O/hwblg6ENMMk3/u26WaU/O4MZjd1M64KA6H ngSSCyvCt1IDeW2Xpl+8TW2wvMGsJCnV/GQ2EfYkYW8aDSsuFI0iCJmx9+/jttuLxoJviXMermX2 nuFtDzfs7SrxRLqIoCfeDp4We2JqVfHyjigKwoPtsbu+4WsiwLQk/e0D1MNGOZREq0xj1MkdlZQ8 Uo83VIsBGbKnJjJp6tOXp0qIBc6sXiCg2L/Ggt3Sr9Pgmzgu5D/xETXgnuuWys+Mckc5dv6EHNvB N0KiQVwumX4eDDqaF+GQ8Whqa1wLS8r5FrIUSwe5gzf3WKsSoMRmPTEQQwOabjRVrmPG8r2VSmQy 6q9uiwR9mev0rt2TAtFQQA/tI4olpx3tH3S3atm0E/hcsjizVYloAvz+xLWWoKAdHllDeTFebi38 G3bGNr50/hv/L/ToC7eXa6TWrQ3SPp8DYRO3zxpEAnhNVf+Fk0lhxTRb9Np3lJ0/3RYnP0oiF7cf PSF4PLfuv1pI/hL1E0G3p9XN/BQYhkdEoBP+z4MBoSuLrvh+unnB3emtPp5mQhANrMAxbyiv4XRx 2dHxKOvvIMUmv1dJ3AqQrc/diHnsWsg+h2/eTnYNU+QQFViWYzHLSeN8OolMxMMTg0qfflIKbalz Ny40X1V8Wh+GjIg7jiKUsVTaXIUHSjb3I15NpLnpx7lZfZ5OA6Z5/wMneR45AWe2vJ3/fvyHBTqm W6hFV8ijRxNTnDZQXAuyESRMJW3bpSCzcdTEtdhZ4PxC+eTZIdJ3cOJKW+V76Ni/wQbWjVDFVvbe GOJx0KMWKZGLj0Ronazh+NLLyxg5jC+DQiD3rJLrf8LF+FcEOmjNgwveCZbhYTtaq1kdlivfWQN1 3PaqjNY/8QezKl/OAz0UGAy9ZfFmkNQniHJ1I/bfvs6o8F9LfwKfIPFnv0+9cjAwS3ruJfmZH5dX Pwpe7G9evlEvTrNCOD1pulJFSwPIHPY87wMcQ7adU6pzbVZYYcGhNq/1JjPp+PgXBd7ShcjE027S VIUQ5p0ddEiEAIKotAe/KjacfhAd5nsbKe0D1GwiAKAp0dBakpfQwx7FKMXseY3L1JoxZulezMLY VaQ++lt6rKQxBNnNrFy4tHmqb4ONbbikse4n0R7TeKMX97PG2t6307TPhteaRTszLbihvvznYbST 4kj0b7rzlKydbEFxrJHynKh0doxmrwESTVWXcV5WK/aQf2wIdayOp/uEL8RVo/9iKydnJY2CH3l/ U0ZQzTiWRZW1SI6/KPfjv+WGUgMeIZLdErywNGbWFokxc8WnPES4HVu2LMxBWL58m6tYtJjJFcqD IeahJPgSny+UndOIhV7ltjoefw6sa8Wh+08LoF/MHidB9CMzbP5GZdAKk7y3VuRKUPDBoVZ8c8nB az1BiUb5RDx9+/MnwtvkFHwvlH8W+L8aDt0nQC9ect2wLXrY7ls4tUmYvhdyjmSiIGVlYwAuRc5Z QyfZh/bWafuJ5sVm8oa1lVLvkv5+SXmRqpuwuOb/SH+T2DTQ7oA1cQcjE7HweMZBjFCl1Cg+ShVx no45IfIynIllqd63RuLM+qqb4v37hcWwz/Mlw7/VmFKYkolImqyISbI9SlqNCNtpF3cPumm4OYkh vR2GDASTI6fc/nHSvc1X0fniB6ZfL4LFfMIfm/YD1YVR8m3pVYTOHNgz+TUePw84b+l4Wxs22w0V /1VbUzGse97F0jaOJULJ77IOuk9X8w5jpH84/KnqDT9PEsrrJ3eLpNHsquhTPmHgxDB/ZelPGxAB h8/RJKJzpZtVkmJUpHBkFdFzIwPISRPefo1EfZmyBXTECkQ+GpbWe6b2poleLfml39hORax6m+Mo Zb8aP5yhMt9jAqlopcH8Kgzf6RaabhBssVKC8QxvfzAaNFz0KcmsFuXe0u7iXytWBspThQpKqo/y 2pH6UHv8KaF1z1v5Y88n6r8Ffvj/snehmJ83y1Y/cHy03yL5Xs9gdzQPvBRW2GYVL7BZn7lJUcYH 224lYgFBcmiezwkbScImINBcTc6OY1OHjNdNFrdmx6F05w9Zgw/bZion5qNCME6Y+NqY+wrvHZdX b3rGA4CFoxVqRuulbTuMSttS6Qp83FDMZ3jMay2FDuPmq3bJPx8o5SKvENMOW7apFXWSwlitVS56 FquBd96tYXH6MNfEV2FLIf7Dc6XShJFNRaA5e9s2rCJUxA0R2O/6LLIYMg36GBAgm6l4WMqRzy5q jTsdNjma9bO9pAIjCC8yFZpIyz3V6cBa/8XOpRC+9JmTv+md/X64Z78woMEt2E+mYd7j98i0Vh1U zg10Hrs9zqGTPt1UhuKO8+Xd+trAl2dBGNEibMllFYk2NoBh59ZebF4pv8HsVHPLZSOdstN3a1Ly MqCoETHg3x4LeRgAIIzcBggMWJZMrIAxr7FlwxIz8ZZbdg1J8IImmYFPCNXgmEtkqPHBx0OvfQof 0Ky0OktsoAksmgplGVUBjV1VJJLOv8Y39s67da/OzNjgFZX2R2DWSa7Hh/PsfEcFE86kSp1FfncZ F/KvS8VDjY1PY5B7lD46khOYvh1Axwxqbbht2MHaBYES6MZsA6P/Lk3rXWGs+GGl/KXjG0J0qwJE xBuVyyH37iwrDlFWjpDtnZS3y3XYM3QeeBG/QMAYP9Ts1iTWKzYPdDCkU7wpC3GiAissiYZ+cg8/ ZdvS3vos3IB+gl5by2W2tX0vh7aKyEivHTumyRCeRdQ048yktkd3bzwQPfHLIXfkVRNmGsmHsqRh jcPl0nkCJy6glGSm+MLpeSWLAmHybyZIqT0zo4dYDrUZnC4WvHc2jiruC2295Ht3K3qMHqK8zQX6 kCmUROlfFZw8DwMtIZiUtIbS9ZUAkeftkYNXf51H1D+cXoSBCGjmOtIDgkAEh7J2jMxbedg9z/KE 2IbLnKRa2uIKs0n+6Zu9iNUS4hNOuzCpK7HWbopfX8PK2M8dbhjiw3ds20se+tqPakFA9VZYhqRI 7yfZ7fLVa6wbihZb6CKYLXG0ucI/4B+K1IGKCjLkQGA418ln2RC7J2XLjzt1BE6TlgliIUsbH+gB UsQ0/LXW87HnIWz2YJO2cHl5qFZB82BIMctXsL0sBUMF1rUOuj0aVrQ2s80vBB+nuLfHE8hPicJq tr6/aaLX3zl0zuekmbgahTepV0mZ1MnqEE/xEZG9qaf9kdCmNNI5kDQGtDR+mTs+qIV/RZyDWgcM RMk3XzTXcvxay3TvNtdIPK3UiflTyzSboPFXTHPR5eScKCqCz8KQM/maJSyNsMvEAlv2CfqolT7I q6ONkC/ERnySPQpSBgTjZOAa3NXiKYr7BJb5AFJQHaLseqTc5o0Ntu1+7JTU/G6YgUw6l0o+Q21p XTw+lVnVinFZCe0NBqYDMq/rBonT/8OFO1pOV0DZMYFGjcAwGrwSA5cXzelqyCZ30yzs2NuPxWY6 NtZDTKbqsn9utrwbFHit3DKczO767RkWlic7Dy4HuvwD1qwrsqKBVz0B+Cmoz+hH68I7GnZ/azKB BhUEN/wB41VuRqITtgPpumMUGBUHpnPorUZ0n5kO+jovjvBJGmFsVO/PlTUOdTBt/m9+bfDkqed+ RT+VvgqlkepYcrxlizpMwXjdxdyeuuXln93g74X18o7Gv3ggsV8rAKHotiWdaPcWlEhiqwiulw92 qm8MbjhNPwmAXfPcwrsMUyNNaP8eUr7ZFPvlZOY4ixzb1qtaBVpJdHMu/1rzwexrg5ZbHBFsv+Q9 WeH3YRw4UOUxbFEvBZgvOWIt2tTsgcMVbrqw1qAo6NoBkDr4heQTGf+bLsKztjreOEfi70ar7cIw E+bPRHPHmQ5rTbfSuHnSQjrmWuz8DAxGAvq8iWLdOoz8Xed308aXHYapxbO6t9bMTi5GobYSjhWb ObdJHswdWCliNyRiCOR96hi293S0jIlZkwQeJsITPmCWRZitZv8a7gQ32x+2ZN018g9O30Eyg6iW AgLJsltaLYUUBvru8lfV+q/uULDRitFyKFMNX+XjN3MOCsUqgL4Lap8CWV4mGtmgal169cbDfvQp hwToEr9iK3DMff8uC6uI4YwimCwyR9MUKy8NgqnFu29+d4MFjO1p/q6r0UiKOewTTHILlRuW2cVN oPMgf1JlJsCxcOo9dF5ufUNNfvILEFiqb4gR1OvY66w0g5580NODswjrjfJ60MnXuuxoyay3mp75 LfXdHGsE3KL5Y4Km0X4XJ9aoUGkwjBHA98JyENc3hq1mDzdxpwT05lNyYFAkpVZBeN7ozYbzsmm6 v0RptV8CBMBYpboBB38vgmLII+V7XqtUW9kNdOCl+TFSuLIJRL5v0jiA/qBofqk7VwJtZa6IY6kz 11afWHqDpZ5iwc6Kv0yf2WHvMiODH0bvR9/9pU2Z6cyMIRoXalpVwn35YHrPdiXh9Zkf2fC1Pn68 byFQzzVF0e5TRXHccrVEWayT5AzkK/KWm3ROCbHTuVAhZ4HEXNf39QDJsVEyTlcO5bVYZ4BWZPpa +B2P7MFL4I08BFKhfSz8PU3ibwp7EAshtme0qvokWgRFaKdo2JWK7rpWR4KWNHWXuVjNvYlW3bxf HjoGNO90I2zpVAYuVxgZBi0KX5vNv/E/CLhcfI5nR3TjwRFncjcOlPqJ++D+KS8OHmpfDzniYRcG IsMAZRsGKfN0uEmJqH42Dq4lOKBt7eX+MZNm2I9CwMX2bVg2K5yAut4opZBzE3alJtFTExgpjzsM dwnFC2YDp6Lp4HRjuCe7gJboPPn+i6nWBJvMxllMHg724XAoK6sfTuR/hR/FCfuU/g1MVo9mGGJI XBRD1bU8UzKb6uONTpeBWcl4c41J6GieSOcZ79m94JLQAEKj0IQgzMNo9qSaALQV+mWgxtyXcA4M Z5zoksqPz2sq6Py5EuIYGRz0vnYMCSb8a202Kk3YmIWhbmfbDUaaqTUouFQ1fqFMyS8DtiXh2AAj cEaZqnEHdB+BlVP3EH4ndyz+P8MVY5SbXB0LTGKZOVa3LdhCbs7JNUncfMj3RFXFP6LyZJH1ILxb w/iiFClgp1tgbGjRijiWFveoe+AyeF0tTfjxHNzl34mI8kfWCgFDwwhhVY3Y5YhmADlr/0SuZd19 COCbJqUsHgCt5gwTEOoTyAl/h/51bfMWtTuj2FA2UATJJIwBiENsxi5plPqcGGezlmYrfGoLK2cw tpDey/wwW1r+z4VODYBbWjNhYLqeaKGqd6UFJD9a2HsW14c8HrJq688bvY4P4SDYn5hdiw4LlehP g+NEl7wlP8kMVZGaOpSu6IOE4USP2K6pd/HmGWWXrMDLBCykNmu2gNe2gHsm+wUhwYx06i0tjVmf wJ4bycDjGGGAl/LIy0r2fc0lWbIT+xAE7NINpG5O67X0jKI32dYlsg3RPjY+D6pjLci+F/wfTAW4 c6mFCjQponEqGnYoACh7mXqsMNA6qsP5eSIPKadAJLSV0P5GcLaPbyBIPy1f5YqVHs9LKv1wFPkR PyA8MyqpkCXWzewuOTL+QmCQLsa3btsx7Dg90d7C7S5enTdUsg6nhJSgOVt6XyPWVS4GgdCUN4qw lfzREBcHK1iLIL9JdGnN7okWdKHYP/8URTtDbXHnEVeTshNeZ/Vm+RIyMZHwu+AT6NJk/YKB2EAe DMjVKRWPHo1NbQh0rmfyBtFxcegwJ/uUSsqc/sxiHFx66f6XN4q16jJmzbnR4xtbnrULOHG9ijlM tUKpdB7V3ppT6cN69bsuoN8leELJ0rK2vjW9ga26xzMvJc1/UBdNRAnkAIY6YxDQESBy0AtxnF10 j5xiHKfKxZzv99onbrrSpiyB8D3ersexbejAqDd4UAtMyF5o6EAGBKDVyJWgL43SYjgnXOZ5mYxj dcnI/G+Q2pk4FBwxpbJWGVoUJIU3Lnwos5GMEaNvQqbPUO0GBIRaZzNyeY0chm19b4L4mFN6KISo ygfW7c3opJ1JjlWgORmkVhoKGA0GajDh5w3SnFjsD2c32G8GOy3dAHcysGW3GLT3dRwTKLSrWLxN 5BtuXErUSBxTlJxp3PFiJgEIppKLiS8xDANsSIM5Zpo+UyOAftw1R+GHDX/3Zmg4I1sW+ThGVxF8 NLh+rnWFiQxYDtTk7t2a1nH1sUO6C19dStygi831rKk1Iu/NRTummogc22G8aBE09iXgFh1kcQft npLo73sORU4ffTJgTdLk7m6MR04tvwZxj079yiOBWvG9Jzcx/8/LdtuMCqBI7z8D1nwzG6VfQMpP SYYzie/qoVF1WbugSQOGVsYvrnjXJMwF/QLWL5yAkdifKu/MStZl2d/SC/GGiwPLXfcD5Q2Bg3W6 J2uqmJyHz5nG87KjcjG3uvTtc+PzEGmU24ErqVkGcQhYp0tWFWgp5hsAWMMDkX/XRuFJhfbVJzqG Xh+BlGSyJ48MR60pxbw/WRkkByrLS4oQ5V+Tf8uSlxX81ehw+GXgRWdgIpK0toahqFAGANNVSjcf 8qM43KhdF9Wxc9mnpJDN+i+6XUYRtyHbP1lZ7x2CjPWF+Idnlu2TnxQumgQm3Vj1uoPygUJG6cHd usm2CGX9zcWX9TePu+2bQoNDbG99lsW2f5cj0a2Nc6kaWbTecxkJHG5loweE4+fjTAnrZHj/Jfy7 mFZi8pMDkOWtidfVUcvPbE21kW/FVBX2Q/amnC69pq2eHF5e4iyEfTGDlZwJqilk5WL9a/+T+nRx wPwB9R1NbZAz0BKlzlM1JkAusBnGSkKCQl/1MY9HU6GTkgmaO5r6O6iumk+iNwBaMlMDjAKqgQO7 6lKqce7xfS0nhIr7+NN2cCZaJaVFEyjhbJDT8RoomQ9b+vpnUSxtXhnGksEn4tjcWeO3okQENMYj lvy4D281AeCBpD6wJreYttRTt7CV95tEsNQecmzmvGJWo0MQtNNQblyVeK1zXdb1myo15WD+ZqSz gAYSJ583FSMirpS8Fqt67iQWktnIQfh9zDVxG/FVSKJ58lJxxDHKKGddRRX+vRovG1LYqNhPhHjj 7Ouch2mZr7je4Y6/BTk2NlAVomxqNP5z90aV3C+nJzrUrmGvb7gtn+3gVB8zrhXIFlIIjjUVLKw+ GAah4mgaMjCmjvLRc8MtFb13m+vif6P9HI3FcGzL1euMKQD3nRt6qp7X0NxFDgN2rl8lXCVLBzbH YfHU9qD/KGy8iqsQ0bGcp7/6aNO92ceETDy6Cbqb6rUx91Sj+msZIq/HtS/5hnb3g7AWuyNSmi7o Ecld1ACHZI9OT1O82KDXSVtoT25gZWr5nYF28P/xEfaOwQvXRvAvxiMvHsWHqhWLv5QVlOucDXoz 001NLG0RHn5JDRWm3YDq5W4V+eghM70ubbzD0qtqYjQCSM3y02OP0266hACMredwG9schPnebz7l AxavCGMsr89yfYi6APo8wf2w0RqEI2MDplskk4BK0VnRNx6J5RK4YRdJpxugZ522CynolsKgwO8T YLGKSeFHgga1YT+eZ9HaBzHx16zJpz7JPKEDxehzQbaViR0bqSsrAj66QeN5p/tNyAtboqKrOUI/ Twh3v7mwPpaJX8H+h35ASJvXV/5vFnPLmo7bZHq5x2K1St8syuE+3Fjjsp6L/MMX1hvaVWf0hZ3Y M/yHQjnSY9ZtDERk92Fs+Y2Fp3gWWmFc/RZ7g2DeQtiFSQjhoSVbR2bkP3068ax+4dxHX6wRfhm6 cavUxrEQQaLP9TIoXGBZBtTynu7ve2a5+wLcBzTEATVipdCKb1jw0dWKn+vz5Q1OaR1bT/ADmgQS GaOpubuiv6cPu4kgLBCCslAtHHl9LgQ4Y73u1bV65EqX00QqeU93FiTw2s/Rju5ZzXB5CXXpulH/ redIU6kErmqKtL18vJINM0Gbxt6AtZM/ce4G/AsPa+9gpUw/6gJk8/8328CQPltPJ0LS5lnZc9UF W0/UGsLIUuz+P1fURprK/HmU7jkFQC0NVHHLPL/2dDFXamxKDyV2YpATqzLwV5SDgsZoT1IJD+n4 buDbqbtuGhvAFDltU2bGroA18hWd2Fxw8Azqsd/e6eMNI1PW/SI77cUEfDK/nuEquwCyfHqq9o5/ NzATtaLpMskqGr945Sgsq45lz5mu6k9lD3VIksn5ndQBhZzvD93m3aQz3xmNP9RiYefMkiahDNkU f7nO4CcIoYj33b1jJ+6Tz8J/i5dn4bsJhw242Z9MSBAgnyL0cEO0K0+vC7HOK6S8W4MHLEJkIwnX tu8NAZ1IP05FdrMmikvk93vV+VFB1JRS1cutjQeBr3kdpEVBTdIJd/3ABnE2tix2ggwfz2FD78bb OS59HEYJn9axlHqtV94luNfBNZ8hfcTR+6He1wg6KCVo498j9LZS1GwF7Pya9/GpyBgwIrCX5GFz 0337q9OYOgn8eSIl4MzO0d9k/dxfDGKPrrMR2aVoXBGayxYw8os1Z2Lo67oXDMzoXYcuPQmu1FEi LOlEnKsGV2unCslKD33Yt09Z9njHnbONEF4TJBSIwLBLK0ODTvAPFSwFmOatw2ZoVFvxbk7HBv8C srXub10CS1azA3CD43pRslr5++dSU3gRNR2Ly3XkmE8DvNDO42N1SNICC/d3KDiZPIdgwx4llNIk 3TZaLeTMnzJU+toCpPGTk/nOeogOd2C5Yi5pnbWn2ynXW7pyRHtGfJryNlrQDLZy/YVXIcmBZ/H4 DuYpaRJxgH7sKFmmgRolO7t/p+oSzJ/pF+RFto++1sOJQMAk4KD14fE5U+oQlR3r6In8VYysHjYa BC+CIKrM1t2ux9q1Yf59zquSYVz6sW7PpQ7x/tPLbwTn/yADhh4U3BiClH1AEE/RA4j/5byL08U3 294P5DfewFJdjvbM72xzXs2zUTe48t/vx93cg0Aghe11U53klntJiOg+6rPrVvQu8rDpwfupLqWx ZJ81uiIlkHSjHFRi2hCfjdXjyQ7/OzcRJb0UVfi11MUlXms/hofs6SnVYJvcsBVG+xEMRdjq6WIi bYuyJgDfyOy/1R+T5mhb9JblZK8fc71WXzy3TVq5AN9QKWQ/LbI/aFI2WBCOSTM9JadVPGNYkxL0 x+byFNNzI7vkIyKkWwjIVXfqwkK4gIBCtf7kcxwnfL7ZNbM94/gFEEf8ZvYJ9x3n61IlpS56IZQD xFWayiPmaK7u1vhtEMfnA5SEl0uY1z/3uNCaiChVjLLLl2wL/1N9642xYKLEjd2SEk8NgfPOM4xL 9LEafntgSBm87ECqQtRHuUzBxSQdSai1pSVFDTQrSTULEGm1DmLlpsgiMmdfn8uC5DgcWFsXySIh 9astOoZi2wu8dwucUqMKpBw2kH8husFNZ0tNVpXR554ZiWe7+c9lKPbOlcPNwUmZlUGqUNO0j+FN e4wdxXrrK/8RlT18rtywcITX6cneHU9QfZ4On7sWNHb8Yl0442nxXRKOgH01o2nnSIuIXueUgPlg gqwC3kBwdQPDN8N0DzW+EDf4JyK/zOHylEtsl3YchM0uDSiR7v0lsAj1hoKBQAGbk+AYMeXeGfgr 9k2O4w3CkP1CCMBQpPvAL4eAq3JxaUXjK/br4VLSWjND+Ugc1fe0NNsK+6d/rkBhyQIFv4Aj+yHL Y1e8Up5RANa0cICUrjnnsn3JiX0lkS2DBM6kpli64UKqewJJ0qR9WdvUMZxSZ/sxalgY1m3+5urV 6QM5BWZ2gZWmP2bfOapwTjVzVkmRV4JaT/rZdJeOeBKZY0kzyS1ED8edYPSBJOW1eNKZUcuG4E4Z UXcOWwOv4tJn6ELvoQWw3iJkTlC7wqwgYOII/mVBbCdWZ/5fzgpxCi8htudQ/SPnWPD4jKpzvP9d X3EckTRnx0DBCUPdssmeIjrjaW5k2CDbpIQJs/LE2j4ak7Fb0l9Vb4LjnQ1k6q6VDSnYrtJRWS6p q02h5KdtDvii4ciJHN9sw+EPaK4WZrFoESHSvByVgrphZa7CfUvdKEXJFCm8IH7Kn9VGRlvZa8o1 bKqK7ziAigSeo029o8cMQap4TIwAyksgq3ZWp3t3K/KQgxdjIhavMb1bZD1fyi6Xo7dp1sPhtIUT goEEnNqhFrOn79h/utlZow3uvG0d0T+FEetLDKcNU3x0WVMfrStxiXPab3EUOPSC/vFiEq43RJjJ gUkwPlqJVw2LLCKTNoINau6azoESYueRPPxAdpkcxr/8GAHojjlRggUbSW2vbQ59Opf92KmIOLBY gAkAuVaQyg2Zux0atevV1Imsu4gnjMnKKZF04+4UrPNpTHBMyqUUttgVga3+jrQCxedRZBvSMpzH YUy2+PDC9tDbsGPhcTLCTimr3nxZ+bcVizvM9ZRlYUaYp0L2KSiElOxzdvmVRmplazUbOCVqbQKL R8Kxes+XNI/7A33fpBBrT+aYBGNcowSgOFRXv3vof8jNyrxDfhA2nUTIVIg+lkFvZOUKqgNIWE1c OKPDWkNhPusvCCODGRPSsH3gMWdk0MqC5Vca4vHIuObvp0966jkoyS/tDWnhwORehGRfZBXOjyVq E4hhCc6sydFAYlIPQk/RpksqrPEMEaxoL4hi2Pcvq8vTZ65jue3CbThuRncL8tX6A2NvWVlTMerb arZTu7+VYGESZcbJu9542b7FBD4aiJbsdBxQBan6o8VtdZfhus5QnV+5fJy+RK3UMNZVy786lhht 1gD6s6LgGqPBLI96JxFeuxWWDlEV4KjG18A9wybkGUYHu1qnHrVQiMq8Ee5kXIkxAvDSm38oCdeO OBAb6GN9z2dk/mW91lDsF8Vfqtt7VDyzY8DS53UZZ7AVb5kqEcFusetAPha4g1wOLbOgpo1RTU/2 c6Jq5tXcqX5HKkTte/Fcb6hsnKH5x11YJ6YQ8romSoP3Lgiylf7lE28EGcJRKsTbhHFsOaXSUB9+ J1d+GJ7lm5Uaa5hpBKx+3sa6Y3d0AMtJsMSDCwhxGrFFX63BEGiIsB8wToNiwIHgxbEq2F7UK+xq y6xcoKOw0gJmLtMD9mJS8P7Bs/s3PczZFGiX7LFhp9vXSy5hyBod7msbLTsT0mUYCi3/cVaFmUqS QbRtMEX1SNS9UABnT/83W9a6uHILc/RixkGs8dR/EsgeZvBosG9VCgfGtFXiIMCiTZNqReRWwt0U IKnkOYRTBfuTf+kwxd8SndE0tVSHe90CHDO/5YIeU5bCVlzTnahC+/UDNghM55gZFszcd8BJEX8J nJ6WSqIbRTN7vcChyi5Wh69NmI7NkTKl5HNmO2AFQo8yDF0xcfARC8P+CsIjiHSJXmwDqnSjIAl/ RNbCZACG+CKrYMUsyddSqWG06F4HKNY9D9LdE7XDyutLmaPGSix67sVFoa/YMOkEQsNCyj71bvs0 O0t7x16/MDWL1Pi08nc9q3BTCO88fUTKlELDo8HvVfKUcU5SlbiRfsiqDDm0w+uOpjyWe1EezGY2 lcGnCxXX35ezCFMH7bMOeQXlWk7S9WWMnFJXoyXT0G7iliDvploeL1GcxrRPe2Dps1RlSPp7KrdE Xs0DI4sPgf6lcYhGXNPa1ZLMAfqymXphWH1fEL7nNbKuv23zm5obuX5GFKJsd65UWAkGspQ4hS7f p6JCePB1Mvp3agPOi9fQN3kw0YLWexGYpqn0TYymevTgilNJNfYklo4f3ZinehW+aJyBlSt6XpNH mXbcf7EIJqJbZJSofp9ea8utDPv5aAh9j4c8Y5UyQiSvXdcbwdhsJrswmwK7lG+J7f2IyubZq2vH DGTespqKqdx9v9mh6w1TdCsXeCK0JFknfF1FJok2n2uAi+bZx6YoAfg3GrN8fGez+H1UB/9zpqfQ oLx5FkyCoH9GNsw1Zgj0y3u4IPFZmyCNAN1qP2x7Um85cDNa3KJVGpOMKekHjSUmwOrz0eMqcMHb NV1U/kwKkPLvOKH83NZ5BMQ53ith/QaBMY/WjlfHE5dtjeokyiZzSNIy8mKGsn118Ylo69MQ8TiV nmet9/IbzjJ+v6Lz/CdJHQ471rT7z+Gjb974oMOjwf4OEdWzuMOw8fQaJVuq60iJPpIHTQmGurJZ WFecmDbnQ3si+PuaL68OCnxUNpr/FUlTPS3bqVXX1GUkRBt3vlZvUcl9pVRCoWhJdWW4qDalUEcQ c8/9c3iZdzejWgVA2zM5b3WQ051j2Pg1osITuDza09RzsMspRFJJrvS4iF0LtKY0s0zMa6lfEM2z kZC0PBZs5lgwW00f1j0tzvPv5QiTvfuINJ6tYDCYorD/MVbZVwVX3W6EjIOoiqGsomIdvURyqv2s 9rrp2AR6T6jxCXz3vyf9vSm4hzjGloU78XD25yOLh5ht1mYdBmgXEeGmhstk2zi4jS9o3L7qAtHU ygnCyXSqSbbCH9QlXDjrvhrtZTcZq4TUuSrf86BulInD2ULx2b3b/LZelZxAieN9fnpLzuvY2gfb lfoScz+lX/G90MvqQ7oT3bFTWFPFtd8oCGLW20EQcu9a6fQjPYOa6VZ88nU7sTaIEniZwjpZYJ9V h9tQh1oE9NqHdSNyidP+zEi+/LYGmpReMvY+PzI6ji3JNzbABZ1gKBp4lq/RHWGWN0QVuSW3k/7f Q1GeUXP4X0rjQTG5bXLaNi0KAfEm8NqlV1pfXV5YzyPGlPMltVbHVW77WLprYPJS7PYISVog3xiw DcwZef0MqmLfgDeSt/5Z5NGpe6CzpQ/upZJ0zkUTL0k79G6WTqI/esXrjDS4vIzKcKrQuubVT4nd rv5/GXTjW6C2Fi07zHl05A30hBO2+iqjQNOYT++6tIpdxXeIlcj4CzvGZBObsYvFV1N4OZSq2MV/ s9qcDf4+R2iQ+z6P/EG/06VncjTvK6w7HYE04VMHXY3TIh+3iKMecM4VRbIHDagYhiQKi+m8Ht/c ROGDdSBdH8ho11+ae5OVnmwctm4wlzMPZo4giFyMMNn6Bq3s4GtLMRMeF/9//g9JIa/pIw18sYV7 4ISMY+FuTPMbVxsO/38d30y+FpNCetuyYBwE/3pOelXz5OffmpHzBXeUdLe8KqNmO+zrOgt7bm7+ pDgZPyf/OM+G4ZZt/BGNGRvNHtI1XqJnbIXpIm3vGlq+RMIykSNBU0lpAx4wM+ORd9armz/Pz61M KuyZtUoLcXBfBXlg+vIpontHzX10Gr3VmDmL32YZLYzm+JVnpXdFMx2R/2B5vPWVbaGDxeyuTzXK KNCtjzvvEFhaApcX1KCnV7yEVzEvKCShobR1R/LJ7Y2SMpuh7v5sHw3NYmlvOkKxVbAmvA1EBE3Q xzE5jz+liYpZpogPXlBZZiG7G36QcxZGhsxG5h4zUEq6qkzFpg45wFjZDZ0mrlgQbC+MpPo9XhI4 MtgBwEfvSwarSJL41MUWSkMeHkPSl8/aiw03r0L+sWjwkY1zUJyQyHcSIE6h8xIa9NXykN97FMe/ zVc1Jzp4Rhpp103qw/DvQsKtp3EImnzG2L/STMJYIbzy0wlHMsukTDRbKYibLwcDTMXg/ykn30Km C+tGyO3kpz1qzjRhys5aq8GxoY9pvs1M8cOyHJc3dFtsphwyVjFJd/W4WnCuu892DLoYp9NTUt5p 0nTw4qOc/MgGtNxek6vQL1NMmCIRis8aWLdDJhavv9tkS1EUOtoIBCFcgUinCPzSl78/eF0bxPQB bkEVsSW8aFVidpFU8YbuhDxUt+qEZ4qXYVS9cXBdsolXdalteiqkr1ihHV9Hph4nHXRjQblVbbJ9 NCwiaexoVDRhPwgoNYzSu9bTHNFH7QSbqkx6C3OcflOBscoF+BU8kM2Flqm49speokSrQ4f6+FHm TtzQiP4tucG8/kB04SD66grsCRO28V6UYj+H/MsIHNK9UI9RHsPQmy1yM8BiSPGVSjnfcM3NDfzh tYZl+ggjMp7bxVnJiILBDBspwq+nI8yFCwSYV4r++SzNgoDnN76cBiUWZlf8haHFyHtLEkNXqhIU ZB9Vy1PZYOF7fN7dKDJMp3sj1Bypnb4CpalQ9qSqucV2h+poR4hTqNgCggXopPbnA/pf8vv4mYIZ 03mno0lg7Bx25wL2L1F1MLeNSORKfWDm6what6hpxoZ7o+XYEeOar89/FBCSK7CG6J2zerjZTo/5 i/sD6a9BctrehMQVnhf5adzPQ+ITXkFQ9l1d/RotgiEZbr8GaQv7UBTirffcIWINLxV/jPvbkFRl iQTxtax/uO1Go4TmQA4EVLXBucu+0P8p+RQSisQ+pelHjQYvc0mZOUxJ3bz0dnhd19d1gs9Ioj88 fKi1falu/nOHZlXdAWAtEDT5oozgqOtFKl1iCRre3jo3UV7GRmp1OICiMPN//Bdvjr01j104Ls+F 1G2lmbBrG7h6eIlItyS5Ia3PSLp9M1m4Nc1tITcz6BXd3lckrybZeYEEwvjWC6ipI5vNSE4bDoUQ 2xHeJMuV41koe6kgfCvNALK2ywBOGDdiUh0Q5eMrJPEeDc2VXwCO3GTkwuib80s9XA8KqpDjG18p GPtN+ZzKe/v8aCLbMAqJuTIrr+lvttZNrCWJAIB98QknaoaRLCERCeAgrYMpUaNu+L9D5D3x9ted McdZOBocHrJGn/9ngjyKDtdrGSn0rT/G8HmIjloNAUBm8XKK2QPLXZ6X0kSHDKkjRALetF5eMAoW sd+wmRukATPRDetZ+agx2vWNY6tD7gsIYV53ejyWdOsoWE8zCaMFu3n4mLBENg9fw+0j4n21d5hP jBX+hzlbj4/j9KqU6SMvEzn2ia4tH7WymwHaboD/VauUYWpf95I54BYsaC8PffpRPmZ2RdqUSE/T ecEZe9OueUP0VvKZNytiIjdsERtTbkHn+9yUbTo2/l4JJ+8PUbfzQBujY17BCnA7vl5DXpwHk01E HBgtaEKaTI18+F6Grc+0zQS5jnroxONlVnLVy3Qcj+9IpfiN+DAmFtat0c8QgqsM0xSM0QgIu7tZ s8Gh88d+kSvEDjAvr1NYdBPCccAbGpB2q+wDw8sAcY4Lm9MuOAZJu7GXFgjw9Vc0p24Y1Pxe5gVG K6ZB5C4aiw/wh6vghLf9cNgCBmxI756IEeQ+WN/8Z4jS42Hf44OI3RLGz53ZqLv39vjKwAqEFkN5 SkPWOjB6w7eS1u0jV7UA3m+Nd6Y71xzP3PZ4QouzGGCbSJM91O6ZKe9ShH7CSvtemmWLbI9PPqkS QUmHiPc+JPFNwiYb+oJRxjpzUXtVdcgWJgOiG3IerWLomNbShx4AFleb/CoqJ4rt4GEPvh730NU7 +MEU2tGXRY1UD2XWZAQSJqB+Aoc4BH8nj08AExKX3QbIjhKQM8BWe+IazFka/3DfgdEm39Hi4p3E Ql28IxbJCFVFRaTESkP4xFHRcxAZuTIbSvDY6+s8qVdzU2sHH70IO9rTdSGHYDFHcmKn0DWW6FFb devF/Jryq60ZiIedXOHMZnTwFqoS61vF7crc0QWQfgHNYGWawYVsOjcb3xsvLOl36RdSQDCppN02 oB2WF604OcLH+DvA/LIPABidzFfulEv2WhzBvVpZHYcykjH8HYhbivbXODEm7omdVgUbhB+Is8qB wAXR27jZR9QjzhJAUn2om8wlZuL24b7Bu+HKqQO6AppEL9KzrId+dnF8V6ajXfivaxLTTygzlCPr xvZXBcllKB3ihfGW+k67aWNkPGjC6/Wvw7j+mRpGNnJYCb5tLaOIwOKLV7S6ThcrqTPmGcnQ7YIy E/kkzyYfGET+zxtbHZIs+RbUoJgd/ZlI0keZ4ub0HOQnUgU9jptGJ7mpibcBxzpI7fT8qftjvMez j5LqIDheLmhZ4oPTwLEILgl+3SDAcgLHZ/3pR0ZVtgw9yy1EBlOlQUFgEY5bp+lMuHig/qKpdL/R Slxj9QWd9kJbEPqAuB7jNnNKtdkv9FGOUPF2DocqsWGTD7Ar/YSVy1rUzHG1alWEbpQPaIOpF6Af Ni9OIQct4CrsRShMZ0t6ZvD6PdaQ9r5PX5jmkPdjWUzy9cec2AwULIoFoQlqseK+8XV8HBwUi0Ai Whphmibg8OKdB2nEE2QoQ+7AcnV0QTN8q+xEObKe6aDIhFufBVQfPI0tMLMhZNOpsB5WVVf5thmV fT/eWNCJY9nFLffF+6Krv5Kdoe6f2E8bsNYk5SxtSeyyqNafBjyFF9zI3v2ZysBIUoLm8HrD81LK t+kBMAf/UGumtDZ5fVrLbtbfmSMGxr74bZJ8UhOup7BnP9FREGqkt/W+EAVBbU9T4Jk3kDUiPO55 HrdFOYY4Hs3Fwh/U3Yrx0oz9iZFo5y5voCqYcHDukQhhnXj2adVmKnSxwx6doEbrQ1CMKiDGujQb kTIrGKPBJjCZ4DiYWmWzqULp4oANnMqiZ9QZQ8SVZ92ah5Bb6KEw+2+LbAaOkpRyI/n3d0eGms1e OZE/AP5F73/XiuDRjmx047XUfzzmEWOlXiXpQVmWc0tX6cRAiVUsuVujXEyoDtmZ7vCEIsdaKAe4 M1g9t/Jm5bD/Y8YKpoCjb36wr9ymZjrxvC4IDKLsM/RtwlOtl7ULEO7Kld4FtojoYjEdL7laLhjX cseKcZwTlSbiGI2C/RREGGHo6aEKPy2FpsVCk60Sn6+3Npmz02OIbawdhu/Gr1qlV9G2b8cRXhO9 qBpMsdjL/5erfE9UhFO/Vwh+xYLJYxzLVDnWck4qxyj3RSzPfpQIwc4+d/W0DPJDemCsfTI3lQhE QANyEbBsR7rUaI1y3E/CabEc82PAD4H0iLv0e3BPeQhu8St9TRmWKb45f0OYVYw1guG0oAhDaLN9 p89jfh3y6ZO83zbYTkuSELIJZs+6LSYJb2lZpgXQ9bRQ1xHE7xdCriSaFnjIv15DTNWm1z0/AnzG Xo+IU58v6SEgjiajz9JJKV+GpzgdZ5SZ/w3kx4kLhn744Wg3t5EYc7ZQPp7T2cmzlybtGz70TX0B C0xBGrBGqmXzgZBcIeYMRQELxvBgGCueZu3Xyj6SeqqHgE4EK26TdZPTawyjP+1zd1AbrezeUrEV 7d8nS8nkyhyXWqBRRrLy+ujIUq7k8+rfnDadH+FNfN+cBtVRSYyywtwjH8ZEXlbIW4oiohXuTzbU sC5KzcOBqLwqV4nUdfC1njPsfKs1+WX5R4JKY+hqsYjWKjksTWIPpbkCw4edm7BGHb1zCVW3bOGo KcXYTrrvxSR2suI0kweKWcXwiu1CbNNwbyiG7o/GnaAAtu+Myq/HCBsfr0ZuIrEtuasyW9D3872p Luv2hGzfA8zRSm2QT7vhCCvoVd4DMzDh+c++2RKeF84QkEpKW5brmG49v8W9mJsuDoCKOxWtTG6I g8bJQU3gYuTUjWg21MGKZqjqDZUOdlaoRemDlA0JM/AbrQl5NtqMKBaGNrMEBxCPXt7itbHiryNV cQy6p9IXbW8jCcXGiea2ctfXAFvT4UhGGkv9TaDE9d7ZruFk1RoyHDCcy4i9olw3DfY4QHvR+xl4 9ZSrltMnKE+zLCZcxKJG5qMyRByArVmcFjdxLbE97tN5K5mBUpeHaO8qCu1Q9KC29AtN9a704Bkf rDhrim1L0V540yAB5e3jjmZB/fvmP5+Rq4TncmaLq27iBptAXuX09VBaN4FGrrCiJpYwtgUFpGhJ 3bK7gX7+VvaS5bSk2KGEz6YZGeZeOmFMkRR/lawFp/hGu15uRQIFenTO4TnHSH7/bZYJkYu3NweL 6EAG4vpjVc03vpvcHAFVy0EJRO2DtVgEKrKEZ+eDAyyXhINh5g847zF9Gkv0PIj7kr4jr0sKZEHb dE03d8qKW/CWoJy8wDNrNyCyZzRS2f2ohPKRIKrzRW/OqOnw4YopqzxyDa5NHGlLLX9eM+TV5UhZ yLCV6cgDkF0T7sB4ahTER2Lcs16F2QkJtT5NBv6G6Df3l1a0/nGHbNdvGyfw0bul2GS5mXs+JDWk nJwBQ2kk1xDOfoldXQiOHupUv/pIEn5hte6fmMYebaCK6r0nPMZDDq6zcvJhsHUQ+PY6Z+BXfYzr adj2nhENkoLyei2m11a6QNA1nfjW1DaEb3V4jNbpCq8e7KjwXVEp/QF2bOw7QMLa3XF9TsmC2tbt krJu1Nf4wGqQKqQF5ZdKaAyPcbPwPi8HaFXOk2I1mukP5LuKh9rzMcQLy66quQyG73gPClWuFaBK fs59BXipn7S6ycEmY6exm455blQOURICJQ+Aaqn7N0clII56IOa/w4PT1Karb6CP77E3ZD5dZPU1 ESUGI/tUOXiL+fXBLisUFzLpaq/PBfkFK2b4xal1UqI+R/51oXBn4rA/gXQowcdau/Ahm3t9s4Mq d9ygWrIn6fuany6ngmImIfzYwXRxSxlz9bZQy0w2uG1hveF6PW5RBxANtTtDdNRjxYFReVVvCszK KkWuINE+t6Wudv7dxHiNx99VCRnYgdC17NknVhBtntwrlKzJDR70y2t+z1btIpgyopX3dXu3JEgk KDjesRpbxLnCEK4JtpL2+6HSd+zG0NCIwzoL4mYuE0yc8kt6HO61DtEX35g2FHi2uKgbx4tDwkyo /AS2NJZp9EATNh0L/g59bsNZUxiJg6SGSuyA9spX8YTzvi0dKnpqcl3Qxj8AWsLwDtmTXHaxCmxp +gEwRxp5KGkRJA0S2OjIua1JXApJLVFIvizPlLfCUZx+7nZoHsTTej/32El9NFXdMLAPcFXy+AKN ruPDwc6kwfHDpPzhjemdlFoj6afK1LGjAWXJC56+jQrrSjejMiu7ly09ej1fnCW+iHHdlXKWXTk6 mFgStjMYhEFbHNqVrOtCywgdGgVRoPx1TmZexcP4wn/pg8v4kir9+HC24g5sRflPORrXJ7bRHTMn DWGZ81g4lZzW/QzShagqBXaGWOBnTpNAaWwLKNibyKL7bACZNDik4lPHiwYeo/uf/nI2TtNVCQQd elC6brB2uEqCsM2nnstDqbM+fitHnA2glZUjRdHsjt48ncfSzIUJdQ4psFXfR4D1XD45ob1eTPLj pO+zJRwrMpZ4qyRuAvQ3yx8v8gPOhGkDYBdcco7YP/cW0fi/MX+24AlUyZsCQrqLxdODTa9Old4L f/lD3+XrYyQCuj3z/xK3CbJWLdhorqkI/FIg+GK9w2rEZRJ26iUYAno6IZlY0zAI8cu5iVuTY8k2 dAWcfqeV60EY+omSWpHa2PvQWEIUilA8YTImr9HWJphxHywN+5JvcT3MPcxZDBFtKM1L7M9agE0A fC+ysJUjIo3aJ+nAjT8VXSpSJn+dIxcnIa/zFKOmaV+rCxX/lGJTLIemdvQDXbiZzSqY9yTnX89R rtskfXnZppJHUWjAVjB+xhON8M331wzzclqtCLeyuIw0THsX4xZ2yUE9pzIxhvJSFlz2T3QhQZQ0 E1k//KWe3M0X6rBKBU0q+i5N+/AUga+YiU05RDdW4KaAZu7YtOGNURl6oCb6pTe2ijF1iikWEDO7 rfPgWSrSY956ZGNGPQG4QZ+Oxva6UodSeyOd9/u1xWbZmk21pVDR6X+nErm0kboA444l7yQspzJG j4ePYrM3HMMW238Bk2P9c6dBAbIQc4UEO3BUYk1Z0cEMoF0sRO7VqFjIzViJbe91vqQNIJ3sUk33 a+v9x1dbba9SltIKvzZvrjI/ItT1k2vBIJNfyRpvOAOfCpuNiZVrRb6D4dvSWHM65YrzIlaFyegz H0yADwj0wZFZTMcPiRfDt4O44OULSwDEp13jO9TBLv5nz93v8E4K3pxbZsOneQsWxqk4z9gD3UqG xfkcIQP3bIWAA2YEXV0406j1cIllsApOtNvSuG/a2MzD8XK4dTp5KuCaj6SivyADDq5aQS2H8jVY MUpbQ64CobrerfddhnsIs3pJpLLXrrw6onaTozDODm8zTjgYtHV7fzKbGWJQA8hvAWSNeI59uzli zdIkwnvYPk6xCQ3naavd2muL1jv2UueQDAVIQSXASwJKIQxzEgLT1z+k9kGNDijSRuNU64sax5v7 VPyeAX5/VETZCHfdKQ1ISCdx+CdRJ/e6ZMn9rcLoAD89Jhb4mNvjlKnK1i5QDFtyRE37GM8YKQ4u CZwz+dFQLC/bf/eD9EFbttS1rJEspU7bZZ09thV3i8Jtu4k2CuA/Fqyvar3BTrw0BNKRJ5+MxNcd 0ehZd9nVrKCzZpQdC1L+8xFZE009quedy+E2g1POZzUSwsU8h3DiHtxJuIXaa30xtoTXM9xe0hMN 5oU+tUtwHiMqjm99H59Dfhpw5ifpBW2NnjD+9u9KXcXfy0C04L/KngBaT1ttTWVXTKP2Q4RD27rA BX7by5ULImKEkB+FnoPzZ6IIVmzMBvHDF99uIZL8nXowyHfLG85lhdYU+3v/R7DAQXYAVPmmkKot NSSPiBWml1zJM5gMeozFsOwlEKLrP4IlyuajgcY7KNCS8fQAKPFCYIY3IWJhsjaRKaSd4WFMYi8z vNDmhJ1DNifa1/9/zwaQORRSbNZIM3M/DaM6vgeKom/kWb9sKpE5vpE80B6kJmiRZfVjlaKK/j8u 3BXe4RNfE5GCebFSQ7vqBlTbfiXXhUst9172tq705RPjPOEmXSYjJRyeF3VGFw+fmBxeEjs4azq0 WpGq2BnvdMox+qT7N9XYWTEi3Lfln3eOm9pKDlQlg0RuVi4Yj2IKLaKpWNPUAsvaRBGDtq5wCQ9A xWda5PEvcpydrIJW3/aUsJV7VvOJiSKeQv9yXNQp12CMwwTlE9VCobfW51SoTGIB0jbhKJ2VrFuN WFkvvl9gIjnZEdA76jTYVxSj9YzV3jD1vg7SWA1VWNLFZHd9ZRhJGf8pE2eDPyW8YVX9lJ+NN/8z X+tlOShPepjdOzGz9PotuAAEMWDJZ+UAfK54puANTOcnEC5v2GcomzYRbIivlnZB5T9AngWtP6LP oidG7tRoTQDowiTY4bbrKDvHsQ9OmXYXH/K7LgkVc5zzJgnuQo0+ztyMwkTkR+zeA//1Tm2d2p6j sykdb/njzQYlSMxviUJZKNs53LF9TRRHCy8Ivu5fnMesO8OmOgY4kdyaPwby0YlgXyqc63jjB+VF 2owU4DXOG+BoA6QA5L6mT3IenwdmEE1RCvllkhHkjI3zOstHnnxUiWfKK1yLjnqwSHSVAsPpitjM HD3ZZqMc8x4YcPg61h/jWAdHIlxcEuTHrqvuKZZ8nAQy4tsjsAlmNm5mDRYj9ke5X764t+CkTwBZ utij3/VBY12EezWiRm9jjv6hFd4enhti3EF8uOsjnDvQx5XpAsc3njDkUvDrqy5UVUrtfoJiVrnt Y3GrNoXVtfFqVQ8ZXNzMzBJexlAoyvwJXhNzg8fjOMr99QAcRSjWwinr82Jstz0KGxiPomD7JPHy uQNbwjoBFF4SDBXn59artnG4JKiXPYSFmpmsx0mr63J18MO74yo2MGcugqaUVN8nzx95Jj63jxMF wKHnM7JwujtlqAmQHUZJJ8TOPhlfYWw+y+2bCqSvLjQQ5u5UZ/t3JHt+xMHdKE7TOSfHm7jc1k95 PVlaXmCne3sGjFzEd8K1abtq+gyDHAY0cMbqCv27PbJYedS6FhdR/GETK+funXP+E7GqYLq8lwrm OzsE69CPQ1mVMaSplc/rWD0avO9zb3Qfu5S+9v6MrP+lTEsdOVyjiZsKGy5ifPPuuM4mnRi8RuM2 pkLPyc9/YbwcmBxrpUuENecJCbJnyNQeVIeIVTnTio19yrk56YHo680oUtgkAc6DuSR0PEKpo4GK TJwZtRF68/2/pHsDQejnC201SD5ZJWupCV3mKSYvfyH1YU4y36NH3hj9bt81I14sqMKOvGEEUPxN f4Lu7VdtN1aeR68bs6GunZyZuCz/kAgVo2iZlD494hUhNYj+R5RzGmXHEIsLpgnqha0WAJHfm/EF AFOp2raQmLMCQzQ8SWnB63Z0BzTW7bV8RlajjhHiGZxtQh2lpb0H+IHj4Xu+qpcFiaMIOkX/j3E1 DzMKjXs9cabeu0AMQCa7c5+pNxX9fxat5ZQR3kZ8lDV5AT7E0BTrAZ1FqjJ5PKOpEXkJVwZ156gM 9uCDmFPabfAniB2EGhh1RabCJ+9xkEF30tcXeoJzfY48H9KEb/J/zl5CFyqYIWmjSaCg7O3AQIO2 44vc79BffISR6/9sSk2pzFKaoNIuCbzU3+eFccxtl6+AKv5js0fOhBflnyrqEfKVq9+ZioN9EO6R Ds9seb9X80BffM7JwohUsNLmZKUpzex2oMvE3Sper0QI0urDtq8SenjYNpHbUCOVps+fvFskj4Z4 TnUwRMqxx473zGFuy6T/vbb75ae/b427wyAc/zHOFlFOAtePQcTjGAd4il3sNRa4Ag54drXSZkfB pNTdLRz58tHSlSio4a+YHzCu/HOZcdbhtmZLId4Pmnyw2QxFP41ViQaUoHpJrBdIBIB8+yrJ6gKi hTj2RJodg0T/0tJM3rg3edBK6Hi6P3PPUXWd/wNX0CFd4a8YjE5rWYLXNDp+TRCBTZL1fSW6AWG/ bR+cregSJho07xaOKYTck+cpulBc9SpAFWse6CUhPEGo0jksDghFCaUBeuzXvqWC1+iGX3nNwBLz JS/ZOo4ZVld4zu4zUOVpxboT8QuWKbKD4WFrlJH4QK4/ieDGiTHrByQ8g4eHVR/YP48G/5gk/HnQ b3tUgTiqH3vqdO5zcndZva1rxq53dZaxF1q+EhTEVxUgVShjTGIpwTOjTq5X/JGW3P9u3wQRMijA wvarq3cRpfpC2/gt3/W8AmhvR9OYfyaVMHz2AnesNoAmejpreANs/jjiJsLI76khqBuBJV9mzEkI IZN1ehkV9vV8AyYWysmyzEp3Iy/uT/b0ccX5nBZT9cfL1VxkvBc+NbXYUP2evEVAUwmffRWFSDFq WXUT1ISA8+Hq8aoZ6Q1HHVh1rYNNKJrIffNn3JCbwivg1ci8+iH8zv0nQZswAw671JD+AyHZTnb+ B9XogFj/h8TipVgRAe6rrlpas46OkdsbEsp30QCv56Uei30ZZAmjw5ahCoYy/Sn0u6bweZz2zo2+ eMBLUFPhWBL3UZC+2OQlcc9WFYCFgMRWIxSGHHMdw+PECi+kRC5Kt8NbOpkKVtTDp0WWyt3Eaozi VWie1W6Cgb5e8zgwH9fmpjJFtKbSLE1MmgY1Rw9NMxISP0fm1Tb0Twyf8kVFt7syfmlA2BRpnOIo dOI938rAR9d6legpthUQgR6/OWSxGy9bQTt2DcEFeUkr0uLmVSMxjiRG5/6EYHUKOdq5NKmH0vII 1L/rX4yRHVf8/DcMGZx4iLHTXccIwfVUcDm57NNTttYjOFm3gDR/Ph0wfc7VdKjCdj3yop4xjJRV EOkhugUpmiLjoOI1KZLwUpo9SGiS/IsbSqcj92KkBUrOJUyUw+34bcrVeigZKhwn7eHaBfyJWJGT y146by8xPpH4uxqY60zdVFVNatlzmX/MPuUADEbh/65Ub4j+7/BKOixdUSQj+YNa2kP7rnGoVkyp XOKcrV/zir2Lel/buYSf4J8Yh14Y3aPLagak8tfBGEEJuqr2e030NP/X2H15QE1237OFs5xdShPJ 091Dli9iqabx03lF3Ar1MsJhUjM9ijmQ1YOs6i6UGjG9oHkwefMxoew0CXjOrOGnMCp2jDtUawi/ JeCoAGCLEG3TRXvqDbyMShkQ5nFC8/9WUB1Oj2PmTV1VXYwuoIMtzuwggPVMH2x03LnkQHROmywB GhGtQKc0puS/1U4JFi3kWFwI3s9+cZjzV9HUA6Av7+ZyqFr9de4hNnffx39snHQZ//RCmAQSUqlx 8ePC1jLAvnVpo1enysg8ED/FCH5NOt5YMI5MpLKaVlI17j9lt2m9/iPCNlL6oQRNLIkdeiiLOSg3 nmuVKUyJk6oByaUD+r9oFuwlazB3a40oWz/xYI1J6PWRz6CpqaslgN9ZkzXWvfJEF246/LBDGTrb GIFjEjoto+JFjATFC3wvtTC7aKuHYutU5zHHnS2l2RzYqxQmWSZQ+jN6Bochkl7T2aKv67McXR3n z2nCkvtSwkbNx8aR5NXId9zwVeOHa1kX3ijuTPvNqZ/swVL3DJ66U4tfsqHYJrZANc8C54fG4ujA rBe0KXhFsE794twKsWxJBS+14p/FYhYU6fh4meeA5Iovgp4RllVM83cCIzyNEez/adIEV5/qfMcX LwwCE1pzuGTpkBr+5MSy7HmZJEiIm/ZS1zEKmxTRjQzSIpNWXumOnGdGJfDJlqoAYrGWuQY1NZHR y7tDGj+FxXOEx1CWvAv/OPQ4BpYD92LNBwjeXL+3XGD/04r17Ijl8P1ubIguaIa9cqswA/ljkc7s h+rmzEMU7LIFfgzWj60+eOc2RohPOWSbcytjYm2s2sTWkGklh61SqiivJUUJXZCirgHnls2gApsX ejxDxTKFVM1PEZSk+ThdAZ6ofeSNQWNf/3uCLs2V+415BcWBeqlIQYPYA5lk83i+sgkh5N0iQ2PT UmKHh+GXaMWIoldInfM3IVS6rCqLQElAkhOfa6NN7q5LOqujrXFcuP42IlMOHoqJ1cXFBLJI+wqY QTUk3rfbF4StgREGonyCMMlVcxWhhTa4tVHJVCjT5AmtCAOo0EjiDDHBYmMH/jF6ZfRcSx0sZErc 5xUyxHF0RXNla778djQhm9MFJLDXfkTUqRsu1kxbmoWt38f2HgLmJKMlTc6VMc5j+Fs0RRMk269J uN5hhMwBI132K5HQJLtBEAqfw2LYz6XEAvu+BWOgZIR35bL11Ec6vTkOGk79k3O+X7VNi5r6uz2k ly1IAcvza+SNtPqlno6pc6rffcxbbP6TMy+8qO43DxCzXiEbhtyiuniP0cUyDLXq7OWDRQj0QL9R b99g5+MmD1CoNctjmaZ/hAXskiTI2sEs47RzRPQYPY8R+dG9I9Rg10FXUfaxmH0+PvVWvDCB+xxG gck6rgPAX3iojXKz2EpFbuhLRBi+z8q8MrBubBLm1zRZucfH1wB2hiQiyelyCuMi6NIyajB+qUJL fzocU5htlEnLcz7+oEZkkOM9EpYjoD25ovjvcBBPWY68iZAUSBDOwyvhkA/rvn15VxjvNKPQFTZo +c7d0FKGnqNh4eXTQXy/S33QQrV0XJuGr2sbmccHumxWdgf97V4DyqpJ9ffkjhChvFBRsY0uqLGt qaRJwyIQsOWsSjWboUhuveKxf8A74B/B86cQSyx89bnbmZ5e/xXSr8WVZlaJTknjsC/6cLhBAbIZ wJsR65+vsTHwdhIE7gfPLjjuNdqdUB5VmSBsDmdI8S8Gj4hqjlpzVD4fW2psgaUkjaxcBHltQfpy AlP4DVSn/E/NAlS7Uc491+CqWbvuXlrVGpCWUiyUmvRrgoMFRTlEiAZwTZfe/Z8lE2GwaidaEJKS mCboA/NmO3lm4PlBi0m3lQ7g8c2dVEymRhJC4Nyg3DJknZ9O2i300KNWFgThjr8fg4UkLEk0C+dw pb3xTzppgk806Nx0VPmng+7LXPwyeylTXtlITRMdTs1i0NTVNVtbgyJ9nJR8999bBwlLOhaNw/YQ gBxzjZF4Q1sfXbf7du9N1zzI7o7VaKd3IfOoo/EgI5pqERtssZyxggajvjEKaopR0gLcdpB2gZ/e P/iHMwUFU9WtwEB3jKqj4WkkEKzBr5FEDLyP3l/BF56+gS9ZPVdzOcfGv3k9VeuI9OwYyhLoxMGy ZkbnDQip98DqHlD6uvgg6DoQramuuxIBxsjpPQ2o79one/RpMg9JMjqysQtfsSVjG7m0GaZUmOxD s6oRGXjrgrL0bEWvZO9sJHxscPmkdWWB/P3v9l29cm6im3Eg9WevEeAOAAzhDI6z5RXO+qVJ2Slj r0a7l7Uo9vX9R4eun/cQRjTbkgu8465kVMd+uOho50NXPQtGxbuqCYrU1dYq5pAX+PlyHiv3FwGh Up7iOfwi2Iu8LLl+DJhufyTtj6O6KMwuhSAz3d/zJM0cZhmsH0NmMGT0xAZ2EiJYcTspVv/UyvYA UA3m5v1JJk/+hzDBkN/u4Sauo6Dptq7h2yMskS3mWZSiDrtkbskceSog/LZlCS4KitUHTHTYv8hm IY79+egPLI6Ac9DnJAIeFJbdInzA09BT5Lr7w7sMPh3HpAe6Stb8yq8O4nbCMPvd6h8mYX49QIhe fCPACSp1B+ltiIc2npZ/5Of8Ju53FScGPHWolF9dEi+DiNAkNWwExbQxcAh7WyfqQk2MGmwkfJmW rCNQ3oR6FeSHrdakO1Wk4O/pucwNyKmTM+b/1IhnEcb+Wn0zFjR+g2njnWZG5OsVeWSo7cDTtvNx 9b/UI9PVwibgFseQOtubdjMxBP+fno2pdrCrZhM7m1lLJwoaQa29UoVZd80VltYuJZyVgP+gjDpZ 0QvysGwpd6ncd5I4mKcWSdEHu2JZkReEnonH1yk90LVGct4uOtvwkPlhn9aXYjgG5fWW/UAu0sdl DHKnjSEIO8p6anN6wLwO8YYipPPxo2IaXAKuSbJInY0PAV3KjBdNoCn1+QTVfgpb4PB7q1JxEDiS fbJGiHGYH4d3rUvB+xh2A55zwoacTZvmH5AeXK5IHKRA5fdISohpcljPJZ1iYptPGWxPOtVI/Y7X YnF8MWytdDV34LSQG3fRz13lF+lqBIZuBiVcWoJoEFLVTqFoEWjuJGi+aV7AP7I7dPmy0RoIBQmh KugJyUcERdoPfdZUztfNA+Dm4yVfvmSNfWgbbOFlyANzhhfGKRdg+cAlDBC7gGKkf5m6mbuCq+/t pBbF7Xzz0Q6x5FjXI8b+OKFCf/09dfDWeDbH56URNBmLFMhKIhaKft6ysyfTs1ea0q4SQXJrMR3U OHdnxw98BMBTqDxLIx1B4k3mv/LOTlly6ZLa8B1w1ZfTuiUcWYiCyrD9MRkrIZXXydSlv/jONIfH AIzbjO3l/EP1aCIRehwPGkokljIHy2trTAwu8Cy1Qp7YzXvQvElN4B7Z8mNU2t9+RKlMi9diehvI FBa8RjmYWuCibcz27/7KGJDEUUEejfl/CQkhuTITYVKUnmwQj9EZ9w7gdWdZgkLrOlfg5oc0FYE6 JggoFm3XERxDzQ9H94JKdiSqmwQ9hfGq7RXFeYML8HqRJNMeHROkxFNgMNdCQbAU+6/wjMv3PCBc frdmiQIIsVnSzKiulL6doXBa4ZMpQRKPL2F/NzEBF4c92Ghdi9u+X9qN+fDa0XPAvffjTuH+9ZT+ xmloprI6HR0qJOdNYO+DNs1y3zoDf55I+uEXsJwHURisfNcHexMdpB4ZTdC3KfHCobNMkPdlIBrM z3Dne95QuDHGexTQo29fYZ3bPTMVMqenRC1soPfGKFrfibbXWXttHhYvDpjBu9xsWf+7RT5MtGC1 UqIDh7+N8o6R8sYTe3iCWO2jJ2h5MWbKfVTFRBSMy30kyzof5HpClaIFD7jY9IRw7G08CGkiBS0J NfvdmSCaN+DRWR14x1W6fTMVf6qikYJTBaxdWkl/CHMRJtyaBkjODkmqfmBU/tpSCukGDKaUOrsS R0R1ZX2bgOJ3HeXLJBZk/CC+hxJ/rYluDSOVK6OgwN3XzbU1fEUb4M0EqYT75goksCjjsen71URV cBPOkan74vnrF8BwcCf71ahVIpcb1H4OTjzIBhrTDRzhZScCjuBYAYbBqYy67nP5mGwZpsMx5BRK cmUo3OlMC7pWHCdVOP8qPfopKkfo9Ck44i99VYF0vYfAQxHB/6uaq9cglJqM5Ez6UR1/MqC+QJxI nVDMc/UXNfHNd2WkHAwkRzr4wZCUQYyDgbWpDc2dTzNLF3dUH40QK4jxAZaE3yYIoMOLh3OyDNP4 g5RvRaSXPavJHOaKlsXRRDfSq5zVytQ0FOS0T5YjujR+LmjcGvsgp6IGhhcHKW4n9mEwzMHiYBMG 0yGzS+Vzzl1OR1DKAJ/eJoMgF+S/iqwtQCnlMTHdzYOuOn3peK2h9vOfz0PUC7y06c7ITErzKs1W /nyxCu+DLAZ67b5/LRtL4tcFCkrV7r/Tgv3S4G7h/JlzmZWpbJUtjqsVVjBnNdyxf3k3qAxQvPsJ dYM+BLkmxyaTP6r2P3ay5mz8dRzAxOqk17aV3jvJG1jQRKNfYAAbxvvlfWHaFn+gh4XXn3hq5o+g x/cdFi59jMeUPWrZBusncDqEb60Utwa9Dbx5KUJaPIBjdodZK7j+1RLDUQdHXAnJSDbPnO5anu7C tDOwyGtiAj9jGWqdcOiDO91Tfyi28oL6KVlyKXE+vcWWned1EXo9axPIQKdyodsyYxkiKgXRlWTo 1gKVQLHSFiBacfV3DuHq2KCZk9MA/S9vRBLOW0HhoEsMVfFPJfmHmrEOwGwJMTo3lDzg1tSDq26H 4A8Ira/uByR37VIxBPwL4G8W2Tn+PwXPetbXVTEtv5sYB3d3p4k3ngIJtrTQ/E2ZQweZXGQ+1cqA a0GjzvnfegqnYx7df9FXj3cpjPW0lSE+v6UkkMRk/lF0G2xwdelPIBT1D99ZnyDYfzZL+3ES00CB E5PwlVb3muei6m4YTXg54UH+CEnwKVimwKmKjG3jFMV5Ap1PcYBPSOPOYp7VEqQhGul/lofQMKfe YBDRtquzzixQHUCb2iwYL4RH3ja/MXjDBHX21AehThrUJ1/dyKwvXg9MCHb1ozXh2YNhH7Qb1TcN 5zqY1lihmDYrO+jxFdNepJhGs08CmEW5dtQhCft11UPqegQMT71svdrXFsGqf+IeR0jWNVfx/me1 vM3z26hIUC6NhUVFrf9DrE4SVF+h+NiC9iqbAfZ7m1D0MBz3INNtczuVuKdbID7+2hlQIfjWjVkV M94sR2Fme4UCf0R49NmHekCNBINkpaFwrl1X7IZ49O+sB7YstgJ27bnOeJxmq5hqsoHZK+OMd5bg lQxs84/azRXxOWmChNKPq1kqaNdSuhsrAawcFNgzieM+hI0SS6xWTq4Z0vt3+grlobd99Bi87Rzh iSjLf7SiEYOpalmbycrzTIqdpRUcgwDAT1DKIG566fdjKZCvhGWWH782vPdKsTSjl5fZDklHxPio L0nnBUZJ7Bp20aJCzkz9mCaMfAm0hnI4ZcyXJPzflQsHX4iAZesSR7zdShVXRhdQZeM4Ov0FQ7gz 2SIcWQNvoRP2skoib/4FQQxdTYjQOHT+9ACIJnV3f+yyimvHhc7vXxVyVhFISQVREfv8nfdaI87T dKEEA7e3dIGU/iycCDoOHDMDu+Z4K4PXsAwThBgp9FtGvrnBKX1LptpWV8N1+fPe811MuCcLasyI WLFq3I9un4bGswqSZzVpVSv58fAUCOjxyMRWUiEY8eTrw4jLIKiCaJOcm3jYaosqZFP1qhMyJzv9 cCdtbDC96tpT+jsf2Cyx2T/Bjzixqx1OGARxZ876nZ4GjMeLOiW5ykV7DU4XI9oL1Gk7ZRah/F1C k7qKnmmxpgs3y7U6t4+Oibzi+fMJPGYFKytHl9lYV8LXLyISVeltfhPpOkH1E3+dFcB2gSK2BNmG l44XHz043cgTYe8gOSTYwPB3Ml6wl/rjoWyYC1vF+2N2tE7epg3V911vvhSGW0jw9cEnQ1IyBj3u E6BnQTWwVL+jebagLRP/4J/lfFZgdUF0VyEeXSfRekN8hBMmrBpUrl78TBZvsvHkt2Kh+zMvjBAm 7BI5QI7hFTl73rkmTjAo8ppjs6Bl6WOLeQiPOJH2f075R3nquJMPsmpMLC2uiyyr3Bixcs1HkEII e4JEXPDNrxci8in5Fbl1S2nxz4gKt8mm8SPhYcgDrXR2KMWxwPScwjJAeNfx1238MC3HRySyLgX5 aglNasnImijTwsltaIFnytY9MiNKnqjdSRCPSTdvcHcoZxpp7Hz6fl7gq+WNEi3qRd8rOoWat0d+ cHN+zJ0jcsFU7UsXhsRzEvjY+Ky7JqxwTc4jWaGiXiQgYcA2hcCly+niBvNrb9FORQDcTRkSu+cn EJEqt+IhBRbE8q7DmRDIBdVxmHCqAnvoh3VWZRNyG/nTAd/vHD8rQFfSKKkKZtitk4knSJ0Uk0gd 2WKZUzYlklhbtX6Kajf7yBlJm2gCyJPeFbYF2ojtrQY5MscqIls20HMmYLMEVKe4USSv25CdEPM0 vA7pCvblv040asrfzD6yaazANB2e781aK6imUuTBDpA8SgfaU7X3WB439SvPU2t9ds68woJ1G6JN 439IFCZzccSDdo75aoLn9O8L5ssH6EQ19ViFx/UQmkVnyNN8P5vnSBYGih0z4+55ETBUPJJzzhnW 3Uv/1SX7hIHs2ze0o3aqHeNd7EUmbGpYKgZZVqVs9lPw/Ldqyv+Uh+Zv6x9Upsm3z5ojZK5XN45z dDsLZ9mejcvZRIbd5KO0FhhJITzKypl5E62zSFWVc6uuV2ptTjGw3Lyw+RAsCP309cHTtDUrqQhm KThn+Ibm9hvY+hFllwTqEXpXTZZyTg075O3hzrpeX7QcXgQHYP5xlv2BGiVmRLVCbgjZZdMf/4ng REu7ZlqXP+xzNR92KT60itGpYLR9w3dVdA5jr06yGGPny+dqFPArr4w5Y0adFfl+5A/WMoJRKbtS znJR4oxk0+Zb5wet7Uyz0A3iu0bmnX67uFiWWGGSWptgRPNRdT7lDPOmUy4PDu+zacLNk8hErulM PrX8ahHAd5eCFGUOgtadDtWwJMv8JFxB1Iqo5seUp1JAogKErMzn1sLGHQaTwzYo0PfFTPJPva9a I+LzMCWNgzJj1w0GVsXxVmz2z74Ju9VMeGMb89w+n8lJN5MlkTzlunv4ngoQdVPP+jOX1Q1cFLXC 7qhE/npalkaE7qJzf/VEktQoHnTVSV438xSk8k/Q5mLnLeGcfILAUPTucCQjPcEj6hzJBY1ssSH4 bAU/CSiy4krdbgdKkzPdAaM0BzQoAzHb1YTSNTslfRYmVLn+V+4lo1l1IrUDMJlaikXWR76dIQYj fhnHhh0hRCVpB1dMPleP/Z4Fpni9U6WPGQGPmmIxlSoX6i5tese/N3sYRec/qznQpqMs64Lm3uOW ITD2MXMapIMjPupJn00l04+DZrisX+qPa0WZQ+JM4effTbx24X5q4heY7iRx1pP0REGSbYuDAOaO Ynfn4323aqL/fWMJcAUW+cQS7DZteWdlAqneWsJFyQo+vaPh3hlPknwr/ZJnSmkeFONAJr77NmyV jt0vDXPzE0DraZlDLPxdfLYe6udX6LhFjqVubiHDF7k0+xreJD7ZO6c9kIZT43Tm36p7nvlWjqC7 KEkRKwqYL+z17rMJpqDxMbvwhYG89n1vTUiV00+B/PCusA72FB8PspkWyVLn2IXlDjy29zbqMnjN 7Jbkquj6w1yg8fZA+LrbZp8Cnfd7iwBClzAUJXZixGRgXVXN4Kd/B2gJvkms829vIoTMp9IyrRPo icwmahYBSxTBeRraTx46Rh1tdLezBmBg9++Z9NU7iYPTz8CK+cF60ehoUjth4aMJ0GphfShw7r3w bvy23yPq5P2BJd5SHJY4dm3GATa3T+pFZgstfJxC7rMDXWhPjGsdb4AT6Ft+PiVEZzgnZTfGw+hl JcNIBvEjGecjyqPqyYKFELE0401LS+0SqU7b8AJX+MExA5i/4ToaP+spUm3mkgtObzuLpvuYmmhx Lmonfi1oYmAXaF+/X8PuthyToY91KclaE8sz/lWdwvva/S0BF+C6uPIOh2aBz3S1FIeuIQ8Nj9GY TyRtM7dZBZWkasIULnIDWVCWt7X0x9j8QiN/hnodz0izwfGk+Z4NBAHxx7EJj8ZloeV+bwh+HtWu J3RVosYpTF6N+GurKAGHzqYx9DyCPx5/oGyLO2VFkErjXKEs4VQWblbvJQXLrC/4xM+rcnN121Hj Jhq3AY09cnXJJymB2Mqg2cKIipANLZRbXj6l9HQfdij+tPRCfiVxKUYXGapYiJIp0K4yZ1LBdo9p YuySjrIaJ5ckTdIE8kpcRneYd3b85AZB3ZyBkrmVv/J3pXS6fMmgi5+kDqtJkl+kEya1QZ3W/PWE C1xjpNIWr0RZdfYsJsMeVIsOkqV9PQMps5PC56Qv1EkcXGcrbkaO3tiVmzW94PejTUMwrFIoaEzG dIga+BvtLMbNYSa1k4LIOltDmkVChFk8A2D6GNIVJlST0IY84fwgJGL24euf8JeWozyUlw6qYzfa Alo5d2yvBrGaUpEjilOPMkdRYUC0CvZNe1LXCI9DGQ/sriy8m+a43X7GrvR377t8swQrWC3c8RPk wMgDLEvcTRPT/RH6gcHAs1zp7C8Em5KutP45TupaHnEfkrZweqiyX6ltlTV7Wzj+rrQnuib8o6yy d8UbpTN1splVkKt0PHesUrntaFaC9cVNk6nWa5XXia36+lKeRyyhKoUpNVgWSq6x5XpOsI0lRyUI xkhpqvg6fVC6jTA7ol8d7b0t6s8wvV1uAWNQFZldoBVMsWfbwjVxgQYIyyIPjwP+Gf1ScpOl7e2t Ry299ApKuYbRmZZd/kKwa25vAEXpsWcZlBu2xTGiyaWQXJ3h9X2MWRqj85t5kcoAXRsBV+LCNEWL 5ejjYgXzGbPNTQSIZGcdjasFLSoZOMa/V8BPLolJnckBh2Ovheev8BPDhb9PX71VGjOgjW3ctGVL RJlJltMImiZxB/nh1KJ3nTMm4kblOdLwtm+HSHmsFsxgetEpbsakT8vS9DVRoclSt2vlhwkrW1db YFb2zIvBaJpoqTzMOtAR7RPLl3ya3iDVtGpUj/fZR2QdPOxRNTd2kaVzlAhTdhCRu8duDgKOn05g J7YlrRgQ4GonpD/BH0JHTmZ7dXQS13Warnu0DNju5lMpFAMLAUzsdYKo02vI+jUW+nu8yvnsHW8X dgkYvLAKhptzVbZImW87FywaOMS9th46652RdbfpLBKC2v8vFu90xyvSasfO4q1xoPzx/0T6vFA5 aFva9uQlHK++871RrfCHqFFs3iRX+VRPj7y+VhRDJA1O0rLq5p0i+yBpd3KhcvE5wc/47YsIVggz r2A7rqUaxW5NY7rmva5y/u7BicpNENgZsGb20gZ+1Zqh0LdUb1ysebh/TeFjZfS4I6rM3QfM/fwV 2KKumAYYXEgKEkaq9YcNjq6WYEiB4hnDih2BtwYjp4fgv04XO7aIA2j9w9KGocFQTFAF64OqOT+b JiKcQY838hWaOwyy5rWlVNVFr8GwXAxsZfWl+2J1AJFkQl0aQegYVnVP20uXh+qvKc1xoTIW5fhq HIpmNLMjwuPPdkNSJO+mvD/qgma+/K3maL7dVnweIeUms12ClAi+NyMztkNt06Q4MDn7UBCs26C+ AVzBoRILVUmEUp7LK1VbzXB4c+n1w6qbGpQOEsjVdMbpvv2EArVxGHC0YK9towZLbUzBzeOuk6NK 3GORYQewCrGJiqCiLxZT10j4cyF08XDb2dJQ7WixvccIip2JYFV7d+dRSY2S2/EsSOjGlfVhq3jL jx65sXMYjpQFHcI+s8+PADaImimL7hy46eR2A6gh1vihRYHG9252cTTC3O4eH0jIjUPmT/BHdigB 1dTkcQTr38XWBPni+Ec3ZbEYGBfXYV/ECHBec9D2sAchcXWZ6DfOc/l/pnJRUIzV51MhTveucxLs wzG0VjLi4bXXBg/8ZgBTgSEo2KvrsiPynaDVgjS/6pXYcL7nLXbZaCsXz7yT/YhHtrLF7S4RvO25 JJlNIkW61cFOLq+cEresAtFk9jr17yDopr3+/6zrmXJNW+IJDRpOOPyJK8L5HfYKOaEAmK7je9P4 eRYilqBGoWuMZch2Y5YHpaE4pwxvwGhY9z5Q0PSLm0YUNFysEB6IcFoM/78t0FgNgj78JVSExRsK /Bfwp6HmBeBOrov2/4eKrdL56UeO/SJEC2nyfP9jS4+bvOkiSL/veMAk/HyR4oEi/bRyHkjp4716 4CkmfqH/ENA7jHTJnXKu+UzVHrlCqyCwaZrH2nCGS21zCxeFl5dvVzd6SKVtCbU3TYNB1gvdq5bU F5IEz8vLxVExRcqfne1roExR843vH1CREbSKRQJTBIO+b4K+FfHrnr5RH5KHpLcH9OzsItU8vQsE z/GPm0uPS5hYqSK0ScEVebDmNIgBfN1Mdi1QC2oKvlAEVKMN86ahFQGr3D/oNoNPnLuDUNHvkMld izbNe+wPhBhoVSHEj47JhULqvCdqe5MrOJ6Ss+RDXiBs6Whq0/YnHPg371+KtaE7iHrwb8alujIp N6/B78pz/ZIJSz428N02JFaF+22goJ1tQ7swazOh52/hPs8SAnIfaZY0qL3E66V9fksCYsCkrzHD M412ca5GYDknGz8QzxeEQsSEFasWdKzZVylXzrTPXB8Wz1Pebv7xHbp4trX5RI48rXB4oFGDJFxj 3w7kOSOGtGmIYbTGirnlunCOON1lGzfw9/CHPqwreHoiP4v5etAOEeyscU7wB308nMawbN9Y0g42 xXAFJgncd7OJ9yC+DWXrfbOa58u8Vr7GqV+SLcrDMPwn5bFhktKtnJ9cqwqs8OMLAwLU8ywskTHD BibYohPEXsA+9PZR/YF8qKPOeIekotxsZLeRYQnTF8AsaEcqXXV0YNwAunHn1CBvHR/166ENC7L6 fJjAGmLfjbU0BUNRuMwH8SjE5V9hjGhqNBd89m0kOUap4gV+bpCg4HIqpQVM/imscHfQnTSYl/F6 kMWCi3m+oT/2iueP1ZNdI4PgUDg3swhJeihac6oTI2ZFEnx4ZbLTQpSfB3kyMBiiaM94B0xb2bV+ O2JSbqjAVtHEdUrL1ZSrOBvzhgBXneOQK3qiPtJj24razD3lGCiqOplJ8wn0gqpaBe9dIr9fB+Or wNelVLMPXS9v+bwMoG8LYFugrr7weSCLGva5im8xAwWbfcsXuRJ1mZuXkAjgEZ02GB7GwkMHJRAL mlZvy7VCvSkDNl+QZWZr1V8TLCMLIDdMOS1qjNtqKgsFcRic3AFftWyHgMfup/gwwbguZwqZ0KP1 SSTPjsC3NRrRTBumkQTLNzYPc62Js8ZuSPa4+7r6tyZVZ72+b/Wew/tTfVKWPZBwga/hEK9/fQ8r bkZF52dBXdIgPuwgnKWDEh20FlfX93o+CJnjn6kYv8IBcFN+nTxKQPBfcRNv87BKmPzcBSHxAqCf 2aSiLcWx8OZzWkUx8dd1z37CqJb0SHaiqLbKKh+9qe6udMl+jO1nS41y0Q7wO3lgO9X5s8O1LsKr TdotmVnml8SkBI7uzMzzGvmCcEIZ7ju4yzs7hdnqDNGprZmv4DOvW1gj6TMx7x61L92fSbmZpXfj 8JrOgc16IeBxdupbvweWtRflVGXg2BsOBSExS8fTm+v/qbht2Gnddp4W5Gu0BSjIGdskF7H6pQ2z BKTumNxGYyfY0reUpQj71JoUaP3uu3IrNQC7DiF14AKeOYVA+Pvr+84ok7P4O/+o2bBPAT0oWwNr kvfzJsDWYsNzL2bTpRkvIcrcD0iHhT1fDcDt+ypTm1a41ANINabrE6FufaPhZT4q3YbTsAvcHC+7 EgovOr6Z7SMHcg1uPuq1YnWwbXLhobBxL2BGYacC+xZ7f1KXj9jMjO+mMYNbM31mJjftL4i+e4ZR 0yGfh5+yNiqRNIZtCZEfazTgAvZjq431mGYorFU7YlkR6TbVn3DwN8PVcjyPyFPUTG4AMAqtJMeh K9VVU6f8qAA6aXF8qezwl5qHRYrR6+8trAzTzOsIMHxMaMNbFpaCshH4zqYgpcOYg9kzRaZDtnGt L5MZ4hThEL/U75/WjjSvfz681wgtxFEZfWsUS2+Sk9uW1IbPKBSFnznnxD3mLlFfaFX3dBe8Ecj8 8VJj3Wxa257dSGAzAETw19ikBOYT/sZAWRncyLuHTFXupqQq2BMSxbpVnfNowEgBR+CS0oO1KH5h 7Hodmpft19lW1i4iMVs/lQuxX1oUkYh24U7gTiXRE8O1q5npE6t7B4OAPJmk5M62zwJ/QFflNsL+ D3fl2Lan7B8c6LT9cTxzPByNrM3QErpJ58RfOzG5/GwSECQdEX0S+Q6xME9+KRBiTkHsFVn/6Z5n LJXlRlv3HFxSciPwlqO0sFjGBZYBRhGZHgWfHbUuXaUcIpM6qJu7meEgtfw2IqUKmqJGOtaj7C8U mOS/Ewen36JGKh2uOhTtSY3oHONlZ5jki2YHmY7/L1QRNhcuZWNzMWvC1LqpZC1rHIWAOS9Dzlwe nYn1WtOtKnB4g3m141SSTu4JiNdD4hcvtciChaGAp7n4JM0uv0p/6RYkIH280ninw9ReyGFxYznh d8wLny+ZdkOqTOKdkZy7ylI9Xs2FfvHo+aWQDNmmaz0uOUOBPVdHIykG/4ed9BJ0lpiZ3oYT41p4 4rQUCHeNXGaiTaYcgMIQ79mCwJZLPo7m5gBOEIYH5c2uiNvDqCAH55R64U1vLtOOotdoqaWjZij7 UQKOhGbMJiPde0qtiQFf0vgt13s07HeK4gYFsHVeWCpJJYx2pO/gKwlGzu5molVIxayhFr/hR+px tZzU0UIUNyQTDumN+YfSfSQfmypLKMfuiACic6NYsyrDzK5edUOC1KTviMjZyqxs0NhDvrYax55G pmrpxiGmuxZuKo+hokgTT1PPaLKjWU8rWZttBfelTaDs22chsg515F+nBa0JCYbpkCTd0bCsLmMD QaFuZMcCvUGAXivEf3tGvzQpU1a49EzWBwGPlDgYxiXEjbRciVGSbuME+ULnp98TdBNpxE973DaK uOeqcYVrJc1rQ2f6pas9k84Kw3KJ+VjCfGyXdmfWRM2QXmXEWuQYT+A3wZAWSDgSyOc703Fhj90H elQhpHMZ4RJ3IJFnbL3+XrWeyEM74oSUm8RbeFskw1B4c9R8Qi+BT+ge+J2Pf7QNWstHq0pKX6qY qYTt3tWTtjQOJ4Y9sy2hLXwCr1rxn8V1CLI8SYQXQ4aV8dxk1XE0455nJ2nviZlTkvzukJj85Ooh 2+OhR1K2ZTlue3OzMm+rwrUK5OFqSmO5W647QRObUUyUQdWKRC+z7IaX2xmNlzNTmXUnE15HxzLT ctSubLULfVxzw8HwXs9C3ODdfQK7KTtd5pWpABLZPRmDDv0+LofUD0Rt8TnFuMNhzaAETRJP6wmb uMWrBDm56vRYeOD/unx1yPy428ucZHTYLqCjB+i573xqZm82/dqA4OutvRhiwRIb0qO0JUBn71Cb 6FAZ1ZgtzrkcGTP7edyZaLXhyXmg/QwhCpXwSVhTlYGmXZGlzWFo2eTuBL+Tql5SJNNZqkpQ77W7 pi4BIcfFQFEPXaG2P/4/DlO5Ngre1kThu+EsfVSrFkG9y8TN9cMOvT5QTYmDZmGdgLynT3aL14z+ KJEadsOPhjEF7p1K1MUoQWuR4p4Ash3uAowmM+1f6vl4oN3zWqQMD2jQAJBAg5fj3dNR63GbdxqT oN4Ff3M+jIbbiHNfNWsYLTMnJ+93l44Yi9pS/wtxI5VUfqZskKAx83dSdamz327cmEfA1nosONQw 56a7v/ZSHWL8mx+k6l9s5DtBVqp4QPgwLi0HZSSvzQc8iT7EEHXCANZlAjuiIBo+Cd2pC+h/OAMw wAXnLXMrqVKt/Fzh77QJyY0hp0tlFRT57hGaUEyYQiye07NQIdxls9jihZkNamA2eP2ctzx0QmAn 9SRupkDWXXcHQ5mWBO5TZat1B2wpKSLY0fCbhdybXflWzpXe3tiDWRNijNXtj8Fh+pWnFshdvgp0 Ydlgn4LjB9nC+9wN/0xMp6wvllF8XSN9UVeZKkg1dkaMI1eKzAU09gOZU7NO48yq1ekwibYgxinw CbQ7i+eDXe8MU/nzYyYm8CMesCwKNZtw4b7n8BHkI9+2DmkucS/C3kZwA2ztcmB6qDf5RIsHzGBN lCkD1JKFH9g1p/ktSInZMN5vQzz5K4zOJIz3eCbKR0KfyHx1uCEHtN0+k8YpvHeoyzZ2njPEHAqZ 2go7Z1KZNTNjCrpLWj16f+YobkdvxhV2sTIrbrFRYmsePqc07PCKnt/idL99l3gwBK7qnoTQGnh4 gQeVDPjU1xlCmbZ6rmPeKl9w5I4pjsKT9KRpAZbXJouOjmvDx9TXLZabA0f6kSjt9viI0GljLOfg q5HxGyuXrxHFUBnEzwagVgIC06uQAGBRlARSQkot9kQap2aF4UiEgJJmPmQqUHFqAoJpJgtwzD8G AMZfQUyohbEMkn2U30S1l3Hv/dHAtDY6DO0stPagxdoHEYDwIAlpGKKfZgLbSnYWYQz2HuJXpqzf QTK7FGGk+ADsE8pKD/f5t6DWxrpFlWNSn0FykpZ90wy8HdsIcQGilUWh7ZYNn4cH3k+CdSCaSetu APtG3r884ATpCOtAXYpOfYBvC4r0lCoPGvh0nfNGp2RPjOegFaUV9D8H+VQo8F/KvVMzlHDQZD5U Ndzr4MFrc/E/DL9DkV1e9dsv08DFsN9mrhMc+w4e/z+FxMdez5v7Q/J8pk6Cr5mEaNelk4H/Gem4 7dYK+d0KQegutVZz07Yq10IY6ROIaCIFr4+PN7BOEhwcC2ADY7xiGUCXqkdpOv/iC/yDJoRKtpie f3tGFD9VRbtqdJdkL3VRXY8/Yp30+0UN00GiWueZ/zZnea72Z95y/38yU/UlU0iO8YylcN8ytpD0 bUlUEZWcZ1L81BcacJF7CYJ21x4xiatsJwwVYDvEprvGOH52wVjncY3IqYhx7RTYHac0Dj31ppWm W0OrTtv2+KWSc497r2AV6mxg70AcVSLjn14nMBK3Yaa4IYkcF9GvA/lrAYQL6hELH+S40bGvJsOZ 8VvBwM2ZLy1V2thgzrWyYl/rQ3FCn2yoEnKP46hOrl2wOQA8HudBqAzyEnQyHCw1S6ykNiOvIhQI RNKOElnqV/Xyz2i1xY9jv3g6zn2X4xYbYlwWRrD1jTqV2stsXc+QaJoiP86oZzztIpLhYTCXrsFn caXgUbQz7xQH9jQwVV+YgalHVAohb/iPtlU/tB5yEACqMOKSELlSvZwzqorNSpur8+CIltuENJDE eqVmhr2By6jHBB+sG2/ytFbOA2qX5ew59KTbUZvrYggk71prkUsVT49zBfMuYFDkmDXeKQIaLJtS JboTp+1uqkMEkm1r5Tkv+s82U0myGcuGe1SNNnKoFfIaisFKJBJ7NCQDKZoj0d+bMHCnto70MqOm x8LY57VTWho1m4/519FaXv1Y0RcU5RwwIJxvHd+VjzvbXysjCLbbuvcbrKBZZlEIl3amLnTXTBkq YLJoPSBZhu0re7Jbei0ouED1NZBuSGoqyw2TRi8SnOSHahV88zSD/FK1LDalakQpnbUyYTPrK5H/ /VIPbSigs7U1tKVxcJ0cp6RoATwido5chTbnC/d+lYuQ9SBc9KAYmyRcc7RWODPOZCwcf1BdMFkK CZ0Irq1tDDVvpXEbCmk2JCNiDz0hnj5vXZ6o `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mul16_16_mult_gen_v12_0_12 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); CE : in STD_LOGIC; SCLR : in STD_LOGIC; ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 ); P : out STD_LOGIC_VECTOR ( 15 downto 0 ); PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 ) ); attribute C_A_TYPE : integer; attribute C_A_TYPE of mul16_16_mult_gen_v12_0_12 : entity is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of mul16_16_mult_gen_v12_0_12 : entity is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of mul16_16_mult_gen_v12_0_12 : entity is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of mul16_16_mult_gen_v12_0_12 : entity is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of mul16_16_mult_gen_v12_0_12 : entity is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of mul16_16_mult_gen_v12_0_12 : entity is 4; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of mul16_16_mult_gen_v12_0_12 : entity is 1; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of mul16_16_mult_gen_v12_0_12 : entity is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of mul16_16_mult_gen_v12_0_12 : entity is 16; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of mul16_16_mult_gen_v12_0_12 : entity is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of mul16_16_mult_gen_v12_0_12 : entity is "kintexu"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mul16_16_mult_gen_v12_0_12 : entity is "mult_gen_v12_0_12"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mul16_16_mult_gen_v12_0_12 : entity is "yes"; end mul16_16_mult_gen_v12_0_12; architecture STRUCTURE of mul16_16_mult_gen_v12_0_12 is signal \<const0>\ : STD_LOGIC; signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE of i_mult : label is 1; attribute C_A_WIDTH of i_mult : label is 16; attribute C_B_TYPE of i_mult : label is 1; attribute C_B_VALUE of i_mult : label is "10000001"; attribute C_B_WIDTH of i_mult : label is 16; attribute C_CCM_IMP of i_mult : label is 0; attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0; attribute C_HAS_CE of i_mult : label is 0; attribute C_HAS_SCLR of i_mult : label is 0; attribute C_HAS_ZERO_DETECT of i_mult : label is 0; attribute C_LATENCY of i_mult : label is 4; attribute C_MODEL_TYPE of i_mult : label is 0; attribute C_MULT_TYPE of i_mult : label is 0; attribute C_OUT_HIGH of i_mult : label is 31; attribute C_OUT_LOW of i_mult : label is 16; attribute C_ROUND_OUTPUT of i_mult : label is 0; attribute C_ROUND_PT of i_mult : label is 0; attribute C_VERBOSITY of i_mult : label is 0; attribute C_XDEVICEFAMILY of i_mult : label is "kintexu"; attribute c_optimize_goal of i_mult : label is 1; attribute downgradeipidentifiedwarnings of i_mult : label is "yes"; begin PCASC(47) <= \<const0>\; PCASC(46) <= \<const0>\; PCASC(45) <= \<const0>\; PCASC(44) <= \<const0>\; PCASC(43) <= \<const0>\; PCASC(42) <= \<const0>\; PCASC(41) <= \<const0>\; PCASC(40) <= \<const0>\; PCASC(39) <= \<const0>\; PCASC(38) <= \<const0>\; PCASC(37) <= \<const0>\; PCASC(36) <= \<const0>\; PCASC(35) <= \<const0>\; PCASC(34) <= \<const0>\; PCASC(33) <= \<const0>\; PCASC(32) <= \<const0>\; PCASC(31) <= \<const0>\; PCASC(30) <= \<const0>\; PCASC(29) <= \<const0>\; PCASC(28) <= \<const0>\; PCASC(27) <= \<const0>\; PCASC(26) <= \<const0>\; PCASC(25) <= \<const0>\; PCASC(24) <= \<const0>\; PCASC(23) <= \<const0>\; PCASC(22) <= \<const0>\; PCASC(21) <= \<const0>\; PCASC(20) <= \<const0>\; PCASC(19) <= \<const0>\; PCASC(18) <= \<const0>\; PCASC(17) <= \<const0>\; PCASC(16) <= \<const0>\; PCASC(15) <= \<const0>\; PCASC(14) <= \<const0>\; PCASC(13) <= \<const0>\; PCASC(12) <= \<const0>\; PCASC(11) <= \<const0>\; PCASC(10) <= \<const0>\; PCASC(9) <= \<const0>\; PCASC(8) <= \<const0>\; PCASC(7) <= \<const0>\; PCASC(6) <= \<const0>\; PCASC(5) <= \<const0>\; PCASC(4) <= \<const0>\; PCASC(3) <= \<const0>\; PCASC(2) <= \<const0>\; PCASC(1) <= \<const0>\; PCASC(0) <= \<const0>\; ZERO_DETECT(1) <= \<const0>\; ZERO_DETECT(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); i_mult: entity work.mul16_16_mult_gen_v12_0_12_viv port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => '0', CLK => CLK, P(15 downto 0) => P(15 downto 0), PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mul16_16 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); P : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of mul16_16 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of mul16_16 : entity is "mul16_16,mult_gen_v12_0_12,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mul16_16 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of mul16_16 : entity is "mult_gen_v12_0_12,Vivado 2016.4"; end mul16_16; architecture STRUCTURE of mul16_16 is signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE : integer; attribute C_A_TYPE of U0 : label is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of U0 : label is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of U0 : label is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of U0 : label is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of U0 : label is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of U0 : label is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 4; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of U0 : label is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of U0 : label is 0; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of U0 : label is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of U0 : label is 16; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of U0 : label is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of U0 : label is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "kintexu"; attribute c_optimize_goal : integer; attribute c_optimize_goal of U0 : label is 1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.mul16_16_mult_gen_v12_0_12 port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => '1', CLK => CLK, P(15 downto 0) => P(15 downto 0), PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE;
entity tb_fsm_6s is end tb_fsm_6s; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_fsm_6s is signal clk : std_logic; signal rst : std_logic; signal din : std_logic; signal done : std_logic; begin dut: entity work.fsm_6s port map ( done => done, d => din, clk => clk, rst => rst); process constant dat : std_logic_vector := b"100101_100101_110001"; constant res : std_logic_vector := b"000001_000001_000000"; procedure pulse is begin clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; end pulse; begin rst <= '1'; din <= '0'; pulse; assert done = '0' severity failure; -- Test the whole sequence. rst <= '0'; for i in dat'range loop din <= dat (i); pulse; assert done = res(i) severity failure; end loop; wait; end process; end behav;
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_dividerAltr is generic ( widthin : natural :=8; pipeline : natural :=0; isunsigned : natural :=0 ); port ( clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; numer : in std_logic_vector (widthin-1 downto 0); denom : in std_logic_vector (widthin-1 downto 0); quotient : out std_logic_vector (widthin-1 downto 0); remain : out std_logic_vector (widthin-1 downto 0) ); end alt_dspbuilder_dividerAltr; architecture syn of alt_dspbuilder_dividerAltr is signal svcc : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; svcc <='1'; gsgn: if (isunsigned=0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( clken => clken, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gsgn; gugn: if (isunsigned>0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( clken => svcc, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gugn; end syn;
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_dividerAltr is generic ( widthin : natural :=8; pipeline : natural :=0; isunsigned : natural :=0 ); port ( clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; numer : in std_logic_vector (widthin-1 downto 0); denom : in std_logic_vector (widthin-1 downto 0); quotient : out std_logic_vector (widthin-1 downto 0); remain : out std_logic_vector (widthin-1 downto 0) ); end alt_dspbuilder_dividerAltr; architecture syn of alt_dspbuilder_dividerAltr is signal svcc : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; svcc <='1'; gsgn: if (isunsigned=0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( clken => clken, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gsgn; gugn: if (isunsigned>0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( clken => svcc, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gugn; end syn;
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_dividerAltr is generic ( widthin : natural :=8; pipeline : natural :=0; isunsigned : natural :=0 ); port ( clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; numer : in std_logic_vector (widthin-1 downto 0); denom : in std_logic_vector (widthin-1 downto 0); quotient : out std_logic_vector (widthin-1 downto 0); remain : out std_logic_vector (widthin-1 downto 0) ); end alt_dspbuilder_dividerAltr; architecture syn of alt_dspbuilder_dividerAltr is signal svcc : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; svcc <='1'; gsgn: if (isunsigned=0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( clken => clken, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gsgn; gugn: if (isunsigned>0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( clken => svcc, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gugn; end syn;
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_dividerAltr is generic ( widthin : natural :=8; pipeline : natural :=0; isunsigned : natural :=0 ); port ( clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; numer : in std_logic_vector (widthin-1 downto 0); denom : in std_logic_vector (widthin-1 downto 0); quotient : out std_logic_vector (widthin-1 downto 0); remain : out std_logic_vector (widthin-1 downto 0) ); end alt_dspbuilder_dividerAltr; architecture syn of alt_dspbuilder_dividerAltr is signal svcc : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; svcc <='1'; gsgn: if (isunsigned=0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( clken => clken, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gsgn; gugn: if (isunsigned>0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( clken => svcc, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gugn; end syn;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Complete implementation of Patterson and Hennessy single cycle MIPS processor -- Copyright (C) 2015 Darci Luiz Tomasi Junior -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- Engineer: Darci Luiz Tomasi Junior -- E-mail: [email protected] -- Date : 01/07/2015 - 19:53 -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY PC IS PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; IN_A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); OUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END PC; ARCHITECTURE ARC_PC OF PC IS BEGIN PROCESS(CLK, RESET) BEGIN IF RESET = '1' THEN OUT_A <= X"00400000"; --Para utilizar com o MARS ELSIF CLK'EVENT AND CLK = '1' THEN OUT_A <= IN_A; END IF; END PROCESS; END ARC_PC;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Complete implementation of Patterson and Hennessy single cycle MIPS processor -- Copyright (C) 2015 Darci Luiz Tomasi Junior -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- Engineer: Darci Luiz Tomasi Junior -- E-mail: [email protected] -- Date : 01/07/2015 - 19:53 -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY PC IS PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; IN_A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); OUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END PC; ARCHITECTURE ARC_PC OF PC IS BEGIN PROCESS(CLK, RESET) BEGIN IF RESET = '1' THEN OUT_A <= X"00400000"; --Para utilizar com o MARS ELSIF CLK'EVENT AND CLK = '1' THEN OUT_A <= IN_A; END IF; END PROCESS; END ARC_PC;
---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:50 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_acf -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_acf.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_acf.v,v $ -- Revision 1.10 2005/04/08 13:03:07 igorm -- In "Extended mode" when dual filter was used and standard frame received, -- upper nibble of the data was not filtered ok. -- -- Revision 1.9 2004/05/31 14:46:11 igorm -- Bit acceptance_filter_mode was inverted. -- -- Revision 1.8 2004/02/08 14:16:44 mohor -- Header changed. -- -- Revision 1.7 2003/07/16 13:41:34 mohor -- Fixed according to the linter. -- -- Revision 1.6 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.5 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.4 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.3 2003/01/31 01:13:37 mohor -- backup. -- -- Revision 1.2 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.1 2003/01/08 02:13:15 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_acf IS PORT ( clk : IN std_logic; rst : IN std_logic; id : IN std_logic_vector(28 DOWNTO 0); reset_mode : IN std_logic; acceptance_filter_mode : IN std_logic; extended_mode : IN std_logic; acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); go_rx_crc_lim : IN std_logic; go_rx_inter : IN std_logic; go_error_frame : IN std_logic; data0 : IN std_logic_vector(7 DOWNTO 0); data1 : IN std_logic_vector(7 DOWNTO 0); rtr1 : IN std_logic; rtr2 : IN std_logic; ide : IN std_logic; no_byte0 : IN std_logic; no_byte1 : IN std_logic; id_ok : OUT std_logic); END ENTITY can_acf; ARCHITECTURE RTL OF can_acf IS SIGNAL match : std_logic; SIGNAL match_sf_std : std_logic; SIGNAL match_sf_ext : std_logic; SIGNAL match_df_std : std_logic; SIGNAL match_df_ext : std_logic; SIGNAL id_ok_xhdl1 : std_logic; BEGIN id_ok <= id_ok_xhdl1; -- Working in basic mode. ID match for standard format (11-bit ID). match <= (((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7)) ; -- Working in extended mode. ID match for standard format (11-bit ID). Using single filter. match_sf_std <= (((((((((((((((((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(data0(0) = acceptance_code_2(0)) OR acceptance_mask_2(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(1) = acceptance_code_2(1)) OR acceptance_mask_2(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(2) = acceptance_code_2(2)) OR acceptance_mask_2(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(3) = acceptance_code_2(3)) OR acceptance_mask_2(3) OR no_byte0)) AND (CONV_STD_LOGIC(data0(4) = acceptance_code_2(4)) OR acceptance_mask_2(4) OR no_byte0)) AND (CONV_STD_LOGIC(data0(5) = acceptance_code_2(5)) OR acceptance_mask_2(5) OR no_byte0)) AND (CONV_STD_LOGIC(data0(6) = acceptance_code_2(6)) OR acceptance_mask_2(6) OR no_byte0)) AND (CONV_STD_LOGIC(data0(7) = acceptance_code_2(7)) OR acceptance_mask_2(7) OR no_byte0)) AND (CONV_STD_LOGIC(data1(0) = acceptance_code_3(0)) OR acceptance_mask_3(0) OR no_byte1)) AND (CONV_STD_LOGIC(data1(1) = acceptance_code_3(1)) OR acceptance_mask_3(1) OR no_byte1)) AND (CONV_STD_LOGIC(data1(2) = acceptance_code_3(2)) OR acceptance_mask_3(2) OR no_byte1)) AND (CONV_STD_LOGIC(data1(3) = acceptance_code_3(3)) OR acceptance_mask_3(3) OR no_byte1)) AND (CONV_STD_LOGIC(data1(4) = acceptance_code_3(4)) OR acceptance_mask_3(4) OR no_byte1)) AND (CONV_STD_LOGIC(data1(5) = acceptance_code_3(5)) OR acceptance_mask_3(5) OR no_byte1)) AND (CONV_STD_LOGIC(data1(6) = acceptance_code_3(6)) OR acceptance_mask_3(6) OR no_byte1)) AND (CONV_STD_LOGIC(data1(7) = acceptance_code_3(7)) OR acceptance_mask_3(7) OR no_byte1) ; -- Working in extended mode. ID match for extended format (29-bit ID). Using single filter. match_sf_ext <= (((((((((((((((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_1(0)) OR acceptance_mask_1(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_1(1)) OR acceptance_mask_1(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_1(2)) OR acceptance_mask_1(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_1(3)) OR acceptance_mask_1(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_2(0)) OR acceptance_mask_2(0))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(11) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(12) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(rtr2 = acceptance_code_3(2)) OR acceptance_mask_3(2))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_3(3)) OR acceptance_mask_3(3))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(3) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(4) = acceptance_code_3(7)) OR acceptance_mask_3(7)) ; -- Working in extended mode. ID match for standard format (11-bit ID). Using double filter. match_df_std <= ((((((((((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(data0(0) = acceptance_code_3(0)) OR acceptance_mask_3(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(1) = acceptance_code_3(1)) OR acceptance_mask_3(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(2) = acceptance_code_3(2)) OR acceptance_mask_3(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(3) = acceptance_code_3(3)) OR acceptance_mask_3(3) OR no_byte0)) AND (CONV_STD_LOGIC(data0(4) = acceptance_code_1(0)) OR acceptance_mask_1(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(5) = acceptance_code_1(1)) OR acceptance_mask_1(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(6) = acceptance_code_1(2)) OR acceptance_mask_1(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(7) = acceptance_code_1(3)) OR acceptance_mask_1(3) OR no_byte0)) OR ((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_2(0)) OR acceptance_mask_2(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_3(7)) OR acceptance_mask_3(7))) ; -- Working in extended mode. ID match for extended format (29-bit ID). Using double filter. match_df_ext <= ((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_1(0)) OR acceptance_mask_1(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_1(1)) OR acceptance_mask_1(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_1(2)) OR acceptance_mask_1(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_1(3)) OR acceptance_mask_1(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_1(7)) OR acceptance_mask_1(7))) OR ((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_2(0)) OR acceptance_mask_2(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_3(0)) OR acceptance_mask_3(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_3(1)) OR acceptance_mask_3(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_3(2)) OR acceptance_mask_3(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_3(3)) OR acceptance_mask_3(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_3(7)) OR acceptance_mask_3(7))) ; -- ID ok signal generation PROCESS (clk, rst) BEGIN IF (rst = '1') THEN id_ok_xhdl1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_rx_crc_lim = '1') THEN -- sample_point is already included in go_rx_crc_lim IF (extended_mode = '1') THEN IF (NOT acceptance_filter_mode = '1') THEN -- dual filter IF (ide = '1') THEN -- extended frame message id_ok_xhdl1 <= match_df_ext ; ELSE -- standard frame message id_ok_xhdl1 <= match_df_std ; END IF; ELSE -- single filter IF (ide = '1') THEN -- extended frame message id_ok_xhdl1 <= match_sf_ext ; ELSE -- standard frame message id_ok_xhdl1 <= match_sf_std ; END IF; END IF; ELSE id_ok_xhdl1 <= match ; END IF; ELSE IF ((reset_mode OR go_rx_inter OR go_error_frame) = '1') THEN -- sample_point is already included in go_rx_inter id_ok_xhdl1 <= '0' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_btl -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_btl.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_btl.v,v $ -- Revision 1.30 2004/10/27 18:51:37 igorm -- Fixed synchronization problem in real hardware when 0xf is used for TSEG1. -- -- Revision 1.29 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.28 2004/02/08 14:25:26 mohor -- Header changed. -- -- Revision 1.27 2003/09/30 00:55:13 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.26 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.25 2003/07/16 13:40:35 mohor -- Fixed according to the linter. -- -- Revision 1.24 2003/07/10 15:32:28 mohor -- Unused signal removed. -- -- Revision 1.23 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.22 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.21 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.20 2003/06/20 14:51:11 mohor -- Previous change removed. When resynchronization occurs we go to seg1 -- stage. sync stage does not cause another start of seg1 stage. -- -- Revision 1.19 2003/06/20 14:28:20 mohor -- When hard_sync or resync occure we need to go to seg1 segment. Going to -- sync segment is in that case blocked. -- -- Revision 1.18 2003/06/17 15:53:33 mohor -- clk_cnt reduced from [8:0] to [6:0]. -- -- Revision 1.17 2003/06/17 14:32:17 mohor -- Removed few signals. -- -- Revision 1.16 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.15 2003/06/13 15:02:24 mohor -- Synchronization is also needed when transmitting a message. -- -- Revision 1.14 2003/06/13 14:55:11 mohor -- Counters width changed. -- -- Revision 1.13 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.12 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.11 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.10 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.9 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.8 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.7 2003/01/08 02:10:53 mohor -- Acceptance filter added. -- -- Revision 1.6 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.5 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.4 2002/12/26 01:33:05 mohor -- Tripple sampling supported. -- -- Revision 1.3 2002/12/25 23:44:16 mohor -- Commented lines removed. -- -- Revision 1.2 2002/12/25 14:17:00 mohor -- Synchronization working. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_btl IS PORT ( clk : IN std_logic; rst : IN std_logic; rx : IN std_logic; tx : IN std_logic; -- Bus Timing 0 register baud_r_presc : IN std_logic_vector(5 DOWNTO 0); sync_jump_width : IN std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register time_segment1 : IN std_logic_vector(3 DOWNTO 0); time_segment2 : IN std_logic_vector(2 DOWNTO 0); triple_sampling : IN std_logic; -- Output signals from this module sample_point : OUT std_logic; sampled_bit : OUT std_logic; sampled_bit_q : OUT std_logic; tx_point : OUT std_logic; hard_sync : OUT std_logic; -- Output from can_bsp module rx_idle : IN std_logic; rx_inter : IN std_logic; transmitting : IN std_logic; transmitter : IN std_logic; go_rx_inter : IN std_logic; tx_next : IN std_logic; go_overload_frame : IN std_logic; go_error_frame : IN std_logic; go_tx : IN std_logic; send_ack : IN std_logic; node_error_passive : IN std_logic); END ENTITY can_btl; ARCHITECTURE RTL OF can_btl IS TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL clk_cnt : std_logic_vector(6 DOWNTO 0); SIGNAL clk_en : std_logic; SIGNAL clk_en_q : std_logic; SIGNAL sync_blocked : std_logic; SIGNAL hard_sync_blocked : std_logic; SIGNAL quant_cnt : std_logic_vector(4 DOWNTO 0); SIGNAL delay : std_logic_vector(3 DOWNTO 0); SIGNAL sync : std_logic; SIGNAL seg1 : std_logic; SIGNAL seg2 : std_logic; SIGNAL resync_latched : std_logic; SIGNAL sample : std_logic_vector(1 DOWNTO 0); SIGNAL tx_next_sp : std_logic; SIGNAL go_sync : std_logic; SIGNAL go_seg1 : std_logic; SIGNAL go_seg2 : std_logic; SIGNAL preset_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL sync_window : std_logic; SIGNAL resync : std_logic; -- when transmitting 0 with positive error delay is set to 0 SIGNAL temp_xhdl6 : std_logic_vector(4 DOWNTO 0); SIGNAL sample_point_xhdl1 : std_logic; SIGNAL sampled_bit_xhdl2 : std_logic; SIGNAL sampled_bit_q_xhdl3 : std_logic; SIGNAL tx_point_xhdl4 : std_logic; SIGNAL hard_sync_xhdl5 : std_logic; BEGIN sample_point <= sample_point_xhdl1; sampled_bit <= sampled_bit_xhdl2; sampled_bit_q <= sampled_bit_q_xhdl3; tx_point <= tx_point_xhdl4; hard_sync <= hard_sync_xhdl5; preset_cnt <= (('0' & baud_r_presc) + 1) & "0" ; hard_sync_xhdl5 <= (((rx_idle OR rx_inter) AND (NOT rx)) AND sampled_bit_xhdl2) AND (NOT hard_sync_blocked) ; resync <= ((((NOT rx_idle) AND (NOT rx_inter)) AND (NOT rx)) AND sampled_bit_xhdl2) AND (NOT sync_blocked) ; -- Generating general enable signal that defines baud rate. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_cnt <= "0000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (('0' & clk_cnt) >= (preset_cnt - "00000001")) THEN clk_cnt <= "0000000" ; ELSE clk_cnt <= clk_cnt + "0000001" ; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (('0' & clk_cnt) = (preset_cnt - "00000001")) THEN clk_en <= '1' ; ELSE clk_en <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_en_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN clk_en_q <= clk_en ; END IF; END PROCESS; -- Changing states go_sync <= (((clk_en_q AND seg2) AND CONV_STD_LOGIC(quant_cnt(2 DOWNTO 0) = time_segment2)) AND (NOT hard_sync_xhdl5)) AND (NOT resync) ; go_seg1 <= clk_en_q AND (sync OR hard_sync_xhdl5 OR ((resync AND seg2) AND sync_window) OR (resync_latched AND sync_window)) ; go_seg2 <= clk_en_q AND ((seg1 AND (NOT hard_sync_xhdl5)) AND CONV_STD_LOGIC(quant_cnt = ( '0' & (time_segment1 + delay)))) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_point_xhdl4 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN tx_point_xhdl4 <= (NOT tx_point_xhdl4 AND seg2) AND ((clk_en AND CONV_STD_LOGIC(quant_cnt(2 DOWNTO 0) = time_segment2)) OR ((clk_en OR clk_en_q) AND (resync OR hard_sync_xhdl5))) ; -- When transmitter we should transmit as soon as possible. END IF; END PROCESS; -- When early edge is detected outside of the SJW field, synchronization request is latched and performed when -- When early edge is detected outside of the SJW field, synchronization request is latched and performed when -- SJW is reached PROCESS (clk, rst) BEGIN IF (rst = '1') THEN resync_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (((resync AND seg2) AND (NOT sync_window)) = '1') THEN resync_latched <= '1' ; ELSE IF (go_seg1 = '1') THEN resync_latched <= '0'; END IF; END IF; END IF; END PROCESS; -- Synchronization stage/segment PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sync <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN sync <= go_sync ; END IF; END IF; END PROCESS; -- Seg1 stage/segment (together with propagation segment which is 1 quant long) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN seg1 <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_seg1 = '1') THEN seg1 <= '1' ; ELSE IF (go_seg2 = '1') THEN seg1 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Seg2 stage/segment PROCESS (clk, rst) BEGIN IF (rst = '1') THEN seg2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_seg2 = '1') THEN seg2 <= '1' ; ELSE IF ((go_sync OR go_seg1) = '1') THEN seg2 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Quant counter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN quant_cnt <= "00000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_sync OR go_seg1 OR go_seg2) = '1') THEN quant_cnt <= "00000" ; ELSE IF (clk_en_q = '1') THEN quant_cnt <= quant_cnt + "00001" ; END IF; END IF; END IF; END PROCESS; temp_xhdl6 <= ("0" & ("00" & sync_jump_width + "0001")) WHEN (quant_cnt > "000" & sync_jump_width) ELSE (quant_cnt + "00001"); -- When late edge is detected (in seg1 stage), stage seg1 is prolonged. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN delay <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (((resync AND seg1) AND (NOT transmitting OR (transmitting AND (tx_next_sp OR (tx AND (NOT rx)))))) = '1') THEN delay <= temp_xhdl6(3 DOWNTO 0) ; ELSE IF ((go_sync OR go_seg1) = '1') THEN delay <= "0000" ; END IF; END IF; END IF; END PROCESS; -- If early edge appears within this window (in seg2 stage), phase error is fully compensated sync_window <= CONV_STD_LOGIC((time_segment2 - quant_cnt(2 DOWNTO 0)) < ('0' & (sync_jump_width + "01"))) ; -- Sampling data (memorizing two samples all the time). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sample <= "11"; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN sample <= sample(0) & rx; END IF; END IF; END PROCESS; -- When enabled, tripple sampling is done here. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sampled_bit_xhdl2 <= '1'; sampled_bit_q_xhdl3 <= '1'; sample_point_xhdl1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_error_frame = '1') THEN sampled_bit_q_xhdl3 <= sampled_bit_xhdl2 ; sample_point_xhdl1 <= '0' ; ELSE IF ((clk_en_q AND (NOT hard_sync_xhdl5)) = '1') THEN IF ((seg1 AND CONV_STD_LOGIC(quant_cnt = ('0' & (time_segment1 + delay)))) = '1') THEN sample_point_xhdl1 <= '1' ; sampled_bit_q_xhdl3 <= sampled_bit_xhdl2 ; IF (triple_sampling = '1') THEN sampled_bit_xhdl2 <= (sample(0) AND sample(1)) OR (sample(0) AND rx) OR (sample(1) AND rx) ; ELSE sampled_bit_xhdl2 <= rx ; END IF; END IF; ELSE sample_point_xhdl1 <= '0' ; END IF; END IF; END IF; END PROCESS; -- tx_next_sp shows next value that will be driven on the TX. When driving 1 and receiving 0 we -- need to synchronize (even when we are a transmitter) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_next_sp <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_overload_frame OR (go_error_frame AND (NOT node_error_passive)) OR go_tx OR send_ack) = '1') THEN tx_next_sp <= '0' ; ELSE IF ((go_error_frame AND node_error_passive) = '1') THEN tx_next_sp <= '1' ; ELSE IF (sample_point_xhdl1 = '1') THEN tx_next_sp <= tx_next ; END IF; END IF; END IF; END IF; END PROCESS; -- Blocking synchronization (can occur only once in a bit time) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sync_blocked <= '1' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN IF (resync = '1') THEN sync_blocked <= '1' ; ELSE IF (go_seg2 = '1') THEN sync_blocked <= '0' ; END IF; END IF; END IF; END IF; END PROCESS; -- Blocking hard synchronization when occurs once or when we are transmitting a msg PROCESS (clk, rst) BEGIN IF (rst = '1') THEN hard_sync_blocked <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (((hard_sync_xhdl5 AND clk_en_q) OR ((((transmitting AND transmitter) OR go_tx) AND tx_point_xhdl4) AND (NOT tx_next))) = '1') THEN hard_sync_blocked <= '1' ; ELSE IF ((go_rx_inter OR (((rx_idle OR rx_inter) AND sample_point_xhdl1) AND sampled_bit_xhdl2)) = '1') THEN -- When a glitch performed synchronization hard_sync_blocked <= '0' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_fifo -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_fifo.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- Rev 1.28 rd_info_pointer fix from opencores merged. /Kristoffer -- -- $Log: can_fifo.v,v $ -- Revision 1.27 2004/11/18 12:39:34 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.26 2004/02/08 14:30:57 mohor -- Header changed. -- -- Revision 1.25 2003/10/23 16:52:17 mohor -- Active high/low problem when Altera devices are used. Bug fixed by -- Rojhalat Ibrahim. -- -- Revision 1.24 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.23 2003/09/05 12:46:41 mohor -- ALTERA_RAM supported. -- -- Revision 1.22 2003/08/20 09:59:16 mohor -- Artisan RAM fixed (when not using BIST). -- -- Revision 1.21 2003/08/14 16:04:52 simons -- Artisan ram instances added. -- -- Revision 1.20 2003/07/16 14:00:45 mohor -- Fixed according to the linter. -- -- Revision 1.19 2003/07/03 09:30:44 mohor -- PCI_BIST replaced with CAN_BIST. -- -- Revision 1.18 2003/06/27 22:14:23 simons -- Overrun fifo implemented with FFs, because it is not possible to create such a memory. -- -- Revision 1.17 2003/06/27 20:56:15 simons -- Virtual silicon ram instances added. -- -- Revision 1.16 2003/06/18 23:03:44 mohor -- Typo fixed. -- -- Revision 1.15 2003/06/11 09:37:05 mohor -- overrun and length_info fifos are initialized at the end of reset. -- -- Revision 1.14 2003/03/05 15:02:30 mohor -- Xilinx RAM added. -- -- Revision 1.13 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.12 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.11 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.10 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.9 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.8 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.7 2003/01/17 17:44:31 mohor -- Fifo corrected to be synthesizable. -- -- Revision 1.6 2003/01/15 13:16:47 mohor -- When a frame with "remote request" is received, no data is stored -- to fifo, just the frame information (identifier, ...). Data length -- that is stored is the received data length and not the actual data -- length that is stored to fifo. -- -- Revision 1.5 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.4 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.3 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.2 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.1 2003/01/08 02:10:55 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_fifo IS PORT ( clk : IN std_logic; rst : IN std_logic; wr : IN std_logic; data_in : IN std_logic_vector(7 DOWNTO 0); addr : IN std_logic_vector(5 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; release_buffer : IN std_logic; extended_mode : IN std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; info_cnt : OUT std_logic_vector(6 DOWNTO 0); -------------------------------------------------- -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_fifo; ARCHITECTURE RTL OF can_fifo IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); -------------------------------------------------- SIGNAL fifo : xhdl_15; SIGNAL length_fifo : xhdl_16; SIGNAL overrun_info : xhdl_17; SIGNAL rd_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL wr_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL read_address : std_logic_vector(5 DOWNTO 0); SIGNAL wr_info_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL rd_info_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL wr_q : std_logic; SIGNAL len_cnt : std_logic_vector(3 DOWNTO 0); SIGNAL fifo_cnt : std_logic_vector(6 DOWNTO 0); SIGNAL latch_overrun : std_logic; SIGNAL initialize_memories : std_logic; SIGNAL length_info : std_logic_vector(3 DOWNTO 0); SIGNAL write_length_info : std_logic; SIGNAL fifo_empty : std_logic; SIGNAL fifo_full : std_logic; SIGNAL info_full : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL overrun_xhdl2 : std_logic; SIGNAL info_empty_xhdl3 : std_logic; SIGNAL info_cnt_xhdl4 : std_logic_vector(6 DOWNTO 0); SIGNAL data_64x8_xhdl5 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl6 : std_logic; SIGNAL rden_64x8_xhdl7 : std_logic; SIGNAL wraddress_64x8_xhdl8 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl9 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl10 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl11 : std_logic; SIGNAL wraddress_64x4x1_xhdl12 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl13 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl14 : std_logic; BEGIN data_out <= data_out_xhdl1; overrun <= overrun_xhdl2; info_empty <= info_empty_xhdl3; info_cnt <= info_cnt_xhdl4; data_64x8 <= data_64x8_xhdl5; wren_64x8 <= wren_64x8_xhdl6; rden_64x8 <= rden_64x8_xhdl7; wraddress_64x8 <= wraddress_64x8_xhdl8; rdaddress_64x8 <= rdaddress_64x8_xhdl9; data_64x4 <= data_64x4_xhdl10; wren_64x4x1 <= wren_64x4x1_xhdl11; wraddress_64x4x1 <= wraddress_64x4x1_xhdl12; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl13; data_64x1 <= data_64x1_xhdl14; write_length_info <= (NOT wr) AND wr_q ; -- Delayed write signal PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN wr_q <= '0' ; ELSE wr_q <= wr ; END IF; END IF; END PROCESS; -- length counter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN len_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR write_length_info) = '1') THEN len_cnt <= "0000" ; ELSE IF ((wr AND (NOT fifo_full)) = '1') THEN len_cnt <= len_cnt + "0001" ; END IF; END IF; END IF; END PROCESS; -- wr_info_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_info_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (((write_length_info AND (NOT info_full)) OR initialize_memories) = '1') THEN wr_info_pointer <= wr_info_pointer + "000001" ; ELSE IF (reset_mode = '1') THEN wr_info_pointer <= rd_info_pointer ; END IF; END IF; END IF; END PROCESS; -- rd_info_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rd_info_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((release_buffer AND (NOT info_empty_xhdl3)) = '1') THEN -- Fix from opencores rev 1.28 -- IF ((release_buffer AND (NOT fifo_empty)) = '1') THEN rd_info_pointer <= rd_info_pointer + "000001" ; END IF; END IF; END PROCESS; -- rd_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rd_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((release_buffer AND (NOT fifo_empty)) = '1') THEN rd_pointer <= rd_pointer + ("00" & length_info) ; END IF; END IF; END PROCESS; -- wr_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN wr_pointer <= rd_pointer ; ELSE IF ((wr AND (NOT fifo_full)) = '1') THEN wr_pointer <= wr_pointer + "000001" ; END IF; END IF; END IF; END PROCESS; -- latch_overrun PROCESS (clk, rst) BEGIN IF (rst = '1') THEN latch_overrun <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR write_length_info) = '1') THEN latch_overrun <= '0' ; ELSE IF ((wr AND fifo_full) = '1') THEN latch_overrun <= '1' ; END IF; END IF; END IF; END PROCESS; -- Counting data in fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN fifo_cnt <= "0000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN fifo_cnt <= "0000000" ; ELSE IF (((wr AND (NOT release_buffer)) AND (NOT fifo_full)) = '1') THEN fifo_cnt <= fifo_cnt + "0000001" ; ELSE IF ((((NOT wr) AND release_buffer) AND (NOT fifo_empty)) = '1') THEN fifo_cnt <= fifo_cnt - ("000" & length_info) ; ELSE IF ((((wr AND release_buffer) AND (NOT fifo_full)) AND (NOT fifo_empty)) = '1') THEN fifo_cnt <= fifo_cnt - ("000" & length_info) + "0000001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; fifo_full <= CONV_STD_LOGIC(fifo_cnt = "1000000") ; fifo_empty <= CONV_STD_LOGIC(fifo_cnt = "0000000") ; -- Counting data in length_fifo and overrun_info fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN info_cnt_xhdl4 <= "0000000" ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN info_cnt_xhdl4 <= "0000000" ; ELSE IF ((write_length_info XOR release_buffer) = '1') THEN IF ((release_buffer AND (NOT info_empty_xhdl3)) = '1') THEN info_cnt_xhdl4 <= info_cnt_xhdl4 - "0000001" ; ELSE IF ((write_length_info AND (NOT info_full)) = '1') THEN info_cnt_xhdl4 <= info_cnt_xhdl4 + "0000001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; info_full <= CONV_STD_LOGIC(info_cnt_xhdl4 = "1000000") ; info_empty_xhdl3 <= CONV_STD_LOGIC(info_cnt_xhdl4 = "0000000") ; -- Selecting which address will be used for reading data from rx fifo PROCESS (extended_mode, rd_pointer, addr) VARIABLE read_address_xhdl18 : std_logic_vector(5 DOWNTO 0); BEGIN IF (extended_mode = '1') THEN -- extended mode read_address_xhdl18 := rd_pointer + (addr - "010000"); ELSE -- normal mode read_address_xhdl18 := rd_pointer + (addr - "010100"); END IF; read_address <= read_address_xhdl18; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN initialize_memories <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (andv(wr_info_pointer) = '1') THEN initialize_memories <= '0' ; END IF; END IF; END PROCESS; -- port connections for Ram --64x8 data_out_xhdl1 <= q_dp_64x8 ; data_64x8_xhdl5 <= data_in ; wren_64x8_xhdl6 <= wr AND (NOT fifo_full) ; rden_64x8_xhdl7 <= fifo_selected ; wraddress_64x8_xhdl8 <= wr_pointer ; rdaddress_64x8_xhdl9 <= read_address ; --64x4 length_info <= q_dp_64x4 ; data_64x4_xhdl10 <= len_cnt AND NOT initialize_memories & NOT initialize_memories & NOT initialize_memories & NOT initialize_memories ; wren_64x4x1_xhdl11 <= (write_length_info AND (NOT info_full)) OR initialize_memories ; wraddress_64x4x1_xhdl12 <= wr_info_pointer ; rdaddress_64x4x1_xhdl13 <= rd_info_pointer ; --64x1 overrun_xhdl2 <= q_dp_64x1 ; data_64x1_xhdl14 <= (latch_overrun OR (wr AND fifo_full)) AND (NOT initialize_memories) ; -- `ifdef ALTERA_RAM -- // altera_ram_64x8_sync fifo -- lpm_ram_dp fifo -- ( -- .q (data_out), -- .rdclock (clk), -- .wrclock (clk), -- .data (data_in), -- .wren (wr & (~fifo_full)), -- .rden (fifo_selected), -- .wraddress (wr_pointer), -- .rdaddress (read_address) -- ); -- defparam fifo.lpm_width = 8; -- defparam fifo.lpm_widthad = 6; -- defparam fifo.lpm_numwords = 64; -- -- -- // altera_ram_64x4_sync info_fifo -- lpm_ram_dp info_fifo -- ( -- .q (length_info), -- .rdclock (clk), -- .wrclock (clk), -- .data (len_cnt & {4{~initialize_memories}}), -- .wren (write_length_info & (~info_full) | initialize_memories), -- .wraddress (wr_info_pointer), -- .rdaddress (rd_info_pointer) -- ); -- defparam info_fifo.lpm_width = 4; -- defparam info_fifo.lpm_widthad = 6; -- defparam info_fifo.lpm_numwords = 64; -- -- -- // altera_ram_64x1_sync overrun_fifo -- lpm_ram_dp overrun_fifo -- ( -- .q (overrun), -- .rdclock (clk), -- .wrclock (clk), -- .data ((latch_overrun | (wr & fifo_full)) & (~initialize_memories)), -- .wren (write_length_info & (~info_full) | initialize_memories), -- .wraddress (wr_info_pointer), -- .rdaddress (rd_info_pointer) -- ); -- defparam overrun_fifo.lpm_width = 1; -- defparam overrun_fifo.lpm_widthad = 6; -- defparam overrun_fifo.lpm_numwords = 64; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_crc -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_crc.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_crc.v,v $ -- Revision 1.5 2004/02/08 14:25:57 mohor -- Header changed. -- -- Revision 1.4 2003/07/16 13:16:51 mohor -- Fixed according to the linter. -- -- Revision 1.3 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.2 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.1 2003/01/08 02:10:54 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_crc IS PORT ( clk : IN std_logic; data : IN std_logic; enable : IN std_logic; initialize : IN std_logic; crc : OUT std_logic_vector(14 DOWNTO 0)); END ENTITY can_crc; ARCHITECTURE RTL OF can_crc IS TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL crc_next : std_logic; SIGNAL crc_tmp : std_logic_vector(14 DOWNTO 0); SIGNAL crc_xhdl1 : std_logic_vector(14 DOWNTO 0); BEGIN crc <= crc_xhdl1; crc_next <= data XOR crc_xhdl1(14) ; crc_tmp <= crc_xhdl1(13 DOWNTO 0) & '0' ; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (initialize = '1') THEN crc_xhdl1 <= "000000000000000"; ELSE IF (enable = '1') THEN IF (crc_next = '1') THEN crc_xhdl1 <= crc_tmp XOR "100010110011001"; ELSE crc_xhdl1 <= crc_tmp ; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_ibo -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_ibo.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_ibo.v,v $ -- Revision 1.3 2004/02/08 14:31:44 mohor -- Header changed. -- -- Revision 1.2 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.1 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on -- This module only inverts bit order LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY can_ibo IS PORT ( di : IN std_logic_vector(7 DOWNTO 0); do : OUT std_logic_vector(7 DOWNTO 0)); END ENTITY can_ibo; ARCHITECTURE RTL OF can_ibo IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL do_xhdl1 : std_logic_vector(7 DOWNTO 0); BEGIN do <= do_xhdl1; do_xhdl1(0) <= di(7) ; do_xhdl1(1) <= di(6) ; do_xhdl1(2) <= di(5) ; do_xhdl1(3) <= di(4) ; do_xhdl1(4) <= di(3) ; do_xhdl1(5) <= di(2) ; do_xhdl1(6) <= di(1) ; do_xhdl1(7) <= di(0) ; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_bsp -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_bsp.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_bsp.v,v $ -- Revision 1.52 2004/11/18 12:39:21 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.51 2004/11/15 18:23:21 igorm -- When CAN was reset by setting the reset_mode signal in mode register, it -- was possible that CAN was blocked for a short period of time. Problem -- occured very rarly. -- -- Revision 1.50 2004/10/27 18:51:36 igorm -- Fixed synchronization problem in real hardware when 0xf is used for TSEG1. -- -- Revision 1.49 2004/10/25 06:37:51 igorm -- Arbitration bug fixed. -- -- Revision 1.48 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.47 2004/02/08 14:24:10 mohor -- Error counters changed. -- -- Revision 1.46 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.45 2003/09/30 21:14:33 mohor -- Error counters changed. -- -- Revision 1.44 2003/09/30 00:55:12 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.43 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.42 2003/08/29 07:01:14 mohor -- When detecting bus-free, signal bus_free_cnt_en was cleared to zero -- although the last sampled bit was zero instead of one. -- -- Revision 1.41 2003/07/18 15:23:31 tadejm -- Tx and rx length are limited to 8 bytes regardless to the DLC value. -- -- Revision 1.40 2003/07/16 15:10:17 mohor -- Fixed according to the linter. -- -- Revision 1.39 2003/07/16 13:12:46 mohor -- Fixed according to the linter. -- -- Revision 1.38 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.37 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.36 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.35 2003/06/27 20:56:12 simons -- Virtual silicon ram instances added. -- -- Revision 1.34 2003/06/22 09:43:03 mohor -- synthesi full_case parallel_case fixed. -- -- Revision 1.33 2003/06/21 12:16:30 mohor -- paralel_case and full_case compiler directives added to case statements. -- -- Revision 1.32 2003/06/17 14:28:32 mohor -- Form error was detected when stuff bit occured at the end of crc. -- -- Revision 1.31 2003/06/16 14:31:29 tadejm -- Bit stuffing corrected when stuffing comes at the end of the crc. -- -- Revision 1.30 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.29 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.28 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.27 2003/02/20 00:26:02 mohor -- When a dominant bit was detected at the third bit of the intermission and -- node had a message to transmit, bit_stuff error could occur. Fixed. -- -- Revision 1.26 2003/02/19 23:21:54 mohor -- When bit error occured while active error flag was transmitted, counter was -- not incremented. -- -- Revision 1.25 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.24 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.23 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.22 2003/02/12 14:23:59 mohor -- abort_tx added. Bit destuff fixed. -- -- Revision 1.21 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.20 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.19 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.18 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.17 2003/02/04 17:24:41 mohor -- Backup. -- -- Revision 1.16 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- Revision 1.15 2003/01/31 01:13:37 mohor -- backup. -- -- Revision 1.14 2003/01/16 13:36:19 mohor -- Form error supported. When receiving messages, last bit of the end-of-frame -- does not generate form error. Receiver goes to the idle mode one bit sooner. -- (CAN specification ver 2.0, part B, page 57). -- -- Revision 1.13 2003/01/15 21:59:45 mohor -- Data is stored to fifo at the end of ack stage. -- -- Revision 1.12 2003/01/15 21:05:11 mohor -- CRC checking fixed (when bitstuff occurs at the end of a CRC sequence). -- -- Revision 1.11 2003/01/15 14:40:23 mohor -- RX state machine fixed to receive "remote request" frames correctly. -- No data bytes are written to fifo when such frames are received. -- -- Revision 1.10 2003/01/15 13:16:47 mohor -- When a frame with "remote request" is received, no data is stored to -- fifo, just the frame information (identifier, ...). Data length that -- is stored is the received data length and not the actual data length -- that is stored to fifo. -- -- Revision 1.9 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.8 2003/01/10 17:51:33 mohor -- Temporary version (backup). -- -- Revision 1.7 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.6 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.5 2003/01/08 13:30:31 mohor -- Temp version. -- -- Revision 1.4 2003/01/08 02:10:53 mohor -- Acceptance filter added. -- -- Revision 1.3 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_bsp IS PORT ( clk : IN std_logic; rst : IN std_logic; sample_point : IN std_logic; sampled_bit : IN std_logic; sampled_bit_q : IN std_logic; tx_point : IN std_logic; hard_sync : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; listen_only_mode : IN std_logic; acceptance_filter_mode : IN std_logic; self_test_mode : IN std_logic; -- Command register release_buffer : IN std_logic; tx_request : IN std_logic; abort_tx : IN std_logic; self_rx_request : IN std_logic; single_shot_transmission: IN std_logic; tx_state : OUT std_logic; tx_state_q : OUT std_logic; overload_request : IN std_logic; -- When receiver is busy, it needs to send overload frame. Only 2 overload frames are allowed to overload_frame : OUT std_logic; -- be send in a row. This is not implemented, yet, because host can not send an overload request. -- Arbitration Lost Capture Register read_arbitration_lost_capture_reg: IN std_logic; -- Error Code Capture Register read_error_code_capture_reg: IN std_logic; error_capture_code : OUT std_logic_vector(7 DOWNTO 0); -- Error Warning Limit register error_warning_limit : IN std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register we_rx_err_cnt : IN std_logic; -- Tx Error Counter register we_tx_err_cnt : IN std_logic; extended_mode : IN std_logic; rx_idle : OUT std_logic; transmitting : OUT std_logic; transmitter : OUT std_logic; go_rx_inter : OUT std_logic; not_first_bit_of_inter : OUT std_logic; rx_inter : OUT std_logic; set_reset_mode : OUT std_logic; node_bus_off : OUT std_logic; error_status : OUT std_logic; rx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); tx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); transmit_status : OUT std_logic; receive_status : OUT std_logic; tx_successful : OUT std_logic; need_to_tx : OUT std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; set_bus_error_irq : OUT std_logic; set_arbitration_lost_irq: OUT std_logic; arbitration_lost_capture: OUT std_logic_vector(4 DOWNTO 0); node_error_passive : OUT std_logic; node_error_active : OUT std_logic; rx_message_counter : OUT std_logic_vector(6 DOWNTO 0); -- This section is for BASIC and EXTENDED mode -- Acceptance code register acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data tx_data_0 : IN std_logic_vector(7 DOWNTO 0); tx_data_1 : IN std_logic_vector(7 DOWNTO 0); tx_data_2 : IN std_logic_vector(7 DOWNTO 0); tx_data_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_4 : IN std_logic_vector(7 DOWNTO 0); tx_data_5 : IN std_logic_vector(7 DOWNTO 0); tx_data_6 : IN std_logic_vector(7 DOWNTO 0); tx_data_7 : IN std_logic_vector(7 DOWNTO 0); tx_data_8 : IN std_logic_vector(7 DOWNTO 0); tx_data_9 : IN std_logic_vector(7 DOWNTO 0); tx_data_10 : IN std_logic_vector(7 DOWNTO 0); tx_data_11 : IN std_logic_vector(7 DOWNTO 0); tx_data_12 : IN std_logic_vector(7 DOWNTO 0); -- End: Tx data registers -- Tx signal tx : OUT std_logic; tx_next : OUT std_logic; bus_off_on : OUT std_logic; go_overload_frame : OUT std_logic; go_error_frame : OUT std_logic; go_tx : OUT std_logic; send_ack : OUT std_logic; -- Bist -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_bsp; ARCHITECTURE RTL OF can_bsp IS COMPONENT can_acf PORT ( clk : IN std_logic; rst : IN std_logic; id : IN std_logic_vector(28 DOWNTO 0); reset_mode : IN std_logic; acceptance_filter_mode : IN std_logic; extended_mode : IN std_logic; acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); go_rx_crc_lim : IN std_logic; go_rx_inter : IN std_logic; go_error_frame : IN std_logic; data0 : IN std_logic_vector(7 DOWNTO 0); data1 : IN std_logic_vector(7 DOWNTO 0); rtr1 : IN std_logic; rtr2 : IN std_logic; ide : IN std_logic; no_byte0 : IN std_logic; no_byte1 : IN std_logic; id_ok : OUT std_logic); END COMPONENT; COMPONENT can_crc PORT ( clk : IN std_logic; data : IN std_logic; enable : IN std_logic; initialize : IN std_logic; crc : OUT std_logic_vector(14 DOWNTO 0)); END COMPONENT; COMPONENT can_fifo PORT ( clk : IN std_logic; rst : IN std_logic; wr : IN std_logic; data_in : IN std_logic_vector(7 DOWNTO 0); addr : IN std_logic_vector(5 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; release_buffer : IN std_logic; extended_mode : IN std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; info_cnt : OUT std_logic_vector(6 DOWNTO 0); q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END COMPONENT; COMPONENT can_ibo PORT ( di : IN std_logic_vector(7 DOWNTO 0); do : OUT std_logic_vector(7 DOWNTO 0)); END COMPONENT; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); ------------------------------ SIGNAL reset_mode_q : std_logic; SIGNAL bit_cnt : std_logic_vector(5 DOWNTO 0); SIGNAL data_len : std_logic_vector(3 DOWNTO 0); SIGNAL id : std_logic_vector(28 DOWNTO 0); SIGNAL bit_stuff_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL bit_stuff_cnt_tx : std_logic_vector(2 DOWNTO 0); SIGNAL tx_point_q : std_logic; SIGNAL rx_id1 : std_logic; SIGNAL rx_rtr1 : std_logic; SIGNAL rx_ide : std_logic; SIGNAL rx_id2 : std_logic; SIGNAL rx_rtr2 : std_logic; SIGNAL rx_r1 : std_logic; SIGNAL rx_r0 : std_logic; SIGNAL rx_dlc : std_logic; SIGNAL rx_data : std_logic; SIGNAL rx_crc : std_logic; SIGNAL rx_crc_lim : std_logic; SIGNAL rx_ack : std_logic; SIGNAL rx_ack_lim : std_logic; SIGNAL rx_eof : std_logic; SIGNAL go_early_tx_latched : std_logic; SIGNAL rtr1 : std_logic; SIGNAL ide : std_logic; SIGNAL rtr2 : std_logic; SIGNAL crc_in : std_logic_vector(14 DOWNTO 0); SIGNAL tmp_data : std_logic_vector(7 DOWNTO 0); SIGNAL tmp_fifo : xhdl_46; SIGNAL write_data_to_tmp_fifo : std_logic; SIGNAL byte_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL bit_stuff_cnt_en : std_logic; SIGNAL crc_enable : std_logic; SIGNAL eof_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL passive_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL error_frame : std_logic; SIGNAL enable_error_cnt2 : std_logic; SIGNAL error_cnt1 : std_logic_vector(2 DOWNTO 0); SIGNAL error_cnt2 : std_logic_vector(2 DOWNTO 0); SIGNAL delayed_dominant_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL enable_overload_cnt2 : std_logic; SIGNAL overload_frame_blocked : std_logic; SIGNAL overload_request_cnt : std_logic_vector(1 DOWNTO 0); SIGNAL overload_cnt1 : std_logic_vector(2 DOWNTO 0); SIGNAL overload_cnt2 : std_logic_vector(2 DOWNTO 0); SIGNAL crc_err : std_logic; SIGNAL arbitration_lost : std_logic; SIGNAL arbitration_lost_q : std_logic; SIGNAL read_arbitration_lost_capture_reg_q: std_logic; signal read_error_code_capture_reg_q : std_logic; signal reset_error_code_capture_reg : std_logic; SIGNAL arbitration_cnt_en : std_logic; SIGNAL arbitration_blocked : std_logic; SIGNAL tx_q : std_logic; SIGNAL data_cnt : std_logic_vector(3 DOWNTO 0); -- Counting the data bytes that are written to FIFO SIGNAL header_cnt : std_logic_vector(2 DOWNTO 0); -- Counting header length SIGNAL wr_fifo : std_logic; -- Write data and header to 64-byte fifo SIGNAL data_for_fifo : std_logic_vector(7 DOWNTO 0); -- Multiplexed data that is stored to 64-byte fifo SIGNAL tx_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL tx_bit : std_logic; SIGNAL finish_msg : std_logic; SIGNAL bus_free_cnt : std_logic_vector(3 DOWNTO 0); SIGNAL bus_free_cnt_en : std_logic; SIGNAL bus_free : std_logic; SIGNAL waiting_for_bus_free : std_logic; SIGNAL node_bus_off_q : std_logic; SIGNAL ack_err_latched : std_logic; SIGNAL bit_err_latched : std_logic; SIGNAL stuff_err_latched : std_logic; SIGNAL form_err_latched : std_logic; SIGNAL rule3_exc1_1 : std_logic; SIGNAL rule3_exc1_2 : std_logic; SIGNAL suspend : std_logic; SIGNAL susp_cnt_en : std_logic; SIGNAL susp_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL error_flag_over_latched : std_logic; SIGNAL error_capture_code_type : std_logic_vector(7 DOWNTO 6); SIGNAL error_capture_code_blocked : std_logic; SIGNAL first_compare_bit : std_logic; SIGNAL error_capture_code_segment : std_logic_vector(4 DOWNTO 0); SIGNAL error_capture_code_direction : std_logic; SIGNAL bit_de_stuff : std_logic; SIGNAL bit_de_stuff_tx : std_logic; SIGNAL rule5 : std_logic; -- Rx state machine SIGNAL go_rx_idle : std_logic; SIGNAL go_rx_id1 : std_logic; SIGNAL go_rx_rtr1 : std_logic; SIGNAL go_rx_ide : std_logic; SIGNAL go_rx_id2 : std_logic; SIGNAL go_rx_rtr2 : std_logic; SIGNAL go_rx_r1 : std_logic; SIGNAL go_rx_r0 : std_logic; SIGNAL go_rx_dlc : std_logic; SIGNAL go_rx_data : std_logic; SIGNAL go_rx_crc : std_logic; SIGNAL go_rx_crc_lim : std_logic; SIGNAL go_rx_ack : std_logic; SIGNAL go_rx_ack_lim : std_logic; SIGNAL go_rx_eof : std_logic; SIGNAL last_bit_of_inter : std_logic; SIGNAL go_crc_enable : std_logic; SIGNAL rst_crc_enable : std_logic; SIGNAL bit_de_stuff_set : std_logic; SIGNAL bit_de_stuff_reset : std_logic; SIGNAL go_early_tx : std_logic; SIGNAL calculated_crc : std_logic_vector(14 DOWNTO 0); SIGNAL r_calculated_crc : std_logic_vector(15 DOWNTO 0); SIGNAL remote_rq : std_logic; SIGNAL limited_data_len : std_logic_vector(3 DOWNTO 0); SIGNAL form_err : std_logic; SIGNAL error_frame_ended : std_logic; SIGNAL overload_frame_ended : std_logic; SIGNAL bit_err : std_logic; SIGNAL ack_err : std_logic; SIGNAL stuff_err : std_logic; SIGNAL id_ok : std_logic; -- If received ID matches ID set in registers SIGNAL no_byte0 : std_logic; -- There is no byte 0 (RTR bit set to 1 or DLC field equal to 0). Signal used for acceptance filter. SIGNAL no_byte1 : std_logic; -- There is no byte 1 (RTR bit set to 1 or DLC field equal to 1). Signal used for acceptance filter. SIGNAL header_len : std_logic_vector(2 DOWNTO 0); SIGNAL storing_header : std_logic; SIGNAL limited_data_len_minus1 : std_logic_vector(3 DOWNTO 0); SIGNAL reset_wr_fifo : std_logic; SIGNAL err : std_logic; SIGNAL arbitration_field : std_logic; SIGNAL basic_chain : std_logic_vector(18 DOWNTO 0); SIGNAL basic_chain_data : std_logic_vector(63 DOWNTO 0); SIGNAL extended_chain_std : std_logic_vector(18 DOWNTO 0); SIGNAL extended_chain_ext : std_logic_vector(38 DOWNTO 0); SIGNAL extended_chain_data_std : std_logic_vector(63 DOWNTO 0); SIGNAL extended_chain_data_ext : std_logic_vector(63 DOWNTO 0); SIGNAL rst_tx_pointer : std_logic; SIGNAL r_tx_data_0 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_1 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_2 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_3 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_4 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_5 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_6 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_7 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_8 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_9 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_10 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_11 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_12 : std_logic_vector(7 DOWNTO 0); SIGNAL bit_err_exc1 : std_logic; SIGNAL bit_err_exc2 : std_logic; SIGNAL bit_err_exc3 : std_logic; SIGNAL bit_err_exc4 : std_logic; SIGNAL bit_err_exc5 : std_logic; SIGNAL bit_err_exc6 : std_logic; SIGNAL error_flag_over : std_logic; SIGNAL overload_flag_over : std_logic; SIGNAL limited_tx_cnt_ext : std_logic_vector(5 DOWNTO 0); SIGNAL limited_tx_cnt_std : std_logic_vector(5 DOWNTO 0); -- port connections for Ram --64x8 SIGNAL w_q_dp_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_data_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_wren_64x8 : std_logic; SIGNAL w_rden_64x8 : std_logic; SIGNAL w_wraddress_64x8 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x8 : std_logic_vector(5 DOWNTO 0); --64x4 SIGNAL w_q_dp_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_data_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_wren_64x4x1 : std_logic; SIGNAL w_wraddress_64x4x1 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x4x1 : std_logic_vector(5 DOWNTO 0); --64x1 SIGNAL w_q_dp_64x1 : std_logic; SIGNAL w_data_64x1 : std_logic; SIGNAL temp_xhdl47 : std_logic_vector(3 DOWNTO 0); -- Instantiation of the RX CRC module SIGNAL xhdl_49 : std_logic; -- Mode register -- Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode SIGNAL port_xhdl73 : std_logic_vector(7 DOWNTO 0); SIGNAL port_xhdl74 : std_logic_vector(7 DOWNTO 0); SIGNAL temp_xhdl75 : std_logic_vector(2 DOWNTO 0); SIGNAL temp_xhdl76 : std_logic_vector(2 DOWNTO 0); SIGNAL temp_xhdl77 : std_logic_vector(3 DOWNTO 0); SIGNAL temp_xhdl78 : std_logic_vector(3 DOWNTO 0); -- - 1 because counter counts from 0 SIGNAL xhdl_106 : std_logic_vector(7 DOWNTO 0); SIGNAL temp_xhdl108 : std_logic_vector(5 DOWNTO 0); SIGNAL temp_xhdl109 : std_logic_vector(5 DOWNTO 0); SIGNAL temp_xhdl110 : boolean; SIGNAL temp_xhdl111 : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_state_xhdl2 : std_logic; SIGNAL tx_state_q_xhdl3 : std_logic; SIGNAL overload_frame_xhdl4 : std_logic; SIGNAL error_capture_code_xhdl5 : std_logic_vector(7 DOWNTO 0); SIGNAL rx_idle_xhdl6 : std_logic; SIGNAL transmitting_xhdl7 : std_logic; SIGNAL transmitter_xhdl8 : std_logic; SIGNAL go_rx_inter_xhdl9 : std_logic; SIGNAL not_first_bit_of_inter_xhdl10 : std_logic; SIGNAL rx_inter_xhdl11 : std_logic; SIGNAL set_reset_mode_xhdl12 : std_logic; SIGNAL node_bus_off_xhdl13 : std_logic; SIGNAL error_status_xhdl14 : std_logic; SIGNAL rx_err_cnt_xhdl15 : std_logic_vector(8 DOWNTO 0); SIGNAL tx_err_cnt_xhdl16 : std_logic_vector(8 DOWNTO 0); SIGNAL transmit_status_xhdl17 : std_logic; SIGNAL receive_status_xhdl18 : std_logic; SIGNAL tx_successful_xhdl19 : std_logic; SIGNAL need_to_tx_xhdl20 : std_logic; SIGNAL overrun_xhdl21 : std_logic; SIGNAL info_empty_xhdl22 : std_logic; SIGNAL set_bus_error_irq_xhdl23 : std_logic; SIGNAL set_arbitration_lost_irq_xhdl24 : std_logic; SIGNAL arbitration_lost_capture_xhdl25 : std_logic_vector(4 DOWNTO 0); SIGNAL node_error_passive_xhdl26: std_logic; SIGNAL node_error_active_xhdl27 : std_logic; SIGNAL rx_message_counter_xhdl28: std_logic_vector(6 DOWNTO 0); SIGNAL tx_xhdl29 : std_logic; SIGNAL tx_next_xhdl30 : std_logic; SIGNAL bus_off_on_xhdl31 : std_logic; SIGNAL go_overload_frame_xhdl32 : std_logic; SIGNAL go_error_frame_xhdl33 : std_logic; SIGNAL go_tx_xhdl34 : std_logic; SIGNAL send_ack_xhdl35 : std_logic; SIGNAL data_64x8_xhdl36 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl37 : std_logic; SIGNAL rden_64x8_xhdl38 : std_logic; SIGNAL wraddress_64x8_xhdl39 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl40 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl41 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl42 : std_logic; SIGNAL wraddress_64x4x1_xhdl43 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl44 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl45 : std_logic; BEGIN data_out <= data_out_xhdl1; tx_state <= tx_state_xhdl2; tx_state_q <= tx_state_q_xhdl3; overload_frame <= overload_frame_xhdl4; error_capture_code <= error_capture_code_xhdl5; rx_idle <= rx_idle_xhdl6; transmitting <= transmitting_xhdl7; transmitter <= transmitter_xhdl8; go_rx_inter <= go_rx_inter_xhdl9; not_first_bit_of_inter <= not_first_bit_of_inter_xhdl10; rx_inter <= rx_inter_xhdl11; set_reset_mode <= set_reset_mode_xhdl12; node_bus_off <= node_bus_off_xhdl13; error_status <= error_status_xhdl14; rx_err_cnt <= rx_err_cnt_xhdl15; tx_err_cnt <= tx_err_cnt_xhdl16; transmit_status <= transmit_status_xhdl17; receive_status <= receive_status_xhdl18; tx_successful <= tx_successful_xhdl19; need_to_tx <= need_to_tx_xhdl20; overrun <= overrun_xhdl21; info_empty <= info_empty_xhdl22; set_bus_error_irq <= set_bus_error_irq_xhdl23; set_arbitration_lost_irq <= set_arbitration_lost_irq_xhdl24; arbitration_lost_capture <= arbitration_lost_capture_xhdl25; node_error_passive <= node_error_passive_xhdl26; node_error_active <= node_error_active_xhdl27; rx_message_counter <= rx_message_counter_xhdl28; tx <= tx_xhdl29; tx_next <= tx_next_xhdl30; bus_off_on <= bus_off_on_xhdl31; go_overload_frame <= go_overload_frame_xhdl32; go_error_frame <= go_error_frame_xhdl33; go_tx <= go_tx_xhdl34; send_ack <= send_ack_xhdl35; data_64x8 <= data_64x8_xhdl36; wren_64x8 <= wren_64x8_xhdl37; rden_64x8 <= rden_64x8_xhdl38; wraddress_64x8 <= wraddress_64x8_xhdl39; rdaddress_64x8 <= rdaddress_64x8_xhdl40; data_64x4 <= data_64x4_xhdl41; wren_64x4x1 <= wren_64x4x1_xhdl42; wraddress_64x4x1 <= wraddress_64x4x1_xhdl43; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl44; data_64x1 <= data_64x1_xhdl45; -- port connections for Ram --64x8 w_q_dp_64x8 <= q_dp_64x8 ; data_64x8_xhdl36 <= w_data_64x8 ; wren_64x8_xhdl37 <= w_wren_64x8 ; rden_64x8_xhdl38 <= w_rden_64x8 ; wraddress_64x8_xhdl39 <= w_wraddress_64x8 ; rdaddress_64x8_xhdl40 <= w_rdaddress_64x8 ; --64x4 w_q_dp_64x4 <= q_dp_64x4 ; data_64x4_xhdl41 <= w_data_64x4 ; wren_64x4x1_xhdl42 <= w_wren_64x4x1 ; wraddress_64x4x1_xhdl43 <= w_wraddress_64x4x1 ; rdaddress_64x4x1_xhdl44 <= w_rdaddress_64x4x1 ; --64x1 w_q_dp_64x1 <= q_dp_64x1 ; data_64x1_xhdl45 <= w_data_64x1 ; -- ---------------------- go_rx_idle <= ((sample_point AND sampled_bit) AND last_bit_of_inter) OR (bus_free AND (NOT node_bus_off_xhdl13)) ; go_rx_id1 <= (sample_point AND (NOT sampled_bit)) AND (rx_idle_xhdl6 OR last_bit_of_inter) ; go_rx_rtr1 <= (((NOT bit_de_stuff) AND sample_point) AND rx_id1) AND CONV_STD_LOGIC(bit_cnt(3 DOWNTO 0) = "1010") ; go_rx_ide <= ((NOT bit_de_stuff) AND sample_point) AND rx_rtr1 ; go_rx_id2 <= (((NOT bit_de_stuff) AND sample_point) AND rx_ide) AND sampled_bit ; go_rx_rtr2 <= (((NOT bit_de_stuff) AND sample_point) AND rx_id2) AND CONV_STD_LOGIC(bit_cnt(4 DOWNTO 0) = "10001") ; go_rx_r1 <= ((NOT bit_de_stuff) AND sample_point) AND rx_rtr2 ; go_rx_r0 <= ((NOT bit_de_stuff) AND sample_point) AND ((rx_ide AND (NOT sampled_bit)) OR rx_r1) ; go_rx_dlc <= ((NOT bit_de_stuff) AND sample_point) AND rx_r0 ; go_rx_data <= (((((NOT bit_de_stuff) AND sample_point) AND rx_dlc) AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "11")) AND (sampled_bit OR (orv(data_len(2 DOWNTO 0))))) AND (NOT remote_rq) ; go_rx_crc <= ((NOT bit_de_stuff) AND sample_point) AND (((rx_dlc AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "11")) AND (((NOT sampled_bit) AND (NOT (orv(data_len(2 DOWNTO 0))))) OR remote_rq)) OR (rx_data AND CONV_STD_LOGIC('0' & bit_cnt(5 DOWNTO 0) = ((limited_data_len & "000") - 1)))) ; go_rx_crc_lim <= (((NOT bit_de_stuff) AND sample_point) AND rx_crc) AND CONV_STD_LOGIC(bit_cnt(3 DOWNTO 0) = "1110") ; go_rx_ack <= ((NOT bit_de_stuff) AND sample_point) AND rx_crc_lim ; go_rx_ack_lim <= sample_point AND rx_ack ; go_rx_eof <= sample_point AND rx_ack_lim ; go_rx_inter_xhdl9 <= (((sample_point AND rx_eof) AND CONV_STD_LOGIC(eof_cnt = "110")) OR error_frame_ended OR overload_frame_ended) AND (NOT overload_request) ; go_error_frame_xhdl33 <= form_err OR stuff_err OR bit_err OR ack_err OR (crc_err AND go_rx_eof) ; error_frame_ended <= CONV_STD_LOGIC(error_cnt2 = "111") AND tx_point ; overload_frame_ended <= CONV_STD_LOGIC(overload_cnt2 = "111") AND tx_point ; go_overload_frame_xhdl32 <= (((sample_point AND ((NOT sampled_bit) OR overload_request)) AND (((rx_eof AND (NOT transmitter_xhdl8)) AND CONV_STD_LOGIC(eof_cnt = "110")) OR error_frame_ended OR overload_frame_ended)) OR (((sample_point AND (NOT sampled_bit)) AND rx_inter_xhdl11) AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) < "10")) OR ((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC((error_cnt2 = "111") OR (overload_cnt2 = "111")))) AND (NOT overload_frame_blocked) ; go_crc_enable <= hard_sync OR go_tx_xhdl34 ; rst_crc_enable <= go_rx_crc ; bit_de_stuff_set <= go_rx_id1 AND (NOT go_error_frame_xhdl33) ; bit_de_stuff_reset <= go_rx_ack OR reset_mode OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32 ; remote_rq <= ((NOT ide) AND rtr1) OR (ide AND rtr2) ; temp_xhdl47 <= data_len WHEN (data_len < "1000") ELSE "1000"; limited_data_len <= temp_xhdl47 ; ack_err <= (((rx_ack AND sample_point) AND sampled_bit) AND tx_state_xhdl2) AND (NOT self_test_mode) ; bit_err <= ((((((((tx_state_xhdl2 OR error_frame OR overload_frame_xhdl4 OR rx_ack) AND sample_point) AND CONV_STD_LOGIC(tx_xhdl29 /= sampled_bit)) AND (NOT bit_err_exc1)) AND (NOT bit_err_exc2)) AND (NOT bit_err_exc3)) AND (NOT bit_err_exc4)) AND (NOT bit_err_exc5)) AND (NOT bit_err_exc6) ; bit_err_exc1 <= (tx_state_xhdl2 AND arbitration_field) AND tx_xhdl29 ; bit_err_exc2 <= rx_ack AND tx_xhdl29 ; bit_err_exc3 <= (error_frame AND node_error_passive_xhdl26) AND CONV_STD_LOGIC(error_cnt1 < "111") ; bit_err_exc4 <= ((error_frame AND CONV_STD_LOGIC(error_cnt1 = "111")) AND (NOT enable_error_cnt2)) OR ((overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt1 = "111")) AND (NOT enable_overload_cnt2)) ; bit_err_exc5 <= (error_frame AND CONV_STD_LOGIC(error_cnt2 = "111")) OR (overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt2 = "111")) ; bit_err_exc6 <= (CONV_STD_LOGIC(eof_cnt = "110") AND rx_eof) AND (NOT transmitter_xhdl8) ; arbitration_field <= rx_id1 OR rx_rtr1 OR rx_ide OR rx_id2 OR rx_rtr2 ; last_bit_of_inter <= rx_inter_xhdl11 AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "10") ; not_first_bit_of_inter_xhdl10 <= rx_inter_xhdl11 AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) /= "00") ; -- Rx idle state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_idle_xhdl6 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_id1 OR go_error_frame_xhdl33) = '1') THEN rx_idle_xhdl6 <= '0' ; ELSE IF (go_rx_idle = '1') THEN rx_idle_xhdl6 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx id1 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_id1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_rtr1 OR go_error_frame_xhdl33) = '1') THEN rx_id1 <= '0' ; ELSE IF (go_rx_id1 = '1') THEN rx_id1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx rtr1 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_rtr1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ide OR go_error_frame_xhdl33) = '1') THEN rx_rtr1 <= '0' ; ELSE IF (go_rx_rtr1 = '1') THEN rx_rtr1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ide state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ide <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r0 OR go_rx_id2 OR go_error_frame_xhdl33) = '1') THEN rx_ide <= '0' ; ELSE IF (go_rx_ide = '1') THEN rx_ide <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx id2 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_id2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_rtr2 OR go_error_frame_xhdl33) = '1') THEN rx_id2 <= '0' ; ELSE IF (go_rx_id2 = '1') THEN rx_id2 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx rtr2 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_rtr2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r1 OR go_error_frame_xhdl33) = '1') THEN rx_rtr2 <= '0' ; ELSE IF (go_rx_rtr2 = '1') THEN rx_rtr2 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx r0 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_r1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r0 OR go_error_frame_xhdl33) = '1') THEN rx_r1 <= '0' ; ELSE IF (go_rx_r1 = '1') THEN rx_r1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx r0 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_r0 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_dlc OR go_error_frame_xhdl33) = '1') THEN rx_r0 <= '0' ; ELSE IF (go_rx_r0 = '1') THEN rx_r0 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx dlc state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_dlc <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_data OR go_rx_crc OR go_error_frame_xhdl33) = '1') THEN rx_dlc <= '0' ; ELSE IF (go_rx_dlc = '1') THEN rx_dlc <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx data state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_data <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_crc OR go_error_frame_xhdl33) = '1') THEN rx_data <= '0' ; ELSE IF (go_rx_data = '1') THEN rx_data <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx crc state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_crc <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_crc_lim OR go_error_frame_xhdl33) = '1') THEN rx_crc <= '0' ; ELSE IF (go_rx_crc = '1') THEN rx_crc <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx crc delimiter state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_crc_lim <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ack OR go_error_frame_xhdl33) = '1') THEN rx_crc_lim <= '0' ; ELSE IF (go_rx_crc_lim = '1') THEN rx_crc_lim <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ack state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ack <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ack_lim OR go_error_frame_xhdl33) = '1') THEN rx_ack <= '0' ; ELSE IF (go_rx_ack = '1') THEN rx_ack <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ack delimiter state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ack_lim <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_eof OR go_error_frame_xhdl33) = '1') THEN rx_ack_lim <= '0' ; ELSE IF (go_rx_ack_lim = '1') THEN rx_ack_lim <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx eof state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_eof <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN rx_eof <= '0' ; ELSE IF (go_rx_eof = '1') THEN rx_eof <= '1' ; END IF; END IF; END IF; END PROCESS; -- Interframe space PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_inter_xhdl11 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_idle OR go_rx_id1 OR go_overload_frame_xhdl32 OR go_error_frame_xhdl33) = '1') THEN rx_inter_xhdl11 <= '0' ; ELSE IF (go_rx_inter_xhdl9 = '1') THEN rx_inter_xhdl11 <= '1' ; END IF; END IF; END IF; END PROCESS; -- ID register PROCESS (clk, rst) BEGIN IF (rst = '1') THEN id <= "00000000000000000000000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN id <= "00000000000000000000000000000"; ELSE IF (((sample_point AND (rx_id1 OR rx_id2)) AND (NOT bit_de_stuff)) = '1') THEN id <= id(27 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- rtr1 bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rtr1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN rtr1 <= '0'; ELSE IF (((sample_point AND rx_rtr1) AND (NOT bit_de_stuff)) = '1') THEN rtr1 <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- rtr2 bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rtr2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN rtr2 <= '0'; ELSE IF (((sample_point AND rx_rtr2) AND (NOT bit_de_stuff)) = '1') THEN rtr2 <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- ide bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN ide <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN ide <= '0'; ELSE IF (((sample_point AND rx_ide) AND (NOT bit_de_stuff)) = '1') THEN ide <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- Data length PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_len <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN data_len <= "0000"; ELSE IF (((sample_point AND rx_dlc) AND (NOT bit_de_stuff)) = '1') THEN data_len <= data_len(2 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- Data PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tmp_data <= "00000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tmp_data <= "00000000"; ELSE IF (((sample_point AND rx_data) AND (NOT bit_de_stuff)) = '1') THEN tmp_data <= tmp_data(6 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN write_data_to_tmp_fifo <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN write_data_to_tmp_fifo <= '0'; ELSE IF ((((sample_point AND rx_data) AND (NOT bit_de_stuff)) AND (andv(bit_cnt(2 DOWNTO 0)))) = '1') THEN write_data_to_tmp_fifo <= '1' ; ELSE write_data_to_tmp_fifo <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN byte_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN byte_cnt <= "000"; ELSE IF (write_data_to_tmp_fifo = '1') THEN byte_cnt <= byte_cnt + "001" ; ELSE IF ((sample_point AND go_rx_crc_lim) = '1') THEN byte_cnt <= "000" ; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (write_data_to_tmp_fifo = '1') THEN tmp_fifo(conv_integer(byte_cnt)) <= tmp_data ; END IF; END IF; END PROCESS; -- CRC PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_in <= "000000000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN crc_in <= "000000000000000"; ELSE IF (((sample_point AND rx_crc) AND (NOT bit_de_stuff)) = '1') THEN crc_in <= crc_in(13 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- bit_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_cnt <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_cnt <= "000000"; ELSE IF ((go_rx_id1 OR go_rx_id2 OR go_rx_dlc OR go_rx_data OR go_rx_crc OR go_rx_ack OR go_rx_eof OR go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN bit_cnt <= "000000" ; ELSE IF ((sample_point AND (NOT bit_de_stuff)) = '1') THEN bit_cnt <= bit_cnt + "000001" ; END IF; END IF; END IF; END IF; END PROCESS; -- eof_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN eof_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN eof_cnt <= "000"; ELSE IF (sample_point = '1') THEN IF ((go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN eof_cnt <= "000" ; ELSE IF (rx_eof = '1') THEN eof_cnt <= eof_cnt + "001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; -- Enabling bit de-stuffing PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt_en <= '0'; ELSE IF (bit_de_stuff_set = '1') THEN bit_stuff_cnt_en <= '1' ; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt_en <= '0' ; END IF; END IF; END IF; END IF; END PROCESS; -- bit_stuff_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt <= "001"; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt <= "001" ; ELSE IF ((sample_point AND bit_stuff_cnt_en) = '1') THEN IF (bit_stuff_cnt = "101") THEN bit_stuff_cnt <= "001" ; ELSE IF (sampled_bit = sampled_bit_q) THEN bit_stuff_cnt <= bit_stuff_cnt + "001" ; ELSE bit_stuff_cnt <= "001" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; -- bit_stuff_cnt_tx PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt_tx <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt_tx <= "001"; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt_tx <= "001" ; ELSE IF ((tx_point_q AND bit_stuff_cnt_en) = '1') THEN IF (bit_stuff_cnt_tx = "101") THEN bit_stuff_cnt_tx <= "001" ; ELSE IF (tx_xhdl29 = tx_q) THEN bit_stuff_cnt_tx <= bit_stuff_cnt_tx + "001" ; ELSE bit_stuff_cnt_tx <= "001" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; bit_de_stuff <= CONV_STD_LOGIC(bit_stuff_cnt = "101") ; bit_de_stuff_tx <= CONV_STD_LOGIC(bit_stuff_cnt_tx = "101") ; -- stuff_err stuff_err <= ((sample_point AND bit_stuff_cnt_en) AND bit_de_stuff) AND CONV_STD_LOGIC(sampled_bit = sampled_bit_q) ; -- Generating delayed signals PROCESS (clk, rst) BEGIN IF (rst = '1') THEN reset_mode_q <= '0' ; node_bus_off_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN reset_mode_q <= reset_mode ; node_bus_off_q <= node_bus_off_xhdl13 ; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_enable <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR rst_crc_enable) = '1') THEN crc_enable <= '0' ; ELSE IF (go_crc_enable = '1') THEN crc_enable <= '1' ; END IF; END IF; END IF; END PROCESS; -- CRC error generation PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_err <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended) = '1') THEN crc_err <= '0' ; ELSE IF (go_rx_ack = '1') THEN crc_err <= CONV_STD_LOGIC(crc_in /= calculated_crc) ; END IF; END IF; END IF; END PROCESS; -- Conditions for form error form_err <= sample_point AND ((((NOT bit_de_stuff) AND rx_crc_lim) AND (NOT sampled_bit)) OR (rx_ack_lim AND (NOT sampled_bit)) OR (((CONV_STD_LOGIC(eof_cnt < "110") AND rx_eof) AND (NOT sampled_bit)) AND (NOT transmitter_xhdl8)) OR (((rx_eof) AND (NOT sampled_bit)) AND transmitter_xhdl8)) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN ack_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN ack_err_latched <= '0' ; ELSE IF (ack_err = '1') THEN ack_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN bit_err_latched <= '0' ; ELSE IF (bit_err = '1') THEN bit_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rule 5 (Fault confinement). rule5 <= bit_err AND ((((NOT node_error_passive_xhdl26) AND error_frame) AND CONV_STD_LOGIC(error_cnt1 < "111")) OR (overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt1 < "111"))) ; -- Rule 3 exception 1 - first part (Fault confinement). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rule3_exc1_1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_flag_over OR rule3_exc1_2) = '1') THEN rule3_exc1_1 <= '0' ; ELSE IF (((transmitter_xhdl8 AND node_error_passive_xhdl26) AND ack_err) = '1') THEN rule3_exc1_1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rule 3 exception 1 - second part (Fault confinement). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rule3_exc1_2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR rule3_exc1_2) = '1') THEN rule3_exc1_2 <= '0' ; ELSE IF ((((rule3_exc1_1 AND CONV_STD_LOGIC(error_cnt1 < "111")) AND sample_point) AND (NOT sampled_bit)) = '1') THEN rule3_exc1_2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN stuff_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN stuff_err_latched <= '0' ; ELSE IF (stuff_err = '1') THEN stuff_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN form_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN form_err_latched <= '0' ; ELSE IF (form_err = '1') THEN form_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; xhdl_49 <= ((crc_enable AND sample_point) AND (NOT bit_de_stuff)); i_can_crc_rx : can_crc PORT MAP ( clk => clk, data => sampled_bit, enable => xhdl_49, initialize => go_crc_enable, crc => calculated_crc); no_byte0 <= rtr1 OR CONV_STD_LOGIC(data_len < "0001") ; no_byte1 <= rtr1 OR CONV_STD_LOGIC(data_len < "0010") ; port_xhdl73 <= tmp_fifo(0); port_xhdl74 <= tmp_fifo(1); i_can_acf : can_acf PORT MAP ( clk => clk, rst => rst, id => id, reset_mode => reset_mode, acceptance_filter_mode => acceptance_filter_mode, extended_mode => extended_mode, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, go_rx_crc_lim => go_rx_crc_lim, go_rx_inter => go_rx_inter_xhdl9, go_error_frame => go_error_frame_xhdl33, data0 => port_xhdl73, data1 => port_xhdl74, rtr1 => rtr1, rtr2 => rtr2, ide => ide, no_byte0 => no_byte0, no_byte1 => no_byte1, id_ok => id_ok); temp_xhdl75 <= "101" WHEN ide = '1' ELSE "011"; temp_xhdl76 <= (temp_xhdl75) WHEN extended_mode = '1' ELSE "010"; header_len(2 DOWNTO 0) <= temp_xhdl76 ; storing_header <= CONV_STD_LOGIC(header_cnt < header_len) ; temp_xhdl77 <= (data_len - "0001") WHEN (data_len < "1000") ELSE "0111"; temp_xhdl78 <= "1111" WHEN remote_rq = '1' ELSE (temp_xhdl77); limited_data_len_minus1(3 DOWNTO 0) <= temp_xhdl78 ; reset_wr_fifo <= CONV_STD_LOGIC(data_cnt = (limited_data_len_minus1 + ('0' & header_len))) OR reset_mode ; err <= form_err OR stuff_err OR bit_err OR ack_err OR form_err_latched OR stuff_err_latched OR bit_err_latched OR ack_err_latched OR crc_err ; -- Write enable signal for 64-byte rx fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_fifo <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN wr_fifo <= '0' ; ELSE IF ((((go_rx_inter_xhdl9 AND id_ok) AND (NOT error_frame_ended)) AND ((NOT tx_state_xhdl2) OR self_rx_request)) = '1') THEN wr_fifo <= '1' ; END IF; END IF; END IF; END PROCESS; -- Header counter. Header length depends on the mode of operation and frame format. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN header_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN header_cnt <= "000" ; ELSE IF ((wr_fifo AND storing_header) = '1') THEN header_cnt <= header_cnt + "001" ; END IF; END IF; END IF; END PROCESS; -- Data counter. Length of the data is limited to 8 bytes. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN data_cnt <= "0000" ; ELSE IF (wr_fifo = '1') THEN data_cnt <= data_cnt + "0001" ; END IF; END IF; END IF; END PROCESS; -- Multiplexing data that is stored to 64-byte fifo depends on the mode of operation and frame format PROCESS (extended_mode, ide, data_cnt, header_cnt, header_len, storing_header, id, rtr1, rtr2, data_len, tmp_fifo) VARIABLE data_for_fifo_xhdl79 : std_logic_vector(7 DOWNTO 0); VARIABLE temp_xhdl80 : std_logic_vector(5 DOWNTO 0); BEGIN temp_xhdl80 := storing_header & extended_mode & ide & header_cnt; IF (std_match(temp_xhdl80, "111000")) THEN data_for_fifo_xhdl79 := '1' & rtr2 & "00" & data_len; -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111001")) THEN data_for_fifo_xhdl79 := id(28 DOWNTO 21); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111010")) THEN data_for_fifo_xhdl79 := id(20 DOWNTO 13); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111011")) THEN data_for_fifo_xhdl79 := id(12 DOWNTO 5); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111100")) THEN data_for_fifo_xhdl79 := id(4 DOWNTO 0) & rtr2 & "00"; -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "110000")) THEN data_for_fifo_xhdl79 := '0' & rtr1 & "00" & data_len; -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "110001")) THEN data_for_fifo_xhdl79 := id(10 DOWNTO 3); -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "110010")) THEN data_for_fifo_xhdl79 := id(2 DOWNTO 0) & rtr1 & "0000"; -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "10-000")) THEN data_for_fifo_xhdl79 := id(10 DOWNTO 3); -- normal mode header ELSIF (std_match(temp_xhdl80, "10-001")) THEN data_for_fifo_xhdl79 := id(2 DOWNTO 0) & rtr1 & data_len; -- normal mode header ELSE data_for_fifo_xhdl79 := tmp_fifo(conv_integer(data_cnt - ('0' & header_len)) mod 8); -- data END IF; data_for_fifo <= data_for_fifo_xhdl79; END PROCESS; -- Instantiation of the RX fifo module -- port connections for Ram --64x8 --64x4 --64x1 i_can_fifo : can_fifo PORT MAP ( clk => clk, rst => rst, wr => wr_fifo, data_in => data_for_fifo, addr => addr(5 DOWNTO 0), data_out => data_out_xhdl1, fifo_selected => fifo_selected, reset_mode => reset_mode, release_buffer => release_buffer, extended_mode => extended_mode, overrun => overrun_xhdl21, info_empty => info_empty_xhdl22, info_cnt => rx_message_counter_xhdl28, q_dp_64x8 => w_q_dp_64x8, data_64x8 => w_data_64x8, wren_64x8 => w_wren_64x8, rden_64x8 => w_rden_64x8, wraddress_64x8 => w_wraddress_64x8, rdaddress_64x8 => w_rdaddress_64x8, q_dp_64x4 => w_q_dp_64x4, data_64x4 => w_data_64x4, wren_64x4x1 => w_wren_64x4x1, wraddress_64x4x1 => w_wraddress_64x4x1, rdaddress_64x4x1 => w_rdaddress_64x4x1, q_dp_64x1 => w_q_dp_64x1, data_64x1 => w_data_64x1); -- Transmitting error frame. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_frame <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN error_frame <= '0' ; ELSE IF (go_error_frame_xhdl33 = '1') THEN error_frame <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_cnt1 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_cnt1 <= "000" ; ELSE IF (((error_frame AND tx_point) AND CONV_STD_LOGIC(error_cnt1 < "111")) = '1') THEN error_cnt1 <= error_cnt1 + "001" ; END IF; END IF; END IF; END PROCESS; error_flag_over <= ((((NOT node_error_passive_xhdl26) AND sample_point) AND CONV_STD_LOGIC(error_cnt1 = "111")) OR ((node_error_passive_xhdl26 AND sample_point) AND CONV_STD_LOGIC(passive_cnt = "110"))) AND (NOT enable_error_cnt2) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_flag_over_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_flag_over_latched <= '0' ; ELSE IF (error_flag_over = '1') THEN error_flag_over_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN enable_error_cnt2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN enable_error_cnt2 <= '0' ; ELSE IF ((error_frame AND (error_flag_over AND sampled_bit)) = '1') THEN enable_error_cnt2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_cnt2 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_cnt2 <= "000" ; ELSE IF ((enable_error_cnt2 AND tx_point) = '1') THEN error_cnt2 <= error_cnt2 + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN delayed_dominant_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR enable_error_cnt2 OR go_error_frame_xhdl33 OR enable_overload_cnt2 OR go_overload_frame_xhdl32) = '1') THEN delayed_dominant_cnt <= "000" ; ELSE IF (((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC((error_cnt1 = "111") OR (overload_cnt1 = "111"))) = '1') THEN delayed_dominant_cnt <= delayed_dominant_cnt + "001" ; END IF; END IF; END IF; END PROCESS; -- passive_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN passive_cnt <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32 OR first_compare_bit) = '1') THEN passive_cnt <= "001" ; ELSE IF ((sample_point AND CONV_STD_LOGIC(passive_cnt < "110")) = '1') THEN IF (((error_frame AND (NOT enable_error_cnt2)) AND CONV_STD_LOGIC(sampled_bit = sampled_bit_q)) = '1') THEN passive_cnt <= passive_cnt + "001" ; ELSE passive_cnt <= "001" ; END IF; END IF; END IF; END IF; END PROCESS; -- When comparing 6 equal bits, first is always equal PROCESS (clk, rst) BEGIN IF (rst = '1') THEN first_compare_bit <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_error_frame_xhdl33 = '1') THEN first_compare_bit <= '1' ; ELSE IF (sample_point = '1') THEN first_compare_bit <= '0'; END IF; END IF; END IF; END PROCESS; -- Transmitting overload frame. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_frame_xhdl4 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33) = '1') THEN overload_frame_xhdl4 <= '0' ; ELSE IF (go_overload_frame_xhdl32 = '1') THEN overload_frame_xhdl4 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_cnt1 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN overload_cnt1 <= "000" ; ELSE IF (((overload_frame_xhdl4 AND tx_point) AND CONV_STD_LOGIC(overload_cnt1 < "111")) = '1') THEN overload_cnt1 <= overload_cnt1 + "001" ; END IF; END IF; END IF; END PROCESS; overload_flag_over <= (sample_point AND CONV_STD_LOGIC(overload_cnt1 = "111")) AND (NOT enable_overload_cnt2) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN enable_overload_cnt2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN enable_overload_cnt2 <= '0' ; ELSE IF ((overload_frame_xhdl4 AND (overload_flag_over AND sampled_bit)) = '1') THEN enable_overload_cnt2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_cnt2 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN overload_cnt2 <= "000" ; ELSE IF ((enable_overload_cnt2 AND tx_point) = '1') THEN overload_cnt2 <= overload_cnt2 + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_request_cnt <= "00"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR go_rx_id1) = '1') THEN overload_request_cnt <= "00" ; ELSE IF ((overload_request AND overload_frame_xhdl4) = '1') THEN overload_request_cnt <= overload_request_cnt + "01" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_frame_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR go_rx_id1) = '1') THEN overload_frame_blocked <= '0' ; ELSE IF (((overload_request AND overload_frame_xhdl4) AND CONV_STD_LOGIC(overload_request_cnt = "10")) = '1') THEN -- This is a second sequential overload_request overload_frame_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; send_ack_xhdl35 <= (((NOT tx_state_xhdl2) AND rx_ack) AND (NOT err)) AND (NOT listen_only_mode) ; PROCESS (reset_mode, node_bus_off_xhdl13, tx_state_xhdl2, go_tx_xhdl34, bit_de_stuff_tx, tx_bit, tx_q, send_ack_xhdl35, go_overload_frame_xhdl32, overload_frame_xhdl4, overload_cnt1, go_error_frame_xhdl33, error_frame, error_cnt1, node_error_passive_xhdl26) VARIABLE tx_next_xhdl30_xhdl105 : std_logic; BEGIN IF ((reset_mode OR node_bus_off_xhdl13) = '1') THEN -- Reset or node_bus_off tx_next_xhdl30_xhdl105 := '1'; ELSE IF ((go_error_frame_xhdl33 OR error_frame) = '1') THEN -- Transmitting error frame IF (error_cnt1 < "110") THEN IF (node_error_passive_xhdl26 = '1') THEN tx_next_xhdl30_xhdl105 := '1'; ELSE tx_next_xhdl30_xhdl105 := '0'; END IF; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; ELSE IF ((go_overload_frame_xhdl32 OR overload_frame_xhdl4) = '1') THEN -- Transmitting overload frame IF (overload_cnt1 < "110") THEN tx_next_xhdl30_xhdl105 := '0'; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; ELSE IF ((go_tx_xhdl34 OR tx_state_xhdl2) = '1') THEN -- Transmitting message tx_next_xhdl30_xhdl105 := ((NOT bit_de_stuff_tx) AND tx_bit) OR (bit_de_stuff_tx AND (NOT tx_q)); ELSE IF (send_ack_xhdl35 = '1') THEN -- Acknowledge tx_next_xhdl30_xhdl105 := '0'; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; END IF; END IF; END IF; END IF; tx_next_xhdl30 <= tx_next_xhdl30_xhdl105; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_xhdl29 <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_xhdl29 <= '1'; ELSE IF (tx_point = '1') THEN tx_xhdl29 <= tx_next_xhdl30 ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_q <= '0' ; ELSE IF (tx_point = '1') THEN tx_q <= tx_xhdl29 AND (NOT go_early_tx_latched) ; END IF; END IF; END IF; END PROCESS; -- Delayed tx point PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_point_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_point_q <= '0' ; ELSE tx_point_q <= tx_point ; END IF; END IF; END PROCESS; -- Changing bit order from [7:0] to [0:7] i_ibo_tx_data_0 : can_ibo PORT MAP ( di => tx_data_0, do => r_tx_data_0); i_ibo_tx_data_1 : can_ibo PORT MAP ( di => tx_data_1, do => r_tx_data_1); i_ibo_tx_data_2 : can_ibo PORT MAP ( di => tx_data_2, do => r_tx_data_2); i_ibo_tx_data_3 : can_ibo PORT MAP ( di => tx_data_3, do => r_tx_data_3); i_ibo_tx_data_4 : can_ibo PORT MAP ( di => tx_data_4, do => r_tx_data_4); i_ibo_tx_data_5 : can_ibo PORT MAP ( di => tx_data_5, do => r_tx_data_5); i_ibo_tx_data_6 : can_ibo PORT MAP ( di => tx_data_6, do => r_tx_data_6); i_ibo_tx_data_7 : can_ibo PORT MAP ( di => tx_data_7, do => r_tx_data_7); i_ibo_tx_data_8 : can_ibo PORT MAP ( di => tx_data_8, do => r_tx_data_8); i_ibo_tx_data_9 : can_ibo PORT MAP ( di => tx_data_9, do => r_tx_data_9); i_ibo_tx_data_10 : can_ibo PORT MAP ( di => tx_data_10, do => r_tx_data_10); i_ibo_tx_data_11 : can_ibo PORT MAP ( di => tx_data_11, do => r_tx_data_11); i_ibo_tx_data_12 : can_ibo PORT MAP ( di => tx_data_12, do => r_tx_data_12); -- Changing bit order from [14:0] to [0:14] i_calculated_crc0 : can_ibo PORT MAP ( di => calculated_crc(14 DOWNTO 7), do => r_calculated_crc(7 DOWNTO 0)); xhdl_106 <= calculated_crc(6 DOWNTO 0) & '0'; i_calculated_crc1 : can_ibo PORT MAP ( di => xhdl_106, do => r_calculated_crc(15 DOWNTO 8)); basic_chain <= r_tx_data_1(7 DOWNTO 4) & "00" & r_tx_data_1(3 DOWNTO 0) & r_tx_data_0(7 DOWNTO 0) & '0' ; basic_chain_data <= r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 & r_tx_data_4 & r_tx_data_3 & r_tx_data_2 ; extended_chain_std <= r_tx_data_0(7 DOWNTO 4) & "00" & r_tx_data_0(1) & r_tx_data_2(2 DOWNTO 0) & r_tx_data_1(7 DOWNTO 0) & '0' ; extended_chain_ext <= r_tx_data_0(7 DOWNTO 4) & "00" & r_tx_data_0(1) & r_tx_data_4(4 DOWNTO 0) & r_tx_data_3(7 DOWNTO 0) & r_tx_data_2(7 DOWNTO 3) & '1' & '1' & r_tx_data_2(2 DOWNTO 0) & r_tx_data_1(7 DOWNTO 0) & '0' ; extended_chain_data_std <= r_tx_data_10 & r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 & r_tx_data_4 & r_tx_data_3 ; extended_chain_data_ext <= r_tx_data_12 & r_tx_data_11 & r_tx_data_10 & r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 ; PROCESS (extended_mode, rx_data, tx_pointer, extended_chain_data_std, extended_chain_data_ext, rx_crc, r_calculated_crc, r_tx_data_0, extended_chain_ext, extended_chain_std, basic_chain_data, basic_chain, finish_msg) VARIABLE tx_bit_xhdl107 : std_logic; BEGIN IF (extended_mode = '1') THEN IF (rx_data = '1') THEN -- data stage IF (r_tx_data_0(0) = '1') THEN -- Extended frame tx_bit_xhdl107 := extended_chain_data_ext(conv_integer(tx_pointer)); ELSE tx_bit_xhdl107 := extended_chain_data_std(conv_integer(tx_pointer)); END IF; ELSE IF (rx_crc = '1') THEN tx_bit_xhdl107 := r_calculated_crc(conv_integer(tx_pointer(3 downto 0))); ELSE IF (finish_msg = '1') THEN tx_bit_xhdl107 := '1'; ELSE IF (r_tx_data_0(0) = '1') THEN -- Extended frame tx_bit_xhdl107 := extended_chain_ext(conv_integer(tx_pointer)); ELSE tx_bit_xhdl107 := extended_chain_std(conv_integer(tx_pointer)); END IF; END IF; END IF; END IF; ELSE -- Basic mode IF (rx_data = '1') THEN -- data stage tx_bit_xhdl107 := basic_chain_data(conv_integer(tx_pointer)); ELSE IF (rx_crc = '1') THEN tx_bit_xhdl107 := r_calculated_crc(conv_integer(tx_pointer)); ELSE IF (finish_msg = '1') THEN tx_bit_xhdl107 := '1'; ELSE tx_bit_xhdl107 := basic_chain(conv_integer(tx_pointer)); END IF; END IF; END IF; END IF; tx_bit <= tx_bit_xhdl107; END PROCESS; temp_xhdl108 <= "111111" WHEN tx_data_0(3) = '1' ELSE ((tx_data_0(2 DOWNTO 0) & "000") - 1); limited_tx_cnt_ext <= temp_xhdl108 ; temp_xhdl109 <= "111111" WHEN tx_data_1(3) = '1' ELSE ((tx_data_1(2 DOWNTO 0) & "000") - 1); limited_tx_cnt_std <= temp_xhdl109 ; -- arbitration + control for extended format -- arbitration + control for extended format -- arbitration + control for standard format -- data (overflow is OK here) -- data (overflow is OK here) -- crc -- at the end rst_tx_pointer <= ((((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND extended_mode) AND r_tx_data_0(0)) AND CONV_STD_LOGIC(tx_pointer = "100110")) OR ((((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND extended_mode) AND (NOT r_tx_data_0(0))) AND CONV_STD_LOGIC(tx_pointer = "010010")) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND (NOT extended_mode)) AND CONV_STD_LOGIC(tx_pointer = "010010")) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND rx_data) AND extended_mode) AND CONV_STD_LOGIC(tx_pointer = limited_tx_cnt_ext)) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND rx_data) AND (NOT extended_mode)) AND CONV_STD_LOGIC(tx_pointer = limited_tx_cnt_std)) OR (tx_point AND rx_crc_lim) OR (go_rx_idle) OR (reset_mode) OR (overload_frame_xhdl4) OR (error_frame) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (rst_tx_pointer = '1') THEN tx_pointer <= "000000" ; ELSE IF ((go_early_tx OR ((tx_point AND (tx_state_xhdl2 OR go_tx_xhdl34)) AND (NOT bit_de_stuff_tx))) = '1') THEN tx_pointer <= tx_pointer + "000001" ; END IF; END IF; END IF; END PROCESS; tx_successful_xhdl19 <= ((((transmitter_xhdl8 AND go_rx_inter_xhdl9) AND (NOT go_error_frame_xhdl33)) AND (NOT error_frame_ended)) AND (NOT overload_frame_ended)) AND (NOT arbitration_lost) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN need_to_tx_xhdl20 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((tx_successful_xhdl19 OR reset_mode OR (abort_tx AND (NOT transmitting_xhdl7)) OR (((NOT tx_state_xhdl2) AND tx_state_q_xhdl3) AND single_shot_transmission)) = '1') THEN need_to_tx_xhdl20 <= '0' ; ELSE IF ((tx_request AND sample_point) = '1') THEN need_to_tx_xhdl20 <= '1' ; END IF; END IF; END IF; END PROCESS; go_early_tx <= ((((((NOT listen_only_mode) AND need_to_tx_xhdl20) AND (NOT tx_state_xhdl2)) AND (NOT suspend OR CONV_STD_LOGIC(susp_cnt = "111"))) AND sample_point) AND (NOT sampled_bit)) AND (rx_idle_xhdl6 OR last_bit_of_inter) ; go_tx_xhdl34 <= ((((NOT listen_only_mode) AND need_to_tx_xhdl20) AND (NOT tx_state_xhdl2)) AND (NOT suspend OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111")))) AND (go_early_tx OR rx_idle_xhdl6) ; -- go_early_tx latched (for proper bit_de_stuff generation) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN go_early_tx_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR tx_point) = '1') THEN go_early_tx_latched <= '0' ; ELSE IF (go_early_tx = '1') THEN go_early_tx_latched <= '1' ; END IF; END IF; END IF; END PROCESS; -- Tx state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_state_xhdl2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_inter_xhdl9 OR error_frame OR arbitration_lost) = '1') THEN tx_state_xhdl2 <= '0' ; ELSE IF (go_tx_xhdl34 = '1') THEN tx_state_xhdl2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_state_q_xhdl3 <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_state_q_xhdl3 <= '0' ; ELSE tx_state_q_xhdl3 <= tx_state_xhdl2 ; END IF; END IF; END PROCESS; -- Node is a transmitter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmitter_xhdl8 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_tx_xhdl34 = '1') THEN transmitter_xhdl8 <= '1' ; ELSE IF ((reset_mode OR go_rx_idle OR (suspend AND go_rx_id1)) = '1') THEN transmitter_xhdl8 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Signal "transmitting" signals that the core is a transmitting (message, error frame or overload frame). No synchronization is done meanwhile. -- Node might be both transmitter or receiver (sending error or overload frame) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmitting_xhdl7 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_error_frame_xhdl33 OR go_overload_frame_xhdl32 OR go_tx_xhdl34 OR send_ack_xhdl35) = '1') THEN transmitting_xhdl7 <= '1' ; ELSE IF ((reset_mode OR go_rx_idle OR (go_rx_id1 AND (NOT tx_state_xhdl2)) OR (arbitration_lost AND tx_state_xhdl2)) = '1') THEN transmitting_xhdl7 <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN suspend <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN suspend <= '0' ; ELSE IF (((not_first_bit_of_inter_xhdl10 AND transmitter_xhdl8) AND node_error_passive_xhdl26) = '1') THEN suspend <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN susp_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN susp_cnt_en <= '0' ; ELSE IF (((suspend AND sample_point) AND last_bit_of_inter) = '1') THEN susp_cnt_en <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN susp_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN susp_cnt <= "000" ; ELSE IF ((susp_cnt_en AND sample_point) = '1') THEN susp_cnt <= susp_cnt + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN finish_msg <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_rx_idle OR go_rx_id1 OR error_frame OR reset_mode) = '1') THEN finish_msg <= '0' ; ELSE IF (go_rx_crc_lim = '1') THEN finish_msg <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_rx_idle OR error_frame_ended OR reset_mode) = '1') THEN arbitration_lost <= '0' ; ELSE IF (((((transmitter_xhdl8 AND sample_point) AND tx_xhdl29) AND arbitration_field) AND NOT sampled_bit) = '1') THEN arbitration_lost <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_q <= '0' ; read_arbitration_lost_capture_reg_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN arbitration_lost_q <= '0'; read_arbitration_lost_capture_reg_q <= '0'; ELSE arbitration_lost_q <= arbitration_lost; read_arbitration_lost_capture_reg_q <= read_arbitration_lost_capture_reg ; END IF; END IF; END PROCESS; set_arbitration_lost_irq_xhdl24 <= (arbitration_lost AND (NOT arbitration_lost_q)) AND (NOT arbitration_blocked) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN read_error_code_capture_reg_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN read_error_code_capture_reg_q <= read_error_code_capture_reg; END IF; END PROCESS; reset_error_code_capture_reg <= read_error_code_capture_reg_q and not read_error_code_capture_reg; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR arbitration_blocked) = '1') THEN arbitration_cnt_en <= '0' ; ELSE IF (((rx_id1 AND sample_point) AND (NOT arbitration_blocked)) = '1') THEN arbitration_cnt_en <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR read_arbitration_lost_capture_reg) = '1') THEN arbitration_blocked <= '0' ; ELSE IF (set_arbitration_lost_irq_xhdl24 = '1') THEN arbitration_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_capture_xhdl25 <= "00000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (read_arbitration_lost_capture_reg_q = '1') THEN arbitration_lost_capture_xhdl25 <= "00000" ; ELSE IF ((((sample_point AND (NOT arbitration_blocked)) AND arbitration_cnt_en) AND (NOT bit_de_stuff)) = '1') THEN arbitration_lost_capture_xhdl25 <= arbitration_lost_capture_xhdl25 + "00001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_err_cnt_xhdl15 <= "000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((we_rx_err_cnt AND (NOT node_bus_off_xhdl13)) = '1') THEN rx_err_cnt_xhdl15 <= '0' & data_in ; ELSE IF (set_reset_mode_xhdl12 = '1') THEN rx_err_cnt_xhdl15 <= "000000000" ; ELSE IF (((NOT listen_only_mode) AND (NOT transmitter_xhdl8 OR arbitration_lost)) = '1') THEN IF ((((go_rx_ack_lim AND (NOT go_error_frame_xhdl33)) AND (NOT crc_err)) AND CONV_STD_LOGIC(rx_err_cnt_xhdl15 > "000000000")) = '1') THEN IF (rx_err_cnt_xhdl15 > "001111111") THEN rx_err_cnt_xhdl15 <= "001111111" ; ELSE rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 - "000000001" ; END IF; ELSE IF (rx_err_cnt_xhdl15 < "010000000") THEN IF ((go_error_frame_xhdl33 AND (NOT rule5)) = '1') THEN -- 1 (rule 5 is just the opposite then rule 1 exception rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 + "000000001" ; ELSE IF ((((((error_flag_over AND (NOT error_flag_over_latched)) AND sample_point) AND (NOT sampled_bit)) AND CONV_STD_LOGIC(error_cnt1 = "111")) OR (go_error_frame_xhdl33 AND rule5) OR ((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC(delayed_dominant_cnt = "111"))) = '1') THEN -- 2 -- 5 -- 6 rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 + "000001000" ; END IF; END IF; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_err_cnt_xhdl16 <= "000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (we_tx_err_cnt = '1') THEN tx_err_cnt_xhdl16 <= '0' & data_in ; ELSE IF (set_reset_mode_xhdl12 = '1') THEN tx_err_cnt_xhdl16 <= "010000000" ; ELSE IF ((CONV_STD_LOGIC(tx_err_cnt_xhdl16 > "000000000") AND (tx_successful_xhdl19 OR bus_free)) = '1') THEN tx_err_cnt_xhdl16 <= tx_err_cnt_xhdl16 - "000000001" ; ELSE IF ((transmitter_xhdl8 AND (NOT arbitration_lost)) = '1') THEN IF ((((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC(delayed_dominant_cnt = "111")) OR (go_error_frame_xhdl33 AND rule5) OR ((go_error_frame_xhdl33 AND (NOT ((transmitter_xhdl8 AND node_error_passive_xhdl26) AND ack_err))) AND (NOT (((((transmitter_xhdl8 AND stuff_err) AND arbitration_field) AND sample_point) AND tx_xhdl29) AND (NOT sampled_bit)))) OR (error_frame AND rule3_exc1_2)) = '1') THEN -- 6 -- 4 (rule 5 is the same as rule 4) -- 3 -- 3 tx_err_cnt_xhdl16 <= tx_err_cnt_xhdl16 + "000001000" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN node_error_passive_xhdl26 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((rx_err_cnt_xhdl15 < "010000000") AND (tx_err_cnt_xhdl16 < "010000000")) THEN node_error_passive_xhdl26 <= '0' ; ELSE IF (((CONV_STD_LOGIC((rx_err_cnt_xhdl15 >= "010000000") OR (tx_err_cnt_xhdl16 >= "010000000")) AND (error_frame_ended OR go_error_frame_xhdl33 OR ((NOT reset_mode) AND reset_mode_q))) AND (NOT node_bus_off_xhdl13)) = '1') THEN node_error_passive_xhdl26 <= '1' ; END IF; END IF; END IF; END PROCESS; node_error_active_xhdl27 <= NOT (node_error_passive_xhdl26 OR node_bus_off_xhdl13) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN node_bus_off_xhdl13 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (((CONV_STD_LOGIC((rx_err_cnt_xhdl15 = "000000000") AND (tx_err_cnt_xhdl16 = "000000000")) AND (NOT reset_mode)) OR (we_tx_err_cnt AND CONV_STD_LOGIC(data_in < "11111111"))) = '1') THEN node_bus_off_xhdl13 <= '0' ; ELSE IF ((CONV_STD_LOGIC(tx_err_cnt_xhdl16 >= "100000000") OR (we_tx_err_cnt AND CONV_STD_LOGIC(data_in = "11111111"))) = '1') THEN node_bus_off_xhdl13 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bus_free_cnt <= "0000" ; ELSE IF (sample_point = '1') THEN IF (((sampled_bit AND bus_free_cnt_en) AND CONV_STD_LOGIC(bus_free_cnt < "1010")) = '1') THEN bus_free_cnt <= bus_free_cnt + "0001" ; ELSE bus_free_cnt <= "0000" ; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((((NOT reset_mode) AND reset_mode_q) OR (node_bus_off_q AND (NOT reset_mode))) = '1') THEN bus_free_cnt_en <= '1' ; ELSE IF ((((sample_point AND sampled_bit) AND CONV_STD_LOGIC(bus_free_cnt = "1010")) AND (NOT node_bus_off_xhdl13)) = '1') THEN bus_free_cnt_en <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bus_free <= '0'; ELSE IF (((sample_point AND sampled_bit) AND CONV_STD_LOGIC(bus_free_cnt = "1010")) = '1') THEN bus_free <= '1' ; ELSE bus_free <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN waiting_for_bus_free <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN waiting_for_bus_free <= '1'; ELSE IF ((bus_free AND (NOT node_bus_off_xhdl13)) = '1') THEN waiting_for_bus_free <= '0' ; ELSE IF ((((NOT reset_mode) AND reset_mode_q) OR (node_bus_off_q AND (NOT reset_mode))) = '1') THEN waiting_for_bus_free <= '1' ; END IF; END IF; END IF; END IF; END PROCESS; bus_off_on_xhdl31 <= NOT node_bus_off_xhdl13 ; set_reset_mode_xhdl12 <= node_bus_off_xhdl13 AND (NOT node_bus_off_q) ; temp_xhdl110 <= ((rx_err_cnt_xhdl15 >= ('0' & error_warning_limit)) OR (tx_err_cnt_xhdl16 >= ('0' & error_warning_limit))) WHEN extended_mode = '1' ELSE ((rx_err_cnt_xhdl15 >= "001100000") OR (tx_err_cnt_xhdl16 >= "001100000")); error_status_xhdl14 <= CONV_STD_LOGIC(temp_xhdl110) ; transmit_status_xhdl17 <= transmitting_xhdl7 OR (extended_mode AND waiting_for_bus_free) ; temp_xhdl111 <= (waiting_for_bus_free OR ((NOT rx_idle_xhdl6) AND (NOT transmitting_xhdl7))) WHEN extended_mode = '1' ELSE (((NOT waiting_for_bus_free) AND (NOT rx_idle_xhdl6)) AND (NOT transmitting_xhdl7)); receive_status_xhdl18 <= temp_xhdl111 ; -- Error code capture register PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_capture_code_xhdl5 <= "00000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_error_code_capture_reg = '1') THEN error_capture_code_xhdl5 <= "00000000" ; ELSE IF (set_bus_error_irq_xhdl23 = '1') THEN error_capture_code_xhdl5 <= error_capture_code_type(7 DOWNTO 6) & error_capture_code_direction & error_capture_code_segment(4 DOWNTO 0) ; END IF; END IF; END IF; END PROCESS; error_capture_code_segment(0) <= rx_idle_xhdl6 OR rx_ide OR (rx_id2 AND CONV_STD_LOGIC(bit_cnt < "001101")) OR rx_r1 OR rx_r0 OR rx_dlc OR rx_ack OR rx_ack_lim OR (error_frame AND node_error_active_xhdl27) ; error_capture_code_segment(1) <= rx_idle_xhdl6 OR rx_id1 OR rx_id2 OR rx_dlc OR rx_data OR rx_ack_lim OR rx_eof OR rx_inter_xhdl11 OR (error_frame AND node_error_passive_xhdl26) ; error_capture_code_segment(2) <= (rx_id1 AND CONV_STD_LOGIC(bit_cnt > "000111")) OR rx_rtr1 OR rx_ide OR rx_id2 OR rx_rtr2 OR rx_r1 OR (error_frame AND node_error_passive_xhdl26) OR overload_frame_xhdl4 ; error_capture_code_segment(3) <= (rx_id2 AND CONV_STD_LOGIC(bit_cnt > "000100")) OR rx_rtr2 OR rx_r1 OR rx_r0 OR rx_dlc OR rx_data OR rx_crc OR rx_crc_lim OR rx_ack OR rx_ack_lim OR rx_eof OR overload_frame_xhdl4 ; error_capture_code_segment(4) <= rx_crc_lim OR rx_ack OR rx_ack_lim OR rx_eof OR rx_inter_xhdl11 OR error_frame OR overload_frame_xhdl4 ; error_capture_code_direction <= NOT transmitting_xhdl7 ; PROCESS (bit_err, form_err, stuff_err) VARIABLE error_capture_code_type_xhdl112 : std_logic_vector(7 DOWNTO 6); BEGIN IF (bit_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "00"; ELSE IF (form_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "01"; ELSE IF (stuff_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "10"; ELSE error_capture_code_type_xhdl112(7 DOWNTO 6) := "11"; END IF; END IF; END IF; error_capture_code_type <= error_capture_code_type_xhdl112; END PROCESS; set_bus_error_irq_xhdl23 <= go_error_frame_xhdl33 AND (NOT error_capture_code_blocked) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_capture_code_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (read_error_code_capture_reg = '1') THEN error_capture_code_blocked <= '0' ; ELSE IF (set_bus_error_irq_xhdl23 = '1') THEN error_capture_code_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register.v,v $ -- Revision 1.7 2004/02/08 14:32:31 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY can_register IS GENERIC ( WIDTH : integer := 8); -- default parameter of the register width PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic); END ENTITY can_register; ARCHITECTURE RTL OF can_register IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (we = '1') THEN -- write data_out_xhdl1 <= data_in; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_asyn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_asyn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_asyn.v,v $ -- Revision 1.7 2004/02/08 14:33:19 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_register_asyn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic); END ENTITY can_register_asyn; ARCHITECTURE RTL OF can_register_asyn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN -- asynchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSIF (clk'EVENT AND clk = '1') THEN IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_asyn_syn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_asyn_syn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_asyn_syn.v,v $ -- Revision 1.7 2004/02/08 14:33:59 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:52:43 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_register_asyn_syn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic; rst_sync : IN std_logic); END ENTITY can_register_asyn_syn; ARCHITECTURE RTL OF can_register_asyn_syn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSIF (clk'EVENT AND clk = '1') THEN IF (rst_sync = '1') THEN -- synchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSE IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_syn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_syn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_syn.v,v $ -- Revision 1.5 2004/02/08 14:34:40 mohor -- Header changed. -- -- Revision 1.4 2003/03/11 16:31:58 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_register_syn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst_sync : IN std_logic); END ENTITY can_register_syn; ARCHITECTURE RTL OF can_register_syn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (rst_sync = '1') THEN -- synchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, 8); ELSE IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_registers -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_registers.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- Revision 1.36 2005/03/18 15:04:05 igorm -- Wake-up interrupt was generated in some cases. -- -- Revision 1.35 2004/11/30 15:08:26 igorm -- irq is cleared after the release_buffer command. This bug was entered with -- changes for the edge triggered interrupts. -- -- Revision 1.34 2004/11/18 12:39:43 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.33 2004/10/25 11:44:38 igorm -- Interrupt is always cleared for one clock after the irq register is read. -- This fixes problems when CPU is using IRQs that are edge triggered. -- -- Revision 1.32 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.31 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.30 2003/07/16 15:19:34 mohor -- Fixed according to the linter. -- Case statement for data_out joined. -- -- Revision 1.29 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.28 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.27 2003/06/22 09:43:03 mohor -- synthesi full_case parallel_case fixed. -- -- Revision 1.26 2003/06/22 01:33:14 mohor -- clkout is clk/2 after the reset. -- -- Revision 1.25 2003/06/21 12:16:30 mohor -- paralel_case and full_case compiler directives added to case statements. -- -- Revision 1.24 2003/06/09 11:22:54 mohor -- data_out is already registered in the can_top.v file. -- -- Revision 1.23 2003/04/15 15:31:24 mohor -- Some features are supported in extended mode only (listen_only_mode...). -- -- Revision 1.22 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.20 2003/03/11 16:31:05 mohor -- Mux used for clkout to avoid "gated clocks warning". -- -- Revision 1.19 2003/03/10 17:34:25 mohor -- Doubled declarations removed. -- -- Revision 1.18 2003/03/01 22:52:11 mohor -- Data is latched on read. -- -- Revision 1.17 2003/02/19 15:09:02 mohor -- Incomplete sensitivity list fixed. -- -- Revision 1.16 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.15 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.14 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.13 2003/02/12 14:25:30 mohor -- abort_tx added. -- -- Revision 1.12 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.11 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.10 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.9 2003/01/15 13:16:48 mohor -- When a frame with "remote request" is received, no data is stored -- to fifo, just the frame information (identifier, ...). Data length -- that is stored is the received data length and not the actual data -- length that is stored to fifo. -- -- Revision 1.8 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.7 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.6 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.5 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.4 2003/01/08 02:10:55 mohor -- Acceptance filter added. -- -- Revision 1.3 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.2 2002/12/26 16:00:34 mohor -- Testbench define file added. Clock divider register added. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_registers IS PORT ( clk : IN std_logic; rst : IN std_logic; cs : IN std_logic; we : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); irq_n : OUT std_logic; sample_point : IN std_logic; transmitting : IN std_logic; set_reset_mode : IN std_logic; node_bus_off : IN std_logic; error_status : IN std_logic; rx_err_cnt : IN std_logic_vector(7 DOWNTO 0); tx_err_cnt : IN std_logic_vector(7 DOWNTO 0); transmit_status : IN std_logic; receive_status : IN std_logic; tx_successful : IN std_logic; need_to_tx : IN std_logic; overrun : IN std_logic; info_empty : IN std_logic; set_bus_error_irq : IN std_logic; set_arbitration_lost_irq: IN std_logic; arbitration_lost_capture: IN std_logic_vector(4 DOWNTO 0); node_error_passive : IN std_logic; node_error_active : IN std_logic; rx_message_counter : IN std_logic_vector(6 DOWNTO 0); -- Mode register reset_mode : OUT std_logic; listen_only_mode : OUT std_logic; acceptance_filter_mode : OUT std_logic; self_test_mode : OUT std_logic; -- Command register clear_data_overrun : OUT std_logic; release_buffer : OUT std_logic; abort_tx : OUT std_logic; tx_request : OUT std_logic; self_rx_request : OUT std_logic; single_shot_transmission: OUT std_logic; tx_state : IN std_logic; tx_state_q : IN std_logic; overload_request : OUT std_logic; overload_frame : IN std_logic; -- Arbitration Lost Capture Register read_arbitration_lost_capture_reg: OUT std_logic; -- Error Code Capture Register read_error_code_capture_reg: OUT std_logic; error_capture_code : IN std_logic_vector(7 DOWNTO 0); -- Bus Timing 0 register baud_r_presc : OUT std_logic_vector(5 DOWNTO 0); sync_jump_width : OUT std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register time_segment1 : OUT std_logic_vector(3 DOWNTO 0); time_segment2 : OUT std_logic_vector(2 DOWNTO 0); triple_sampling : OUT std_logic; -- Error Warning Limit register error_warning_limit : OUT std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register we_rx_err_cnt : OUT std_logic; -- Tx Error Counter register we_tx_err_cnt : OUT std_logic; -- Clock Divider register extended_mode : OUT std_logic; clkout : OUT std_logic; -- This section is for BASIC and EXTENDED mode -- Acceptance code register acceptance_code_0 : OUT std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_0 : OUT std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register acceptance_code_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_3 : OUT std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : OUT std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data tx_data_0 : OUT std_logic_vector(7 DOWNTO 0); tx_data_1 : OUT std_logic_vector(7 DOWNTO 0); tx_data_2 : OUT std_logic_vector(7 DOWNTO 0); tx_data_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_4 : OUT std_logic_vector(7 DOWNTO 0); tx_data_5 : OUT std_logic_vector(7 DOWNTO 0); tx_data_6 : OUT std_logic_vector(7 DOWNTO 0); tx_data_7 : OUT std_logic_vector(7 DOWNTO 0); tx_data_8 : OUT std_logic_vector(7 DOWNTO 0); tx_data_9 : OUT std_logic_vector(7 DOWNTO 0); tx_data_10 : OUT std_logic_vector(7 DOWNTO 0); tx_data_11 : OUT std_logic_vector(7 DOWNTO 0); tx_data_12 : OUT std_logic_vector(7 DOWNTO 0)); END ENTITY can_registers; ARCHITECTURE RTL OF can_registers IS CONSTANT xhdl_timescale : time := 1 ns; COMPONENT can_register GENERIC ( WIDTH : integer := 8); -- default parameter of the register width PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic); END COMPONENT; COMPONENT can_register_asyn GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic); END COMPONENT; COMPONENT can_register_asyn_syn GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic; rst_sync : IN std_logic); END COMPONENT; TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); -- End: Tx data registers signal read_irq_reg_q : std_logic; signal reset_irq_reg : std_logic; SIGNAL tx_successful_q : std_logic; SIGNAL overrun_q : std_logic; SIGNAL overrun_status : std_logic; SIGNAL transmission_complete : std_logic; SIGNAL transmit_buffer_status_q : std_logic; SIGNAL receive_buffer_status : std_logic; SIGNAL error_status_q : std_logic; SIGNAL node_bus_off_q : std_logic; SIGNAL node_error_passive_q : std_logic; SIGNAL transmit_buffer_status : std_logic; -- Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed. SIGNAL data_overrun_irq_en : std_logic; SIGNAL error_warning_irq_en : std_logic; SIGNAL transmit_irq_en : std_logic; SIGNAL receive_irq_en : std_logic; SIGNAL irq_reg : std_logic_vector(7 DOWNTO 0); SIGNAL irq : std_logic; SIGNAL we_mode : std_logic; SIGNAL we_command : std_logic; SIGNAL we_bus_timing_0 : std_logic; SIGNAL we_bus_timing_1 : std_logic; SIGNAL we_clock_divider_low : std_logic; SIGNAL we_clock_divider_hi : std_logic; SIGNAL read : std_logic; SIGNAL read_irq_reg : std_logic; -- This section is for BASIC and EXTENDED mode SIGNAL we_acceptance_code_0 : std_logic; SIGNAL we_acceptance_mask_0 : std_logic; SIGNAL we_tx_data_0 : std_logic; SIGNAL we_tx_data_1 : std_logic; SIGNAL we_tx_data_2 : std_logic; SIGNAL we_tx_data_3 : std_logic; SIGNAL we_tx_data_4 : std_logic; SIGNAL we_tx_data_5 : std_logic; SIGNAL we_tx_data_6 : std_logic; SIGNAL we_tx_data_7 : std_logic; SIGNAL we_tx_data_8 : std_logic; SIGNAL we_tx_data_9 : std_logic; SIGNAL we_tx_data_10 : std_logic; SIGNAL we_tx_data_11 : std_logic; SIGNAL we_tx_data_12 : std_logic; -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode SIGNAL we_interrupt_enable : std_logic; SIGNAL we_error_warning_limit : std_logic; SIGNAL we_acceptance_code_1 : std_logic; SIGNAL we_acceptance_code_2 : std_logic; SIGNAL we_acceptance_code_3 : std_logic; SIGNAL we_acceptance_mask_1 : std_logic; SIGNAL we_acceptance_mask_2 : std_logic; SIGNAL we_acceptance_mask_3 : std_logic; -- Mode register SIGNAL mode : std_logic; SIGNAL mode_basic : std_logic_vector(4 DOWNTO 1); SIGNAL mode_ext : std_logic_vector(3 DOWNTO 1); SIGNAL receive_irq_en_basic : std_logic; SIGNAL transmit_irq_en_basic : std_logic; SIGNAL error_irq_en_basic : std_logic; SIGNAL overrun_irq_en_basic : std_logic; SIGNAL port_xhdl52 : std_logic; SIGNAL xhdl_61 : std_logic; -- End Mode register -- Command register SIGNAL command : std_logic_vector(4 DOWNTO 0); SIGNAL xhdl_69 : std_logic; SIGNAL port_xhdl70 : std_logic; SIGNAL port_xhdl71 : std_logic; SIGNAL xhdl_77 : std_logic; SIGNAL port_xhdl78 : std_logic; SIGNAL port_xhdl79 : std_logic; SIGNAL xhdl_85 : std_logic; SIGNAL xhdl_91 : std_logic; SIGNAL port_xhdl92 : std_logic; SIGNAL port_xhdl93 : std_logic; -- End Command register -- Status register SIGNAL status : std_logic_vector(7 DOWNTO 0); -- End Status register -- Interrupt Enable register (extended mode) SIGNAL irq_en_ext : std_logic_vector(7 DOWNTO 0); SIGNAL bus_error_irq_en : std_logic; SIGNAL arbitration_lost_irq_en : std_logic; SIGNAL error_passive_irq_en : std_logic; SIGNAL data_overrun_irq_en_ext : std_logic; SIGNAL error_warning_irq_en_ext : std_logic; SIGNAL transmit_irq_en_ext : std_logic; SIGNAL receive_irq_en_ext : std_logic; -- End Bus Timing 0 register -- Bus Timing 0 register SIGNAL bus_timing_0 : std_logic_vector(7 DOWNTO 0); -- End Bus Timing 0 register -- Bus Timing 1 register SIGNAL bus_timing_1 : std_logic_vector(7 DOWNTO 0); -- End Error Warning Limit register -- Clock Divider register SIGNAL clock_divider : std_logic_vector(7 DOWNTO 0); SIGNAL clock_off : std_logic; SIGNAL cd : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_div : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_tmp : std_logic; SIGNAL port_xhdl116 : std_logic; SIGNAL port_xhdl117 : std_logic; SIGNAL port_xhdl123 : std_logic; SIGNAL port_xhdl124 : std_logic; SIGNAL temp_xhdl131 : std_logic; SIGNAL temp_xhdl132 : std_logic; SIGNAL temp_xhdl218 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl219 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl220 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl221 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl222 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl223 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl224 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl225 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl226 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl227 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl228 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl229 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl230 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl231 : std_logic_vector(7 DOWNTO 0); -- basic mode -- Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed. SIGNAL temp_xhdl233 : std_logic; SIGNAL temp_xhdl234 : std_logic; SIGNAL temp_xhdl235 : std_logic; SIGNAL temp_xhdl236 : std_logic; SIGNAL data_overrun_irq : std_logic; SIGNAL transmit_irq : std_logic; SIGNAL receive_irq : std_logic; SIGNAL error_irq : std_logic; SIGNAL bus_error_irq : std_logic; SIGNAL arbitration_lost_irq : std_logic; SIGNAL error_passive_irq : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL irq_n_xhdl2 : std_logic; SIGNAL reset_mode_xhdl3 : std_logic; SIGNAL listen_only_mode_xhdl4 : std_logic; SIGNAL acceptance_filter_mode_xhdl5 : std_logic; SIGNAL self_test_mode_xhdl6 : std_logic; SIGNAL clear_data_overrun_xhdl7 : std_logic; SIGNAL release_buffer_xhdl8 : std_logic; SIGNAL abort_tx_xhdl9 : std_logic; SIGNAL tx_request_xhdl10 : std_logic; SIGNAL self_rx_request_xhdl11 : std_logic; SIGNAL single_shot_transmission_xhdl12 : std_logic; SIGNAL overload_request_xhdl13 : std_logic; SIGNAL read_arbitration_lost_capture_reg_xhdl14: std_logic; SIGNAL read_error_code_capture_reg_xhdl15: std_logic; SIGNAL baud_r_presc_xhdl16 : std_logic_vector(5 DOWNTO 0); SIGNAL sync_jump_width_xhdl17 : std_logic_vector(1 DOWNTO 0); SIGNAL time_segment1_xhdl18 : std_logic_vector(3 DOWNTO 0); SIGNAL time_segment2_xhdl19 : std_logic_vector(2 DOWNTO 0); SIGNAL triple_sampling_xhdl20 : std_logic; SIGNAL error_warning_limit_xhdl21 : std_logic_vector(7 DOWNTO 0); SIGNAL we_rx_err_cnt_xhdl22 : std_logic; SIGNAL we_tx_err_cnt_xhdl23 : std_logic; SIGNAL extended_mode_xhdl24 : std_logic; SIGNAL clkout_xhdl25 : std_logic; SIGNAL acceptance_code_0_xhdl26 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_0_xhdl27 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_1_xhdl28 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_2_xhdl29 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_3_xhdl30 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_1_xhdl31 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_2_xhdl32 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_3_xhdl33 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_0_xhdl34 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_1_xhdl35 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_2_xhdl36 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_3_xhdl37 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_4_xhdl38 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_5_xhdl39 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_6_xhdl40 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_7_xhdl41 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_8_xhdl42 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_9_xhdl43 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_10_xhdl44 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_11_xhdl45 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_12_xhdl46 : std_logic_vector(7 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; irq_n <= irq_n_xhdl2; reset_mode <= reset_mode_xhdl3; listen_only_mode <= listen_only_mode_xhdl4; acceptance_filter_mode <= acceptance_filter_mode_xhdl5; self_test_mode <= self_test_mode_xhdl6; clear_data_overrun <= clear_data_overrun_xhdl7; release_buffer <= release_buffer_xhdl8; abort_tx <= abort_tx_xhdl9; tx_request <= tx_request_xhdl10; self_rx_request <= self_rx_request_xhdl11; single_shot_transmission <= single_shot_transmission_xhdl12; overload_request <= overload_request_xhdl13; read_arbitration_lost_capture_reg <= read_arbitration_lost_capture_reg_xhdl14; read_error_code_capture_reg <= read_error_code_capture_reg_xhdl15; baud_r_presc <= baud_r_presc_xhdl16; sync_jump_width <= sync_jump_width_xhdl17; time_segment1 <= time_segment1_xhdl18; time_segment2 <= time_segment2_xhdl19; triple_sampling <= triple_sampling_xhdl20; error_warning_limit <= error_warning_limit_xhdl21; we_rx_err_cnt <= we_rx_err_cnt_xhdl22; we_tx_err_cnt <= we_tx_err_cnt_xhdl23; extended_mode <= extended_mode_xhdl24; clkout <= clkout_xhdl25; acceptance_code_0 <= acceptance_code_0_xhdl26; acceptance_mask_0 <= acceptance_mask_0_xhdl27; acceptance_code_1 <= acceptance_code_1_xhdl28; acceptance_code_2 <= acceptance_code_2_xhdl29; acceptance_code_3 <= acceptance_code_3_xhdl30; acceptance_mask_1 <= acceptance_mask_1_xhdl31; acceptance_mask_2 <= acceptance_mask_2_xhdl32; acceptance_mask_3 <= acceptance_mask_3_xhdl33; tx_data_0 <= tx_data_0_xhdl34; tx_data_1 <= tx_data_1_xhdl35; tx_data_2 <= tx_data_2_xhdl36; tx_data_3 <= tx_data_3_xhdl37; tx_data_4 <= tx_data_4_xhdl38; tx_data_5 <= tx_data_5_xhdl39; tx_data_6 <= tx_data_6_xhdl40; tx_data_7 <= tx_data_7_xhdl41; tx_data_8 <= tx_data_8_xhdl42; tx_data_9 <= tx_data_9_xhdl43; tx_data_10 <= tx_data_10_xhdl44; tx_data_11 <= tx_data_11_xhdl45; tx_data_12 <= tx_data_12_xhdl46; we_mode <= (cs AND we) AND CONV_STD_LOGIC(addr = "00000000") ; we_command <= (cs AND we) AND CONV_STD_LOGIC(addr = "00000001") ; we_bus_timing_0 <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000110")) AND reset_mode_xhdl3 ; we_bus_timing_1 <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000111")) AND reset_mode_xhdl3 ; we_clock_divider_low <= (cs AND we) AND CONV_STD_LOGIC(addr = "00011111") ; we_clock_divider_hi <= we_clock_divider_low AND reset_mode_xhdl3 ; read <= cs AND (NOT we) ; read_irq_reg <= read AND CONV_STD_LOGIC(addr = "00000011") ; reset_irq_reg <= read_irq_reg_q and not read_irq_reg; read_arbitration_lost_capture_reg_xhdl14 <= (read AND extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001011") ; read_error_code_capture_reg_xhdl15 <= (read AND extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001100") ; we_acceptance_code_0 <= ((cs AND we) AND reset_mode_xhdl3) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00000100")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010000"))) ; we_acceptance_mask_0 <= ((cs AND we) AND reset_mode_xhdl3) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00000101")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010100"))) ; we_tx_data_0 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001010")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010000")))) AND transmit_buffer_status ; we_tx_data_1 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001011")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010001")))) AND transmit_buffer_status ; we_tx_data_2 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001100")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010010")))) AND transmit_buffer_status ; we_tx_data_3 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001101")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010011")))) AND transmit_buffer_status ; we_tx_data_4 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001110")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010100")))) AND transmit_buffer_status ; we_tx_data_5 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001111")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010101")))) AND transmit_buffer_status ; we_tx_data_6 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010000")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010110")))) AND transmit_buffer_status ; we_tx_data_7 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010001")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010111")))) AND transmit_buffer_status ; we_tx_data_8 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010010")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011000")))) AND transmit_buffer_status ; we_tx_data_9 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010011")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011001")))) AND transmit_buffer_status ; we_tx_data_10 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011010"))) AND transmit_buffer_status ; we_tx_data_11 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011011"))) AND transmit_buffer_status ; we_tx_data_12 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011100"))) AND transmit_buffer_status ; we_interrupt_enable <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000100")) AND extended_mode_xhdl24 ; we_error_warning_limit <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001101")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_rx_err_cnt_xhdl22 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001110")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_tx_err_cnt_xhdl23 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001111")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_1 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010001")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_2 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010010")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_3 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010011")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_1 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010101")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_2 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010110")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_3 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010111")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; -- End: This section is for EXTENDED mode PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN read_irq_reg_q <= read_irq_reg; tx_successful_q <= tx_successful ; overrun_q <= overrun ; transmit_buffer_status_q <= transmit_buffer_status ; error_status_q <= error_status ; node_bus_off_q <= node_bus_off ; node_error_passive_q <= node_error_passive ; END IF; END PROCESS; port_xhdl52 <= data_in(0); MODE_REG0 : can_register_asyn_syn GENERIC MAP (1, 1) PORT MAP ( data_in(0) => port_xhdl52, data_out(0) => mode, we => we_mode, clk => clk, rst => rst, rst_sync => set_reset_mode); MODE_REG_BASIC : can_register_asyn GENERIC MAP (4, 0) PORT MAP ( data_in => data_in(4 DOWNTO 1), data_out => mode_basic(4 DOWNTO 1), we => we_mode, clk => clk, rst => rst); xhdl_61 <= (we_mode AND reset_mode_xhdl3); MODE_REG_EXT : can_register_asyn GENERIC MAP (3, 0) PORT MAP ( data_in => data_in(3 DOWNTO 1), data_out => mode_ext(3 DOWNTO 1), we => xhdl_61, clk => clk, rst => rst); reset_mode_xhdl3 <= mode ; listen_only_mode_xhdl4 <= extended_mode_xhdl24 AND mode_ext(1) ; self_test_mode_xhdl6 <= extended_mode_xhdl24 AND mode_ext(2) ; acceptance_filter_mode_xhdl5 <= extended_mode_xhdl24 AND mode_ext(3) ; receive_irq_en_basic <= mode_basic(1) ; transmit_irq_en_basic <= mode_basic(2) ; error_irq_en_basic <= mode_basic(3) ; overrun_irq_en_basic <= mode_basic(4) ; xhdl_69 <= (command(0) AND sample_point) OR reset_mode_xhdl3; port_xhdl70 <= data_in(0); command(0) <= port_xhdl71; COMMAND_REG0 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl70, data_out(0) => port_xhdl71, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_69); xhdl_77 <= (sample_point AND (tx_request_xhdl10 OR (abort_tx_xhdl9 AND NOT transmitting))) OR reset_mode_xhdl3; port_xhdl78 <= data_in(1); command(1) <= port_xhdl79; COMMAND_REG1 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl78, data_out(0) => port_xhdl79, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_77); xhdl_85 <= orv(command(3 DOWNTO 2)) OR reset_mode_xhdl3; COMMAND_REG : can_register_asyn_syn GENERIC MAP (2, 0) PORT MAP ( data_in => data_in(3 DOWNTO 2), data_out => command(3 DOWNTO 2), we => we_command, clk => clk, rst => rst, rst_sync => xhdl_85); xhdl_91 <= (command(4) AND sample_point) OR reset_mode_xhdl3; port_xhdl92 <= data_in(4); command(4) <= port_xhdl93; COMMAND_REG4 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl92, data_out(0) => port_xhdl93, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_91); PROCESS (clk, rst) BEGIN IF (rst = '1') THEN self_rx_request_xhdl11 <= '0'; ELSif clk'event and clk = '1' then IF ((command(4) AND (NOT command(0))) = '1') THEN self_rx_request_xhdl11 <= '1' ; ELSE IF (((NOT tx_state) AND tx_state_q) = '1') THEN self_rx_request_xhdl11 <= '0' ; END IF; END IF; END IF; END PROCESS; clear_data_overrun_xhdl7 <= command(3) ; release_buffer_xhdl8 <= command(2) ; tx_request_xhdl10 <= command(0) OR command(4) ; abort_tx_xhdl9 <= command(1) AND (NOT tx_request_xhdl10) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN single_shot_transmission_xhdl12 <= '0'; ELSif clk'event and clk = '1' then IF (((tx_request_xhdl10 AND command(1)) AND sample_point) = '1') THEN single_shot_transmission_xhdl12 <= '1' ; ELSE IF (((NOT tx_state) AND tx_state_q) = '1') THEN single_shot_transmission_xhdl12 <= '0' ; END IF; END IF; END IF; END PROCESS; -- -- can_register_asyn_syn #(1, 1'h0) COMMAND_REG_OVERLOAD // Uncomment this to enable overload requests !!! -- ( .data_in(data_in[5]), -- .data_out(overload_request), -- .we(we_command), -- .clk(clk), -- .rst(rst), -- .rst_sync(overload_frame & ~overload_frame_q) -- ); -- reg overload_frame_q; -- always @ (posedge clk or posedge rst) -- begin -- if (rst) -- overload_frame_q <= 1'b0; -- else -- overload_frame_q <=#Tp overload_frame; -- end -- overload_request_xhdl13 <= '0' ; status(7) <= node_bus_off ; status(6) <= error_status ; status(5) <= transmit_status ; status(4) <= receive_status ; status(3) <= transmission_complete ; status(2) <= transmit_buffer_status ; status(1) <= overrun_status ; status(0) <= receive_buffer_status ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmission_complete <= '1'; ELSif clk'event and clk = '1' then IF ((tx_successful AND ((NOT tx_successful_q) OR abort_tx_xhdl9)) = '1') THEN -- transmission_complete was always set when abort_tx=1 -- Original code: -- IF (((tx_successful AND (NOT tx_successful_q)) OR abort_tx_xhdl9) = '1') THEN transmission_complete <= '1' ; ELSE IF (tx_request_xhdl10 = '1') THEN transmission_complete <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmit_buffer_status <= '1'; ELSif clk'event and clk = '1' then IF (tx_request_xhdl10 = '1') THEN transmit_buffer_status <= '0' ; ELSE IF ((reset_mode_xhdl3 OR NOT need_to_tx) = '1') THEN transmit_buffer_status <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overrun_status <= '0'; ELSif clk'event and clk = '1' then IF ((overrun AND (NOT overrun_q)) = '1') THEN overrun_status <= '1' ; ELSE IF ((reset_mode_xhdl3 OR clear_data_overrun_xhdl7) = '1') THEN overrun_status <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN receive_buffer_status <= '0'; ELSif clk'event and clk = '1' then IF ((reset_mode_xhdl3 OR release_buffer_xhdl8) = '1') THEN receive_buffer_status <= '0' ; ELSE IF (NOT info_empty = '1') THEN receive_buffer_status <= '1' ; END IF; END IF; END IF; END PROCESS; IRQ_EN_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => irq_en_ext, we => we_interrupt_enable, clk => clk); bus_error_irq_en <= irq_en_ext(7) ; arbitration_lost_irq_en <= irq_en_ext(6) ; error_passive_irq_en <= irq_en_ext(5) ; data_overrun_irq_en_ext <= irq_en_ext(3) ; error_warning_irq_en_ext <= irq_en_ext(2) ; transmit_irq_en_ext <= irq_en_ext(1) ; receive_irq_en_ext <= irq_en_ext(0) ; BUS_TIMING_0_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => bus_timing_0, we => we_bus_timing_0, clk => clk); baud_r_presc_xhdl16 <= bus_timing_0(5 DOWNTO 0) ; sync_jump_width_xhdl17 <= bus_timing_0(7 DOWNTO 6) ; BUS_TIMING_1_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => bus_timing_1, we => we_bus_timing_1, clk => clk); time_segment1_xhdl18 <= bus_timing_1(3 DOWNTO 0) ; time_segment2_xhdl19 <= bus_timing_1(6 DOWNTO 4) ; triple_sampling_xhdl20 <= bus_timing_1(7) ; -- End Bus Timing 1 register -- Error Warning Limit register ERROR_WARNING_REG : can_register_asyn GENERIC MAP (8, 96) PORT MAP ( data_in => data_in, data_out => error_warning_limit_xhdl21, we => we_error_warning_limit, clk => clk, rst => rst); port_xhdl116 <= data_in(7); clock_divider(7) <= port_xhdl117; CLOCK_DIVIDER_REG_7 : can_register_asyn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl116, data_out(0) => port_xhdl117, we => we_clock_divider_hi, clk => clk, rst => rst); clock_divider(6 DOWNTO 4) <= "000" ; port_xhdl123 <= data_in(3); clock_divider(3) <= port_xhdl124; CLOCK_DIVIDER_REG_3 : can_register_asyn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl123, data_out(0) => port_xhdl124, we => we_clock_divider_hi, clk => clk, rst => rst); CLOCK_DIVIDER_REG_LOW : can_register_asyn GENERIC MAP (3, 0) PORT MAP ( data_in => data_in(2 DOWNTO 0), data_out => clock_divider(2 DOWNTO 0), we => we_clock_divider_low, clk => clk, rst => rst); extended_mode_xhdl24 <= clock_divider(7) ; clock_off <= clock_divider(3) ; cd(2 DOWNTO 0) <= clock_divider(2 DOWNTO 0) ; PROCESS (cd) VARIABLE clkout_div_xhdl130 : std_logic_vector(2 DOWNTO 0); BEGIN CASE cd IS -- synthesis full_case parallel_case WHEN "000" => clkout_div_xhdl130 := "000"; WHEN "001" => clkout_div_xhdl130 := "001"; WHEN "010" => clkout_div_xhdl130 := "010"; WHEN "011" => clkout_div_xhdl130 := "011"; WHEN "100" => clkout_div_xhdl130 := "100"; WHEN "101" => clkout_div_xhdl130 := "101"; WHEN "110" => clkout_div_xhdl130 := "110"; WHEN "111" => clkout_div_xhdl130 := "000"; WHEN OTHERS => NULL; END CASE; clkout_div <= clkout_div_xhdl130; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clkout_cnt <= "000"; ELSif clk'event and clk = '1' then IF (clkout_cnt = clkout_div) THEN clkout_cnt <= "000" ; ELSE clkout_cnt <= clkout_cnt + "001"; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clkout_tmp <= '0'; ELSif clk'event and clk = '1' then IF (clkout_cnt = clkout_div) THEN clkout_tmp <= NOT clkout_tmp ; END IF; END IF; END PROCESS; temp_xhdl131 <= clk WHEN (andv(cd)) = '1' ELSE clkout_tmp; temp_xhdl132 <= '1' WHEN clock_off = '1' ELSE (temp_xhdl131); clkout_xhdl25 <= temp_xhdl132 ; -- End Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register ACCEPTANCE_CODE_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_0_xhdl26, we => we_acceptance_code_0, clk => clk); -- End: Acceptance code register -- Acceptance mask register ACCEPTANCE_MASK_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_0_xhdl27, we => we_acceptance_mask_0, clk => clk); -- End: Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- Tx data 0 register. TX_DATA_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_0_xhdl34, we => we_tx_data_0, clk => clk); -- End: Tx data 0 register. -- Tx data 1 register. TX_DATA_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_1_xhdl35, we => we_tx_data_1, clk => clk); -- End: Tx data 1 register. -- Tx data 2 register. TX_DATA_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_2_xhdl36, we => we_tx_data_2, clk => clk); -- End: Tx data 2 register. -- Tx data 3 register. TX_DATA_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_3_xhdl37, we => we_tx_data_3, clk => clk); -- End: Tx data 3 register. -- Tx data 4 register. TX_DATA_REG4 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_4_xhdl38, we => we_tx_data_4, clk => clk); -- End: Tx data 4 register. -- Tx data 5 register. TX_DATA_REG5 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_5_xhdl39, we => we_tx_data_5, clk => clk); -- End: Tx data 5 register. -- Tx data 6 register. TX_DATA_REG6 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_6_xhdl40, we => we_tx_data_6, clk => clk); -- End: Tx data 6 register. -- Tx data 7 register. TX_DATA_REG7 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_7_xhdl41, we => we_tx_data_7, clk => clk); -- End: Tx data 7 register. -- Tx data 8 register. TX_DATA_REG8 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_8_xhdl42, we => we_tx_data_8, clk => clk); -- End: Tx data 8 register. -- Tx data 9 register. TX_DATA_REG9 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_9_xhdl43, we => we_tx_data_9, clk => clk); -- End: Tx data 9 register. -- Tx data 10 register. TX_DATA_REG10 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_10_xhdl44, we => we_tx_data_10, clk => clk); -- End: Tx data 10 register. -- Tx data 11 register. TX_DATA_REG11 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_11_xhdl45, we => we_tx_data_11, clk => clk); -- End: Tx data 11 register. -- Tx data 12 register. TX_DATA_REG12 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_12_xhdl46, we => we_tx_data_12, clk => clk); -- End: Tx data 12 register. -- This section is for EXTENDED mode -- Acceptance code register 1 ACCEPTANCE_CODE_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_1_xhdl28, we => we_acceptance_code_1, clk => clk); -- End: Acceptance code register -- Acceptance code register 2 ACCEPTANCE_CODE_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_2_xhdl29, we => we_acceptance_code_2, clk => clk); -- End: Acceptance code register -- Acceptance code register 3 ACCEPTANCE_CODE_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_3_xhdl30, we => we_acceptance_code_3, clk => clk); -- End: Acceptance code register -- Acceptance mask register 1 ACCEPTANCE_MASK_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_1_xhdl31, we => we_acceptance_mask_1, clk => clk); -- End: Acceptance code register -- Acceptance mask register 2 ACCEPTANCE_MASK_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_2_xhdl32, we => we_acceptance_mask_2, clk => clk); -- End: Acceptance code register -- Acceptance mask register 3 ACCEPTANCE_MASK_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_3_xhdl33, we => we_acceptance_mask_3, clk => clk); temp_xhdl218 <= acceptance_code_0_xhdl26 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl219 <= acceptance_mask_0_xhdl27 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl220 <= bus_timing_0 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl221 <= bus_timing_1 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl222 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_0_xhdl34; temp_xhdl223 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_1_xhdl35; temp_xhdl224 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_2_xhdl36; temp_xhdl225 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_3_xhdl37; temp_xhdl226 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_4_xhdl38; temp_xhdl227 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_5_xhdl39; temp_xhdl228 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_6_xhdl40; temp_xhdl229 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_7_xhdl41; temp_xhdl230 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_8_xhdl42; temp_xhdl231 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_9_xhdl43; -- End: Acceptance code register -- End: This section is for EXTENDED mode -- Reading data from registers PROCESS (addr, extended_mode_xhdl24, mode, bus_timing_0, bus_timing_1, clock_divider, acceptance_code_0_xhdl26, acceptance_code_1_xhdl28, acceptance_code_2_xhdl29, acceptance_code_3_xhdl30, acceptance_mask_0_xhdl27, acceptance_mask_1_xhdl31, acceptance_mask_2_xhdl32, acceptance_mask_3_xhdl33, status, error_warning_limit_xhdl21, rx_err_cnt, tx_err_cnt, irq_en_ext, irq_reg, mode_ext, arbitration_lost_capture, rx_message_counter, mode_basic, error_capture_code, temp_xhdl218, temp_xhdl219, temp_xhdl220, temp_xhdl221, temp_xhdl222, temp_xhdl223, temp_xhdl224, temp_xhdl225, temp_xhdl226, temp_xhdl227, temp_xhdl228, temp_xhdl229, temp_xhdl230, temp_xhdl231 ) VARIABLE data_out_xhdl1_xhdl217 : std_logic_vector(7 DOWNTO 0); VARIABLE temp_xhdl232 : std_logic_vector(5 DOWNTO 0); BEGIN temp_xhdl232 := extended_mode_xhdl24 & addr(4 DOWNTO 0); CASE temp_xhdl232 IS WHEN "100000" => data_out_xhdl1_xhdl217 := "0000" & mode_ext(3 DOWNTO 1) & mode; -- extended mode WHEN "100001" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "100010" => data_out_xhdl1_xhdl217 := status; -- extended mode WHEN "100011" => data_out_xhdl1_xhdl217 := irq_reg; -- extended mode WHEN "100100" => data_out_xhdl1_xhdl217 := irq_en_ext; -- extended mode WHEN "100110" => data_out_xhdl1_xhdl217 := bus_timing_0; -- extended mode WHEN "100111" => data_out_xhdl1_xhdl217 := bus_timing_1; -- extended mode WHEN "101011" => data_out_xhdl1_xhdl217 := "000" & arbitration_lost_capture(4 DOWNTO 0); -- extended mode WHEN "101100" => data_out_xhdl1_xhdl217 := error_capture_code; -- extended mode WHEN "101101" => data_out_xhdl1_xhdl217 := error_warning_limit_xhdl21; -- extended mode WHEN "101110" => data_out_xhdl1_xhdl217 := rx_err_cnt; -- extended mode WHEN "101111" => data_out_xhdl1_xhdl217 := tx_err_cnt; -- extended mode WHEN "110000" => data_out_xhdl1_xhdl217 := acceptance_code_0_xhdl26; -- extended mode WHEN "110001" => data_out_xhdl1_xhdl217 := acceptance_code_1_xhdl28; -- extended mode WHEN "110010" => data_out_xhdl1_xhdl217 := acceptance_code_2_xhdl29; -- extended mode WHEN "110011" => data_out_xhdl1_xhdl217 := acceptance_code_3_xhdl30; -- extended mode WHEN "110100" => data_out_xhdl1_xhdl217 := acceptance_mask_0_xhdl27; -- extended mode WHEN "110101" => data_out_xhdl1_xhdl217 := acceptance_mask_1_xhdl31; -- extended mode WHEN "110110" => data_out_xhdl1_xhdl217 := acceptance_mask_2_xhdl32; -- extended mode WHEN "110111" => data_out_xhdl1_xhdl217 := acceptance_mask_3_xhdl33; -- extended mode WHEN "111000" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111001" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111010" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111011" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111100" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111101" => data_out_xhdl1_xhdl217 := '0' & rx_message_counter; -- extended mode WHEN "111111" => data_out_xhdl1_xhdl217 := clock_divider; -- extended mode WHEN "000000" => data_out_xhdl1_xhdl217 := "001" & mode_basic(4 DOWNTO 1) & mode; -- basic mode WHEN "000001" => data_out_xhdl1_xhdl217 := "11111111"; -- basic mode WHEN "000010" => data_out_xhdl1_xhdl217 := status; -- basic mode WHEN "000011" => data_out_xhdl1_xhdl217 := "1110" & irq_reg(3 DOWNTO 0); -- basic mode WHEN "000100" => data_out_xhdl1_xhdl217 := temp_xhdl218; WHEN "000101" => data_out_xhdl1_xhdl217 := temp_xhdl219; WHEN "000110" => data_out_xhdl1_xhdl217 := temp_xhdl220; WHEN "000111" => data_out_xhdl1_xhdl217 := temp_xhdl221; WHEN "001010" => data_out_xhdl1_xhdl217 := temp_xhdl222; WHEN "001011" => data_out_xhdl1_xhdl217 := temp_xhdl223; WHEN "001100" => data_out_xhdl1_xhdl217 := temp_xhdl224; WHEN "001101" => data_out_xhdl1_xhdl217 := temp_xhdl225; WHEN "001110" => data_out_xhdl1_xhdl217 := temp_xhdl226; WHEN "001111" => data_out_xhdl1_xhdl217 := temp_xhdl227; WHEN "010000" => data_out_xhdl1_xhdl217 := temp_xhdl228; WHEN "010001" => data_out_xhdl1_xhdl217 := temp_xhdl229; WHEN "010010" => data_out_xhdl1_xhdl217 := temp_xhdl230; WHEN "010011" => data_out_xhdl1_xhdl217 := temp_xhdl231; WHEN "011111" => data_out_xhdl1_xhdl217 := clock_divider; -- basic mode WHEN OTHERS => data_out_xhdl1_xhdl217 := "00000000"; -- the rest is read as 0 END CASE; data_out_xhdl1 <= data_out_xhdl1_xhdl217; END PROCESS; temp_xhdl233 <= data_overrun_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE overrun_irq_en_basic; data_overrun_irq_en <= temp_xhdl233 ; temp_xhdl234 <= error_warning_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE error_irq_en_basic; error_warning_irq_en <= temp_xhdl234 ; temp_xhdl235 <= transmit_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE transmit_irq_en_basic; transmit_irq_en <= temp_xhdl235 ; temp_xhdl236 <= receive_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE receive_irq_en_basic; receive_irq_en <= temp_xhdl236 ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_overrun_irq <= '0'; ELSif clk'event and clk = '1' then IF (((overrun AND (NOT overrun_q)) AND data_overrun_irq_en) = '1') THEN data_overrun_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN data_overrun_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmit_irq <= '0'; ELSif clk'event and clk = '1' then IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN transmit_irq <= '0' ; ELSE IF (((transmit_buffer_status AND (NOT transmit_buffer_status_q)) AND transmit_irq_en) = '1') THEN transmit_irq <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN receive_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((NOT info_empty) AND (NOT receive_irq)) AND receive_irq_en) = '1') THEN receive_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR release_buffer_xhdl8) = '1') THEN receive_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((error_status XOR error_status_q) OR (node_bus_off XOR node_bus_off_q)) AND error_warning_irq_en) = '1') THEN error_irq <= '1' ; ELSE IF (reset_irq_reg = '1') THEN error_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_error_irq <= '0'; ELSif clk'event and clk = '1' then IF ((set_bus_error_irq AND bus_error_irq_en) = '1') THEN bus_error_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN bus_error_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_irq <= '0'; ELSif clk'event and clk = '1' then IF ((set_arbitration_lost_irq AND arbitration_lost_irq_en) = '1') THEN arbitration_lost_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN arbitration_lost_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_passive_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((node_error_passive AND (NOT node_error_passive_q)) OR (((NOT node_error_passive) AND node_error_passive_q) AND node_error_active)) AND error_passive_irq_en) = '1') THEN error_passive_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN error_passive_irq <= '0' ; END IF; END IF; END IF; END PROCESS; irq_reg <= bus_error_irq & arbitration_lost_irq & error_passive_irq & '0' & data_overrun_irq & error_irq & transmit_irq & receive_irq ; irq <= data_overrun_irq OR transmit_irq OR receive_irq OR error_irq OR bus_error_irq OR arbitration_lost_irq OR error_passive_irq ; -- irq_o reset change /Kristoffer 2006-02-23 PROCESS (clk, rst) -- BEGIN -- IF (rst = '1') THEN -- irq_n_xhdl2 <= '1'; -- ELSif clk'event and clk = '1' then -- IF (reset_irq_reg = '1' or release_buffer_xhdl8='1') THEN -- irq_n_xhdl2 <= '1'; -- ELSE -- IF (irq = '1') THEN -- irq_n_xhdl2 <= '0' ; -- END IF; -- END IF; -- END IF; -- END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1' or release_buffer_xhdl8 = '1') THEN irq_n_xhdl2 <= '1'; ELSif clk'event and clk = '1' then irq_n_xhdl2 <= not irq; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:50 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_top -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_top.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_top.v,v $ -- Revision 1.48 2004/10/25 11:44:47 igorm -- Interrupt is always cleared for one clock after the irq register is read. -- This fixes problems when CPU is using IRQs that are edge triggered. -- -- Revision 1.47 2004/02/08 14:53:54 mohor -- Header changed. Address latched to posedge. bus_off_on signal added. -- -- Revision 1.46 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.45 2003/09/30 00:55:13 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.44 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.43 2003/08/20 09:57:39 mohor -- Tristate signal tx_o is separated to tx_o and tx_oen_o. Both signals need -- to be joined together on higher level. -- -- Revision 1.42 2003/07/16 15:11:28 mohor -- Fixed according to the linter. -- -- Revision 1.41 2003/07/10 15:32:27 mohor -- Unused signal removed. -- -- Revision 1.40 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.39 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.38 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.37 2003/06/27 20:56:15 simons -- Virtual silicon ram instances added. -- -- Revision 1.36 2003/06/17 14:30:30 mohor -- "chip select" signal cs_can_i is used only when not using WISHBONE -- interface. -- -- Revision 1.35 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.34 2003/06/13 15:02:24 mohor -- Synchronization is also needed when transmitting a message. -- -- Revision 1.33 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.32 2003/06/09 11:32:36 mohor -- Ports added for the CAN_BIST. -- -- Revision 1.31 2003/03/26 11:19:46 mohor -- CAN interrupt is active low. -- -- Revision 1.30 2003/03/20 17:01:17 mohor -- unix. -- -- Revision 1.28 2003/03/14 19:36:48 mohor -- can_cs signal used for generation of the cs. -- -- Revision 1.27 2003/03/12 05:56:33 mohor -- Bidirectional port_0_i changed to port_0_io. -- input cs_can changed to cs_can_i. -- -- Revision 1.26 2003/03/12 04:39:40 mohor -- rd_i and wr_i are active high signals. If 8051 is connected, these two signals -- need to be negated one level higher. -- -- Revision 1.25 2003/03/12 04:17:36 mohor -- 8051 interface added (besides WISHBONE interface). Selection is made in -- can_defines.v file. -- -- Revision 1.24 2003/03/10 17:24:40 mohor -- wire declaration added. -- -- Revision 1.23 2003/03/05 15:33:13 mohor -- tx_o is now tristated signal. tx_oen and tx_o combined together. -- -- Revision 1.22 2003/03/05 15:01:56 mohor -- Top level signal names changed. -- -- Revision 1.21 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.20 2003/02/19 15:09:02 mohor -- Incomplete sensitivity list fixed. -- -- Revision 1.19 2003/02/19 15:04:14 mohor -- Typo fixed. -- -- Revision 1.18 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.17 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.16 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.15 2003/02/12 14:25:30 mohor -- abort_tx added. -- -- Revision 1.14 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.13 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.12 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.11 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- Revision 1.10 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.9 2003/01/15 13:16:48 mohor -- When a frame with "remote request" is received, no data is stored to -- fifo, just the frame information (identifier, ...). Data length that -- is stored is the received data length and not the actual data length -- that is stored to fifo. -- -- Revision 1.8 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.7 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.6 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.5 2003/01/08 02:10:56 mohor -- Acceptance filter added. -- -- Revision 1.4 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.3 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.2 2002/12/26 16:00:34 mohor -- Testbench define file added. Clock divider register added. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_top IS PORT ( -- wb_clk_i : IN std_logic; -- wb_rst_i : IN std_logic; -- wb_dat_i : IN std_logic_vector(7 DOWNTO 0); -- wb_dat_o : OUT std_logic_vector(7 DOWNTO 0); -- wb_cyc_i : IN std_logic; -- wb_stb_i : IN std_logic; -- wb_we_i : IN std_logic; -- wb_adr_i : IN std_logic_vector(7 DOWNTO 0); -- wb_ack_o : OUT std_logic; rst : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); cs : IN std_logic; we : IN std_logic; clk_i : IN std_logic; rx_i : IN std_logic; tx_o : OUT std_logic; bus_off_on : OUT std_logic; irq_on : OUT std_logic; clkout_o : OUT std_logic; -- Bist -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_top; ARCHITECTURE RTL OF can_top IS COMPONENT can_bsp PORT ( clk : IN std_logic; rst : IN std_logic; sample_point : IN std_logic; sampled_bit : IN std_logic; sampled_bit_q : IN std_logic; tx_point : IN std_logic; hard_sync : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; listen_only_mode : IN std_logic; acceptance_filter_mode : IN std_logic; self_test_mode : IN std_logic; release_buffer : IN std_logic; tx_request : IN std_logic; abort_tx : IN std_logic; self_rx_request : IN std_logic; single_shot_transmission: IN std_logic; tx_state : OUT std_logic; tx_state_q : OUT std_logic; overload_request : IN std_logic; overload_frame : OUT std_logic; read_arbitration_lost_capture_reg: IN std_logic; read_error_code_capture_reg: IN std_logic; error_capture_code : OUT std_logic_vector(7 DOWNTO 0); error_warning_limit : IN std_logic_vector(7 DOWNTO 0); we_rx_err_cnt : IN std_logic; we_tx_err_cnt : IN std_logic; extended_mode : IN std_logic; rx_idle : OUT std_logic; transmitting : OUT std_logic; transmitter : OUT std_logic; go_rx_inter : OUT std_logic; not_first_bit_of_inter : OUT std_logic; rx_inter : OUT std_logic; set_reset_mode : OUT std_logic; node_bus_off : OUT std_logic; error_status : OUT std_logic; rx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); tx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); transmit_status : OUT std_logic; receive_status : OUT std_logic; tx_successful : OUT std_logic; need_to_tx : OUT std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; set_bus_error_irq : OUT std_logic; set_arbitration_lost_irq: OUT std_logic; arbitration_lost_capture: OUT std_logic_vector(4 DOWNTO 0); node_error_passive : OUT std_logic; node_error_active : OUT std_logic; rx_message_counter : OUT std_logic_vector(6 DOWNTO 0); acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_0 : IN std_logic_vector(7 DOWNTO 0); tx_data_1 : IN std_logic_vector(7 DOWNTO 0); tx_data_2 : IN std_logic_vector(7 DOWNTO 0); tx_data_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_4 : IN std_logic_vector(7 DOWNTO 0); tx_data_5 : IN std_logic_vector(7 DOWNTO 0); tx_data_6 : IN std_logic_vector(7 DOWNTO 0); tx_data_7 : IN std_logic_vector(7 DOWNTO 0); tx_data_8 : IN std_logic_vector(7 DOWNTO 0); tx_data_9 : IN std_logic_vector(7 DOWNTO 0); tx_data_10 : IN std_logic_vector(7 DOWNTO 0); tx_data_11 : IN std_logic_vector(7 DOWNTO 0); tx_data_12 : IN std_logic_vector(7 DOWNTO 0); tx : OUT std_logic; tx_next : OUT std_logic; bus_off_on : OUT std_logic; go_overload_frame : OUT std_logic; go_error_frame : OUT std_logic; go_tx : OUT std_logic; send_ack : OUT std_logic; q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END COMPONENT; COMPONENT can_btl PORT ( clk : IN std_logic; rst : IN std_logic; rx : IN std_logic; tx : IN std_logic; baud_r_presc : IN std_logic_vector(5 DOWNTO 0); sync_jump_width : IN std_logic_vector(1 DOWNTO 0); time_segment1 : IN std_logic_vector(3 DOWNTO 0); time_segment2 : IN std_logic_vector(2 DOWNTO 0); triple_sampling : IN std_logic; sample_point : OUT std_logic; sampled_bit : OUT std_logic; sampled_bit_q : OUT std_logic; tx_point : OUT std_logic; hard_sync : OUT std_logic; rx_idle : IN std_logic; rx_inter : IN std_logic; transmitting : IN std_logic; transmitter : IN std_logic; go_rx_inter : IN std_logic; tx_next : IN std_logic; go_overload_frame : IN std_logic; go_error_frame : IN std_logic; go_tx : IN std_logic; send_ack : IN std_logic; node_error_passive : IN std_logic); END COMPONENT; COMPONENT can_registers PORT ( clk : IN std_logic; rst : IN std_logic; cs : IN std_logic; we : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); irq_n : OUT std_logic; sample_point : IN std_logic; transmitting : IN std_logic; set_reset_mode : IN std_logic; node_bus_off : IN std_logic; error_status : IN std_logic; rx_err_cnt : IN std_logic_vector(7 DOWNTO 0); tx_err_cnt : IN std_logic_vector(7 DOWNTO 0); transmit_status : IN std_logic; receive_status : IN std_logic; tx_successful : IN std_logic; need_to_tx : IN std_logic; overrun : IN std_logic; info_empty : IN std_logic; set_bus_error_irq : IN std_logic; set_arbitration_lost_irq: IN std_logic; arbitration_lost_capture: IN std_logic_vector(4 DOWNTO 0); node_error_passive : IN std_logic; node_error_active : IN std_logic; rx_message_counter : IN std_logic_vector(6 DOWNTO 0); reset_mode : OUT std_logic; listen_only_mode : OUT std_logic; acceptance_filter_mode : OUT std_logic; self_test_mode : OUT std_logic; clear_data_overrun : OUT std_logic; release_buffer : OUT std_logic; abort_tx : OUT std_logic; tx_request : OUT std_logic; self_rx_request : OUT std_logic; single_shot_transmission: OUT std_logic; tx_state : IN std_logic; tx_state_q : IN std_logic; overload_request : OUT std_logic; overload_frame : IN std_logic; read_arbitration_lost_capture_reg: OUT std_logic; read_error_code_capture_reg: OUT std_logic; error_capture_code : IN std_logic_vector(7 DOWNTO 0); baud_r_presc : OUT std_logic_vector(5 DOWNTO 0); sync_jump_width : OUT std_logic_vector(1 DOWNTO 0); time_segment1 : OUT std_logic_vector(3 DOWNTO 0); time_segment2 : OUT std_logic_vector(2 DOWNTO 0); triple_sampling : OUT std_logic; error_warning_limit : OUT std_logic_vector(7 DOWNTO 0); we_rx_err_cnt : OUT std_logic; we_tx_err_cnt : OUT std_logic; extended_mode : OUT std_logic; clkout : OUT std_logic; acceptance_code_0 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_3 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_0 : OUT std_logic_vector(7 DOWNTO 0); tx_data_1 : OUT std_logic_vector(7 DOWNTO 0); tx_data_2 : OUT std_logic_vector(7 DOWNTO 0); tx_data_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_4 : OUT std_logic_vector(7 DOWNTO 0); tx_data_5 : OUT std_logic_vector(7 DOWNTO 0); tx_data_6 : OUT std_logic_vector(7 DOWNTO 0); tx_data_7 : OUT std_logic_vector(7 DOWNTO 0); tx_data_8 : OUT std_logic_vector(7 DOWNTO 0); tx_data_9 : OUT std_logic_vector(7 DOWNTO 0); tx_data_10 : OUT std_logic_vector(7 DOWNTO 0); tx_data_11 : OUT std_logic_vector(7 DOWNTO 0); tx_data_12 : OUT std_logic_vector(7 DOWNTO 0)); END COMPONENT; -- SIGNAL cs_sync1 : std_logic; -- SIGNAL cs_sync2 : std_logic; -- SIGNAL cs_sync3 : std_logic; -- SIGNAL cs_ack1 : std_logic; -- SIGNAL cs_ack2 : std_logic; -- SIGNAL cs_ack3 : std_logic; -- SIGNAL cs_sync_rst1 : std_logic; -- SIGNAL cs_sync_rst2 : std_logic; -- SIGNAL cs_can_i : std_logic; --------------------------------- SIGNAL data_out_fifo_selected : std_logic; SIGNAL data_out_fifo : std_logic_vector(7 DOWNTO 0); SIGNAL data_out_regs : std_logic_vector(7 DOWNTO 0); -- Mode register SIGNAL reset_mode : std_logic; SIGNAL listen_only_mode : std_logic; SIGNAL acceptance_filter_mode : std_logic; SIGNAL self_test_mode : std_logic; -- Command register SIGNAL release_buffer : std_logic; SIGNAL tx_request : std_logic; SIGNAL abort_tx : std_logic; SIGNAL self_rx_request : std_logic; SIGNAL single_shot_transmission : std_logic; SIGNAL tx_state : std_logic; SIGNAL tx_state_q : std_logic; SIGNAL overload_request : std_logic; SIGNAL overload_frame : std_logic; -- Arbitration Lost Capture Register SIGNAL read_arbitration_lost_capture_reg: std_logic; -- Error Code Capture Register SIGNAL read_error_code_capture_reg : std_logic; SIGNAL error_capture_code : std_logic_vector(7 DOWNTO 0); -- Bus Timing 0 register SIGNAL baud_r_presc : std_logic_vector(5 DOWNTO 0); SIGNAL sync_jump_width : std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register SIGNAL time_segment1 : std_logic_vector(3 DOWNTO 0); SIGNAL time_segment2 : std_logic_vector(2 DOWNTO 0); SIGNAL triple_sampling : std_logic; -- Error Warning Limit register SIGNAL error_warning_limit : std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register SIGNAL we_rx_err_cnt : std_logic; -- Tx Error Counter register SIGNAL we_tx_err_cnt : std_logic; -- Clock Divider register SIGNAL extended_mode : std_logic; -- This section is for BASIC and EXTENDED mode -- Acceptance code register SIGNAL acceptance_code_0 : std_logic_vector(7 DOWNTO 0); -- Acceptance mask register SIGNAL acceptance_mask_0 : std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register SIGNAL acceptance_code_1 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_2 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_3 : std_logic_vector(7 DOWNTO 0); -- Acceptance mask register SIGNAL acceptance_mask_1 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_2 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_3 : std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data SIGNAL tx_data_0 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_1 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_2 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_3 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_4 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_5 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_6 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_7 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_8 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_9 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_10 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_11 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_12 : std_logic_vector(7 DOWNTO 0); -- End: Tx data registers -- SIGNAL cs : std_logic; -- Output signals from can_btl module SIGNAL sample_point : std_logic; SIGNAL sampled_bit : std_logic; SIGNAL sampled_bit_q : std_logic; SIGNAL tx_point : std_logic; SIGNAL hard_sync : std_logic; -- output from can_bsp module SIGNAL rx_idle : std_logic; SIGNAL transmitting : std_logic; SIGNAL transmitter : std_logic; SIGNAL go_rx_inter : std_logic; SIGNAL not_first_bit_of_inter : std_logic; SIGNAL set_reset_mode : std_logic; SIGNAL node_bus_off : std_logic; SIGNAL error_status : std_logic; SIGNAL rx_err_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL tx_err_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL rx_err_cnt_dummy : std_logic; -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL tx_err_cnt_dummy : std_logic; -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL transmit_status : std_logic; SIGNAL receive_status : std_logic; SIGNAL tx_successful : std_logic; SIGNAL need_to_tx : std_logic; SIGNAL overrun : std_logic; SIGNAL info_empty : std_logic; SIGNAL set_bus_error_irq : std_logic; SIGNAL set_arbitration_lost_irq : std_logic; SIGNAL arbitration_lost_capture : std_logic_vector(4 DOWNTO 0); SIGNAL node_error_passive : std_logic; SIGNAL node_error_active : std_logic; SIGNAL rx_message_counter : std_logic_vector(6 DOWNTO 0); SIGNAL tx_next : std_logic; SIGNAL go_overload_frame : std_logic; SIGNAL go_error_frame : std_logic; SIGNAL go_tx : std_logic; SIGNAL send_ack : std_logic; -- SIGNAL rst : std_logic; -- SIGNAL we : std_logic; -- SIGNAL addr : std_logic_vector(7 DOWNTO 0); -- SIGNAL data_in : std_logic_vector(7 DOWNTO 0); -- SIGNAL data_out : std_logic_vector(7 DOWNTO 0); SIGNAL rx_sync_tmp : std_logic; SIGNAL rx_sync : std_logic; -- port connections for Ram --64x8 SIGNAL w_q_dp_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_data_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_wren_64x8 : std_logic; SIGNAL w_rden_64x8 : std_logic; SIGNAL w_wraddress_64x8 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x8 : std_logic_vector(5 DOWNTO 0); --64x4 SIGNAL w_q_dp_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_data_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_wren_64x4x1 : std_logic; SIGNAL w_wraddress_64x4x1 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x4x1 : std_logic_vector(5 DOWNTO 0); --64x1 SIGNAL w_q_dp_64x1 : std_logic; SIGNAL w_data_64x1 : std_logic; -- From btl module -- Mode register -- Command register -- Arbitration Lost Capture Register -- Error Code Capture Register -- Error Warning Limit register -- Rx Error Counter register -- Tx Error Counter register -- Clock Divider register -- output from can_bsp module SIGNAL xhdl_148 : std_logic_vector(8 DOWNTO 0); -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL xhdl_150 : std_logic_vector(8 DOWNTO 0); -- SIGNAL wb_dat_o_xhdl1 : std_logic_vector(7 DOWNTO 0); -- SIGNAL wb_ack_o_xhdl2 : std_logic; SIGNAL tx_o_xhdl3 : std_logic; SIGNAL bus_off_on_xhdl4 : std_logic; SIGNAL irq_on_xhdl5 : std_logic; SIGNAL clkout_o_xhdl6 : std_logic; SIGNAL data_64x8_xhdl7 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl8 : std_logic; SIGNAL rden_64x8_xhdl9 : std_logic; SIGNAL wraddress_64x8_xhdl10 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl11 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl12 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl13 : std_logic; SIGNAL wraddress_64x4x1_xhdl14 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl15 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl16 : std_logic; SIGNAL rx_inter : std_logic; BEGIN -- wb_dat_o <= wb_dat_o_xhdl1; -- wb_ack_o <= wb_ack_o_xhdl2; tx_o <= tx_o_xhdl3; bus_off_on <= bus_off_on_xhdl4; irq_on <= irq_on_xhdl5; clkout_o <= clkout_o_xhdl6; data_64x8 <= data_64x8_xhdl7; wren_64x8 <= wren_64x8_xhdl8; rden_64x8 <= rden_64x8_xhdl9; wraddress_64x8 <= wraddress_64x8_xhdl10; rdaddress_64x8 <= rdaddress_64x8_xhdl11; data_64x4 <= data_64x4_xhdl12; wren_64x4x1 <= wren_64x4x1_xhdl13; wraddress_64x4x1 <= wraddress_64x4x1_xhdl14; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl15; data_64x1 <= data_64x1_xhdl16; -- port connections for Ram --64x8 w_q_dp_64x8 <= q_dp_64x8 ; data_64x8_xhdl7 <= w_data_64x8 ; wren_64x8_xhdl8 <= w_wren_64x8 ; rden_64x8_xhdl9 <= w_rden_64x8 ; wraddress_64x8_xhdl10 <= w_wraddress_64x8 ; rdaddress_64x8_xhdl11 <= w_rdaddress_64x8 ; --64x4 w_q_dp_64x4 <= q_dp_64x4 ; data_64x4_xhdl12 <= w_data_64x4 ; wren_64x4x1_xhdl13 <= w_wren_64x4x1 ; wraddress_64x4x1_xhdl14 <= w_wraddress_64x4x1 ; rdaddress_64x4x1_xhdl15 <= w_rdaddress_64x4x1 ; --64x1 w_q_dp_64x1 <= q_dp_64x1 ; data_64x1_xhdl16 <= w_data_64x1 ; -- Connecting can_registers module -- Mode register -- Command register -- Arbitration Lost Capture Register -- Error Code Capture Register -- Bus Timing 0 register -- Bus Timing 1 register -- Error Warning Limit register -- Rx Error Counter register -- Tx Error Counter register -- Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data -- End: Tx data registers i_can_registers : can_registers PORT MAP ( clk => clk_i, rst => rst, cs => cs, we => we, addr => addr, data_in => data_in, data_out => data_out_regs, irq_n => irq_on_xhdl5, sample_point => sample_point, transmitting => transmitting, set_reset_mode => set_reset_mode, node_bus_off => node_bus_off, error_status => error_status, rx_err_cnt => rx_err_cnt, tx_err_cnt => tx_err_cnt, transmit_status => transmit_status, receive_status => receive_status, tx_successful => tx_successful, need_to_tx => need_to_tx, overrun => overrun, info_empty => info_empty, set_bus_error_irq => set_bus_error_irq, set_arbitration_lost_irq => set_arbitration_lost_irq, arbitration_lost_capture => arbitration_lost_capture, node_error_passive => node_error_passive, node_error_active => node_error_active, rx_message_counter => rx_message_counter, reset_mode => reset_mode, listen_only_mode => listen_only_mode, acceptance_filter_mode => acceptance_filter_mode, self_test_mode => self_test_mode, clear_data_overrun => open, release_buffer => release_buffer, abort_tx => abort_tx, tx_request => tx_request, self_rx_request => self_rx_request, single_shot_transmission => single_shot_transmission, tx_state => tx_state, tx_state_q => tx_state_q, overload_request => overload_request, overload_frame => overload_frame, read_arbitration_lost_capture_reg => read_arbitration_lost_capture_reg, read_error_code_capture_reg => read_error_code_capture_reg, error_capture_code => error_capture_code, baud_r_presc => baud_r_presc, sync_jump_width => sync_jump_width, time_segment1 => time_segment1, time_segment2 => time_segment2, triple_sampling => triple_sampling, error_warning_limit => error_warning_limit, we_rx_err_cnt => we_rx_err_cnt, we_tx_err_cnt => we_tx_err_cnt, extended_mode => extended_mode, clkout => clkout_o_xhdl6, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, tx_data_0 => tx_data_0, tx_data_1 => tx_data_1, tx_data_2 => tx_data_2, tx_data_3 => tx_data_3, tx_data_4 => tx_data_4, tx_data_5 => tx_data_5, tx_data_6 => tx_data_6, tx_data_7 => tx_data_7, tx_data_8 => tx_data_8, tx_data_9 => tx_data_9, tx_data_10 => tx_data_10, tx_data_11 => tx_data_11, tx_data_12 => tx_data_12); -- Connecting can_btl module -- Bus Timing 0 register -- Bus Timing 1 register -- Output signals from this module -- output from can_bsp module i_can_btl : can_btl PORT MAP ( clk => clk_i, rst => rst, rx => rx_sync, tx => tx_o_xhdl3, baud_r_presc => baud_r_presc, sync_jump_width => sync_jump_width, time_segment1 => time_segment1, time_segment2 => time_segment2, triple_sampling => triple_sampling, sample_point => sample_point, sampled_bit => sampled_bit, sampled_bit_q => sampled_bit_q, tx_point => tx_point, hard_sync => hard_sync, rx_idle => rx_idle, rx_inter => rx_inter, transmitting => transmitting, transmitter => transmitter, go_rx_inter => go_rx_inter, tx_next => tx_next, go_overload_frame => go_overload_frame, go_error_frame => go_error_frame, go_tx => go_tx, send_ack => send_ack, node_error_passive => node_error_passive); -- xhdl_148 <= rx_err_cnt_dummy & rx_err_cnt(7 DOWNTO 0); rx_err_cnt_dummy <= xhdl_148(8); rx_err_cnt(7 DOWNTO 0) <= xhdl_148(7 DOWNTO 0); -- xhdl_150 <= tx_err_cnt_dummy & tx_err_cnt(7 DOWNTO 0); tx_err_cnt_dummy <= xhdl_150(8); tx_err_cnt(7 DOWNTO 0) <= xhdl_150(7 DOWNTO 0); -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data -- End: Tx data registers -- Tx signal -- port connections for Ram --64x8 --64x4 --64x1 i_can_bsp : can_bsp PORT MAP ( clk => clk_i, rst => rst, sample_point => sample_point, sampled_bit => sampled_bit, sampled_bit_q => sampled_bit_q, tx_point => tx_point, hard_sync => hard_sync, addr => addr, data_in => data_in, data_out => data_out_fifo, fifo_selected => data_out_fifo_selected, reset_mode => reset_mode, listen_only_mode => listen_only_mode, acceptance_filter_mode => acceptance_filter_mode, self_test_mode => self_test_mode, release_buffer => release_buffer, tx_request => tx_request, abort_tx => abort_tx, self_rx_request => self_rx_request, single_shot_transmission => single_shot_transmission, tx_state => tx_state, tx_state_q => tx_state_q, overload_request => overload_request, overload_frame => overload_frame, read_arbitration_lost_capture_reg => read_arbitration_lost_capture_reg, read_error_code_capture_reg => read_error_code_capture_reg, error_capture_code => error_capture_code, error_warning_limit => error_warning_limit, we_rx_err_cnt => we_rx_err_cnt, we_tx_err_cnt => we_tx_err_cnt, extended_mode => extended_mode, rx_idle => rx_idle, transmitting => transmitting, transmitter => transmitter, go_rx_inter => go_rx_inter, not_first_bit_of_inter => not_first_bit_of_inter, rx_inter => rx_inter, set_reset_mode => set_reset_mode, node_bus_off => node_bus_off, error_status => error_status, rx_err_cnt => xhdl_148, tx_err_cnt => xhdl_150, transmit_status => transmit_status, receive_status => receive_status, tx_successful => tx_successful, need_to_tx => need_to_tx, overrun => overrun, info_empty => info_empty, set_bus_error_irq => set_bus_error_irq, set_arbitration_lost_irq => set_arbitration_lost_irq, arbitration_lost_capture => arbitration_lost_capture, node_error_passive => node_error_passive, node_error_active => node_error_active, rx_message_counter => rx_message_counter, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, tx_data_0 => tx_data_0, tx_data_1 => tx_data_1, tx_data_2 => tx_data_2, tx_data_3 => tx_data_3, tx_data_4 => tx_data_4, tx_data_5 => tx_data_5, tx_data_6 => tx_data_6, tx_data_7 => tx_data_7, tx_data_8 => tx_data_8, tx_data_9 => tx_data_9, tx_data_10 => tx_data_10, tx_data_11 => tx_data_11, tx_data_12 => tx_data_12, tx => tx_o_xhdl3, tx_next => tx_next, bus_off_on => bus_off_on_xhdl4, go_overload_frame => go_overload_frame, go_error_frame => go_error_frame, go_tx => go_tx, send_ack => send_ack, q_dp_64x8 => w_q_dp_64x8, data_64x8 => w_data_64x8, wren_64x8 => w_wren_64x8, rden_64x8 => w_rden_64x8, wraddress_64x8 => w_wraddress_64x8, rdaddress_64x8 => w_rdaddress_64x8, q_dp_64x4 => w_q_dp_64x4, data_64x4 => w_data_64x4, wren_64x4x1 => w_wren_64x4x1, wraddress_64x4x1 => w_wraddress_64x4x1, rdaddress_64x4x1 => w_rdaddress_64x4x1, q_dp_64x1 => w_q_dp_64x1, data_64x1 => w_data_64x1); -- Multiplexing wb_dat_o from registers and rx fifo PROCESS (extended_mode, addr, reset_mode) VARIABLE data_out_fifo_selected_xhdl203 : std_logic; BEGIN IF ((((extended_mode AND (NOT reset_mode)) AND CONV_STD_LOGIC((addr >= "00010000") AND (addr<="00011100"))) OR ((NOT extended_mode) AND CONV_STD_LOGIC((addr >= "00010100") AND (addr<="00011101")))) = '1') THEN data_out_fifo_selected_xhdl203 := '1'; ELSE data_out_fifo_selected_xhdl203 := '0'; END IF; data_out_fifo_selected <= data_out_fifo_selected_xhdl203; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cs AND (NOT we)) = '1') THEN IF (data_out_fifo_selected = '1') THEN data_out <= data_out_fifo ; ELSE data_out <= data_out_regs ; END IF; END IF; END IF; END PROCESS; PROCESS (clk_i, rst) BEGIN IF (rst = '1') THEN rx_sync_tmp <= '1'; rx_sync <= '1'; ELSIF (clk_i'EVENT AND clk_i = '1') THEN rx_sync_tmp <= rx_i ; rx_sync <= rx_sync_tmp ; END IF; END PROCESS; -- cs_can_i <= '1' ; -- Combining wb_cyc_i and wb_stb_i signals to cs signal. Than synchronizing to clk_i clock domain. -- PROCESS (clk_i, rst) -- BEGIN -- IF (rst = '1') THEN -- cs_sync1 <= '0'; -- cs_sync2 <= '0'; -- cs_sync3 <= '0'; -- cs_sync_rst1 <= '0'; -- cs_sync_rst2 <= '0'; -- ELSIF (clk_i'EVENT AND clk_i = '1') THEN -- cs_sync1 <= ((wb_cyc_i AND wb_stb_i) AND (NOT cs_sync_rst2)) AND cs_can_i ; -- cs_sync2 <= cs_sync1 AND (NOT cs_sync_rst2) ; -- cs_sync3 <= cs_sync2 AND (NOT cs_sync_rst2) ; -- cs_sync_rst1 <= cs_ack3 ; -- cs_sync_rst2 <= cs_sync_rst1 ; -- END IF; -- END PROCESS; -- cs <= cs_sync2 AND (NOT cs_sync3) ; -- -- PROCESS (wb_clk_i) -- BEGIN -- IF (wb_clk_i'EVENT AND wb_clk_i = '1') THEN -- cs_ack1 <= cs_sync3 ; -- cs_ack2 <= cs_ack1 ; -- cs_ack3 <= cs_ack2 ; -- END IF; -- END PROCESS; -- Generating acknowledge signal -- PROCESS (wb_clk_i) -- BEGIN -- IF (wb_clk_i'EVENT AND wb_clk_i = '1') THEN -- wb_ack_o_xhdl2 <= cs_ack2 AND (NOT cs_ack3) ; -- END IF; -- END PROCESS; -- rst <= wb_rst_i ; -- we <= wb_we_i ; -- addr <= wb_adr_i ; -- data_in <= wb_dat_i ; -- wb_dat_o_xhdl1 <= data_out ; END ARCHITECTURE RTL;
---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:50 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_acf -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_acf.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_acf.v,v $ -- Revision 1.10 2005/04/08 13:03:07 igorm -- In "Extended mode" when dual filter was used and standard frame received, -- upper nibble of the data was not filtered ok. -- -- Revision 1.9 2004/05/31 14:46:11 igorm -- Bit acceptance_filter_mode was inverted. -- -- Revision 1.8 2004/02/08 14:16:44 mohor -- Header changed. -- -- Revision 1.7 2003/07/16 13:41:34 mohor -- Fixed according to the linter. -- -- Revision 1.6 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.5 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.4 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.3 2003/01/31 01:13:37 mohor -- backup. -- -- Revision 1.2 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.1 2003/01/08 02:13:15 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_acf IS PORT ( clk : IN std_logic; rst : IN std_logic; id : IN std_logic_vector(28 DOWNTO 0); reset_mode : IN std_logic; acceptance_filter_mode : IN std_logic; extended_mode : IN std_logic; acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); go_rx_crc_lim : IN std_logic; go_rx_inter : IN std_logic; go_error_frame : IN std_logic; data0 : IN std_logic_vector(7 DOWNTO 0); data1 : IN std_logic_vector(7 DOWNTO 0); rtr1 : IN std_logic; rtr2 : IN std_logic; ide : IN std_logic; no_byte0 : IN std_logic; no_byte1 : IN std_logic; id_ok : OUT std_logic); END ENTITY can_acf; ARCHITECTURE RTL OF can_acf IS SIGNAL match : std_logic; SIGNAL match_sf_std : std_logic; SIGNAL match_sf_ext : std_logic; SIGNAL match_df_std : std_logic; SIGNAL match_df_ext : std_logic; SIGNAL id_ok_xhdl1 : std_logic; BEGIN id_ok <= id_ok_xhdl1; -- Working in basic mode. ID match for standard format (11-bit ID). match <= (((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7)) ; -- Working in extended mode. ID match for standard format (11-bit ID). Using single filter. match_sf_std <= (((((((((((((((((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(data0(0) = acceptance_code_2(0)) OR acceptance_mask_2(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(1) = acceptance_code_2(1)) OR acceptance_mask_2(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(2) = acceptance_code_2(2)) OR acceptance_mask_2(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(3) = acceptance_code_2(3)) OR acceptance_mask_2(3) OR no_byte0)) AND (CONV_STD_LOGIC(data0(4) = acceptance_code_2(4)) OR acceptance_mask_2(4) OR no_byte0)) AND (CONV_STD_LOGIC(data0(5) = acceptance_code_2(5)) OR acceptance_mask_2(5) OR no_byte0)) AND (CONV_STD_LOGIC(data0(6) = acceptance_code_2(6)) OR acceptance_mask_2(6) OR no_byte0)) AND (CONV_STD_LOGIC(data0(7) = acceptance_code_2(7)) OR acceptance_mask_2(7) OR no_byte0)) AND (CONV_STD_LOGIC(data1(0) = acceptance_code_3(0)) OR acceptance_mask_3(0) OR no_byte1)) AND (CONV_STD_LOGIC(data1(1) = acceptance_code_3(1)) OR acceptance_mask_3(1) OR no_byte1)) AND (CONV_STD_LOGIC(data1(2) = acceptance_code_3(2)) OR acceptance_mask_3(2) OR no_byte1)) AND (CONV_STD_LOGIC(data1(3) = acceptance_code_3(3)) OR acceptance_mask_3(3) OR no_byte1)) AND (CONV_STD_LOGIC(data1(4) = acceptance_code_3(4)) OR acceptance_mask_3(4) OR no_byte1)) AND (CONV_STD_LOGIC(data1(5) = acceptance_code_3(5)) OR acceptance_mask_3(5) OR no_byte1)) AND (CONV_STD_LOGIC(data1(6) = acceptance_code_3(6)) OR acceptance_mask_3(6) OR no_byte1)) AND (CONV_STD_LOGIC(data1(7) = acceptance_code_3(7)) OR acceptance_mask_3(7) OR no_byte1) ; -- Working in extended mode. ID match for extended format (29-bit ID). Using single filter. match_sf_ext <= (((((((((((((((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_1(0)) OR acceptance_mask_1(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_1(1)) OR acceptance_mask_1(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_1(2)) OR acceptance_mask_1(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_1(3)) OR acceptance_mask_1(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_2(0)) OR acceptance_mask_2(0))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(11) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(12) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(rtr2 = acceptance_code_3(2)) OR acceptance_mask_3(2))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_3(3)) OR acceptance_mask_3(3))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(3) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(4) = acceptance_code_3(7)) OR acceptance_mask_3(7)) ; -- Working in extended mode. ID match for standard format (11-bit ID). Using double filter. match_df_std <= ((((((((((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_1(7)) OR acceptance_mask_1(7))) AND (CONV_STD_LOGIC(data0(0) = acceptance_code_3(0)) OR acceptance_mask_3(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(1) = acceptance_code_3(1)) OR acceptance_mask_3(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(2) = acceptance_code_3(2)) OR acceptance_mask_3(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(3) = acceptance_code_3(3)) OR acceptance_mask_3(3) OR no_byte0)) AND (CONV_STD_LOGIC(data0(4) = acceptance_code_1(0)) OR acceptance_mask_1(0) OR no_byte0)) AND (CONV_STD_LOGIC(data0(5) = acceptance_code_1(1)) OR acceptance_mask_1(1) OR no_byte0)) AND (CONV_STD_LOGIC(data0(6) = acceptance_code_1(2)) OR acceptance_mask_1(2) OR no_byte0)) AND (CONV_STD_LOGIC(data0(7) = acceptance_code_1(3)) OR acceptance_mask_1(3) OR no_byte0)) OR ((((((((((((CONV_STD_LOGIC(id(3) = acceptance_code_2(0)) OR acceptance_mask_2(0)) AND (CONV_STD_LOGIC(id(4) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(5) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(6) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(7) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(8) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(9) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(10) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(rtr1 = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(0) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(1) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(2) = acceptance_code_3(7)) OR acceptance_mask_3(7))) ; -- Working in extended mode. ID match for extended format (29-bit ID). Using double filter. match_df_ext <= ((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_0(0)) OR acceptance_mask_0(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_0(1)) OR acceptance_mask_0(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_0(2)) OR acceptance_mask_0(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_0(3)) OR acceptance_mask_0(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_0(4)) OR acceptance_mask_0(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_0(5)) OR acceptance_mask_0(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_0(6)) OR acceptance_mask_0(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_0(7)) OR acceptance_mask_0(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_1(0)) OR acceptance_mask_1(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_1(1)) OR acceptance_mask_1(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_1(2)) OR acceptance_mask_1(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_1(3)) OR acceptance_mask_1(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_1(4)) OR acceptance_mask_1(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_1(5)) OR acceptance_mask_1(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_1(6)) OR acceptance_mask_1(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_1(7)) OR acceptance_mask_1(7))) OR ((((((((((((((((CONV_STD_LOGIC(id(21) = acceptance_code_2(0)) OR acceptance_mask_2(0)) AND (CONV_STD_LOGIC(id(22) = acceptance_code_2(1)) OR acceptance_mask_2(1))) AND (CONV_STD_LOGIC(id(23) = acceptance_code_2(2)) OR acceptance_mask_2(2))) AND (CONV_STD_LOGIC(id(24) = acceptance_code_2(3)) OR acceptance_mask_2(3))) AND (CONV_STD_LOGIC(id(25) = acceptance_code_2(4)) OR acceptance_mask_2(4))) AND (CONV_STD_LOGIC(id(26) = acceptance_code_2(5)) OR acceptance_mask_2(5))) AND (CONV_STD_LOGIC(id(27) = acceptance_code_2(6)) OR acceptance_mask_2(6))) AND (CONV_STD_LOGIC(id(28) = acceptance_code_2(7)) OR acceptance_mask_2(7))) AND (CONV_STD_LOGIC(id(13) = acceptance_code_3(0)) OR acceptance_mask_3(0))) AND (CONV_STD_LOGIC(id(14) = acceptance_code_3(1)) OR acceptance_mask_3(1))) AND (CONV_STD_LOGIC(id(15) = acceptance_code_3(2)) OR acceptance_mask_3(2))) AND (CONV_STD_LOGIC(id(16) = acceptance_code_3(3)) OR acceptance_mask_3(3))) AND (CONV_STD_LOGIC(id(17) = acceptance_code_3(4)) OR acceptance_mask_3(4))) AND (CONV_STD_LOGIC(id(18) = acceptance_code_3(5)) OR acceptance_mask_3(5))) AND (CONV_STD_LOGIC(id(19) = acceptance_code_3(6)) OR acceptance_mask_3(6))) AND (CONV_STD_LOGIC(id(20) = acceptance_code_3(7)) OR acceptance_mask_3(7))) ; -- ID ok signal generation PROCESS (clk, rst) BEGIN IF (rst = '1') THEN id_ok_xhdl1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_rx_crc_lim = '1') THEN -- sample_point is already included in go_rx_crc_lim IF (extended_mode = '1') THEN IF (NOT acceptance_filter_mode = '1') THEN -- dual filter IF (ide = '1') THEN -- extended frame message id_ok_xhdl1 <= match_df_ext ; ELSE -- standard frame message id_ok_xhdl1 <= match_df_std ; END IF; ELSE -- single filter IF (ide = '1') THEN -- extended frame message id_ok_xhdl1 <= match_sf_ext ; ELSE -- standard frame message id_ok_xhdl1 <= match_sf_std ; END IF; END IF; ELSE id_ok_xhdl1 <= match ; END IF; ELSE IF ((reset_mode OR go_rx_inter OR go_error_frame) = '1') THEN -- sample_point is already included in go_rx_inter id_ok_xhdl1 <= '0' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_btl -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_btl.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_btl.v,v $ -- Revision 1.30 2004/10/27 18:51:37 igorm -- Fixed synchronization problem in real hardware when 0xf is used for TSEG1. -- -- Revision 1.29 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.28 2004/02/08 14:25:26 mohor -- Header changed. -- -- Revision 1.27 2003/09/30 00:55:13 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.26 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.25 2003/07/16 13:40:35 mohor -- Fixed according to the linter. -- -- Revision 1.24 2003/07/10 15:32:28 mohor -- Unused signal removed. -- -- Revision 1.23 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.22 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.21 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.20 2003/06/20 14:51:11 mohor -- Previous change removed. When resynchronization occurs we go to seg1 -- stage. sync stage does not cause another start of seg1 stage. -- -- Revision 1.19 2003/06/20 14:28:20 mohor -- When hard_sync or resync occure we need to go to seg1 segment. Going to -- sync segment is in that case blocked. -- -- Revision 1.18 2003/06/17 15:53:33 mohor -- clk_cnt reduced from [8:0] to [6:0]. -- -- Revision 1.17 2003/06/17 14:32:17 mohor -- Removed few signals. -- -- Revision 1.16 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.15 2003/06/13 15:02:24 mohor -- Synchronization is also needed when transmitting a message. -- -- Revision 1.14 2003/06/13 14:55:11 mohor -- Counters width changed. -- -- Revision 1.13 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.12 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.11 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.10 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.9 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.8 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.7 2003/01/08 02:10:53 mohor -- Acceptance filter added. -- -- Revision 1.6 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.5 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.4 2002/12/26 01:33:05 mohor -- Tripple sampling supported. -- -- Revision 1.3 2002/12/25 23:44:16 mohor -- Commented lines removed. -- -- Revision 1.2 2002/12/25 14:17:00 mohor -- Synchronization working. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_btl IS PORT ( clk : IN std_logic; rst : IN std_logic; rx : IN std_logic; tx : IN std_logic; -- Bus Timing 0 register baud_r_presc : IN std_logic_vector(5 DOWNTO 0); sync_jump_width : IN std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register time_segment1 : IN std_logic_vector(3 DOWNTO 0); time_segment2 : IN std_logic_vector(2 DOWNTO 0); triple_sampling : IN std_logic; -- Output signals from this module sample_point : OUT std_logic; sampled_bit : OUT std_logic; sampled_bit_q : OUT std_logic; tx_point : OUT std_logic; hard_sync : OUT std_logic; -- Output from can_bsp module rx_idle : IN std_logic; rx_inter : IN std_logic; transmitting : IN std_logic; transmitter : IN std_logic; go_rx_inter : IN std_logic; tx_next : IN std_logic; go_overload_frame : IN std_logic; go_error_frame : IN std_logic; go_tx : IN std_logic; send_ack : IN std_logic; node_error_passive : IN std_logic); END ENTITY can_btl; ARCHITECTURE RTL OF can_btl IS TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL clk_cnt : std_logic_vector(6 DOWNTO 0); SIGNAL clk_en : std_logic; SIGNAL clk_en_q : std_logic; SIGNAL sync_blocked : std_logic; SIGNAL hard_sync_blocked : std_logic; SIGNAL quant_cnt : std_logic_vector(4 DOWNTO 0); SIGNAL delay : std_logic_vector(3 DOWNTO 0); SIGNAL sync : std_logic; SIGNAL seg1 : std_logic; SIGNAL seg2 : std_logic; SIGNAL resync_latched : std_logic; SIGNAL sample : std_logic_vector(1 DOWNTO 0); SIGNAL tx_next_sp : std_logic; SIGNAL go_sync : std_logic; SIGNAL go_seg1 : std_logic; SIGNAL go_seg2 : std_logic; SIGNAL preset_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL sync_window : std_logic; SIGNAL resync : std_logic; -- when transmitting 0 with positive error delay is set to 0 SIGNAL temp_xhdl6 : std_logic_vector(4 DOWNTO 0); SIGNAL sample_point_xhdl1 : std_logic; SIGNAL sampled_bit_xhdl2 : std_logic; SIGNAL sampled_bit_q_xhdl3 : std_logic; SIGNAL tx_point_xhdl4 : std_logic; SIGNAL hard_sync_xhdl5 : std_logic; BEGIN sample_point <= sample_point_xhdl1; sampled_bit <= sampled_bit_xhdl2; sampled_bit_q <= sampled_bit_q_xhdl3; tx_point <= tx_point_xhdl4; hard_sync <= hard_sync_xhdl5; preset_cnt <= (('0' & baud_r_presc) + 1) & "0" ; hard_sync_xhdl5 <= (((rx_idle OR rx_inter) AND (NOT rx)) AND sampled_bit_xhdl2) AND (NOT hard_sync_blocked) ; resync <= ((((NOT rx_idle) AND (NOT rx_inter)) AND (NOT rx)) AND sampled_bit_xhdl2) AND (NOT sync_blocked) ; -- Generating general enable signal that defines baud rate. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_cnt <= "0000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (('0' & clk_cnt) >= (preset_cnt - "00000001")) THEN clk_cnt <= "0000000" ; ELSE clk_cnt <= clk_cnt + "0000001" ; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (('0' & clk_cnt) = (preset_cnt - "00000001")) THEN clk_en <= '1' ; ELSE clk_en <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clk_en_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN clk_en_q <= clk_en ; END IF; END PROCESS; -- Changing states go_sync <= (((clk_en_q AND seg2) AND CONV_STD_LOGIC(quant_cnt(2 DOWNTO 0) = time_segment2)) AND (NOT hard_sync_xhdl5)) AND (NOT resync) ; go_seg1 <= clk_en_q AND (sync OR hard_sync_xhdl5 OR ((resync AND seg2) AND sync_window) OR (resync_latched AND sync_window)) ; go_seg2 <= clk_en_q AND ((seg1 AND (NOT hard_sync_xhdl5)) AND CONV_STD_LOGIC(quant_cnt = ( '0' & (time_segment1 + delay)))) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_point_xhdl4 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN tx_point_xhdl4 <= (NOT tx_point_xhdl4 AND seg2) AND ((clk_en AND CONV_STD_LOGIC(quant_cnt(2 DOWNTO 0) = time_segment2)) OR ((clk_en OR clk_en_q) AND (resync OR hard_sync_xhdl5))) ; -- When transmitter we should transmit as soon as possible. END IF; END PROCESS; -- When early edge is detected outside of the SJW field, synchronization request is latched and performed when -- When early edge is detected outside of the SJW field, synchronization request is latched and performed when -- SJW is reached PROCESS (clk, rst) BEGIN IF (rst = '1') THEN resync_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (((resync AND seg2) AND (NOT sync_window)) = '1') THEN resync_latched <= '1' ; ELSE IF (go_seg1 = '1') THEN resync_latched <= '0'; END IF; END IF; END IF; END PROCESS; -- Synchronization stage/segment PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sync <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN sync <= go_sync ; END IF; END IF; END PROCESS; -- Seg1 stage/segment (together with propagation segment which is 1 quant long) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN seg1 <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_seg1 = '1') THEN seg1 <= '1' ; ELSE IF (go_seg2 = '1') THEN seg1 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Seg2 stage/segment PROCESS (clk, rst) BEGIN IF (rst = '1') THEN seg2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_seg2 = '1') THEN seg2 <= '1' ; ELSE IF ((go_sync OR go_seg1) = '1') THEN seg2 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Quant counter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN quant_cnt <= "00000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_sync OR go_seg1 OR go_seg2) = '1') THEN quant_cnt <= "00000" ; ELSE IF (clk_en_q = '1') THEN quant_cnt <= quant_cnt + "00001" ; END IF; END IF; END IF; END PROCESS; temp_xhdl6 <= ("0" & ("00" & sync_jump_width + "0001")) WHEN (quant_cnt > "000" & sync_jump_width) ELSE (quant_cnt + "00001"); -- When late edge is detected (in seg1 stage), stage seg1 is prolonged. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN delay <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (((resync AND seg1) AND (NOT transmitting OR (transmitting AND (tx_next_sp OR (tx AND (NOT rx)))))) = '1') THEN delay <= temp_xhdl6(3 DOWNTO 0) ; ELSE IF ((go_sync OR go_seg1) = '1') THEN delay <= "0000" ; END IF; END IF; END IF; END PROCESS; -- If early edge appears within this window (in seg2 stage), phase error is fully compensated sync_window <= CONV_STD_LOGIC((time_segment2 - quant_cnt(2 DOWNTO 0)) < ('0' & (sync_jump_width + "01"))) ; -- Sampling data (memorizing two samples all the time). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sample <= "11"; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN sample <= sample(0) & rx; END IF; END IF; END PROCESS; -- When enabled, tripple sampling is done here. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sampled_bit_xhdl2 <= '1'; sampled_bit_q_xhdl3 <= '1'; sample_point_xhdl1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_error_frame = '1') THEN sampled_bit_q_xhdl3 <= sampled_bit_xhdl2 ; sample_point_xhdl1 <= '0' ; ELSE IF ((clk_en_q AND (NOT hard_sync_xhdl5)) = '1') THEN IF ((seg1 AND CONV_STD_LOGIC(quant_cnt = ('0' & (time_segment1 + delay)))) = '1') THEN sample_point_xhdl1 <= '1' ; sampled_bit_q_xhdl3 <= sampled_bit_xhdl2 ; IF (triple_sampling = '1') THEN sampled_bit_xhdl2 <= (sample(0) AND sample(1)) OR (sample(0) AND rx) OR (sample(1) AND rx) ; ELSE sampled_bit_xhdl2 <= rx ; END IF; END IF; ELSE sample_point_xhdl1 <= '0' ; END IF; END IF; END IF; END PROCESS; -- tx_next_sp shows next value that will be driven on the TX. When driving 1 and receiving 0 we -- need to synchronize (even when we are a transmitter) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_next_sp <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_overload_frame OR (go_error_frame AND (NOT node_error_passive)) OR go_tx OR send_ack) = '1') THEN tx_next_sp <= '0' ; ELSE IF ((go_error_frame AND node_error_passive) = '1') THEN tx_next_sp <= '1' ; ELSE IF (sample_point_xhdl1 = '1') THEN tx_next_sp <= tx_next ; END IF; END IF; END IF; END IF; END PROCESS; -- Blocking synchronization (can occur only once in a bit time) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN sync_blocked <= '1' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (clk_en_q = '1') THEN IF (resync = '1') THEN sync_blocked <= '1' ; ELSE IF (go_seg2 = '1') THEN sync_blocked <= '0' ; END IF; END IF; END IF; END IF; END PROCESS; -- Blocking hard synchronization when occurs once or when we are transmitting a msg PROCESS (clk, rst) BEGIN IF (rst = '1') THEN hard_sync_blocked <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (((hard_sync_xhdl5 AND clk_en_q) OR ((((transmitting AND transmitter) OR go_tx) AND tx_point_xhdl4) AND (NOT tx_next))) = '1') THEN hard_sync_blocked <= '1' ; ELSE IF ((go_rx_inter OR (((rx_idle OR rx_inter) AND sample_point_xhdl1) AND sampled_bit_xhdl2)) = '1') THEN -- When a glitch performed synchronization hard_sync_blocked <= '0' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_fifo -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_fifo.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- Rev 1.28 rd_info_pointer fix from opencores merged. /Kristoffer -- -- $Log: can_fifo.v,v $ -- Revision 1.27 2004/11/18 12:39:34 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.26 2004/02/08 14:30:57 mohor -- Header changed. -- -- Revision 1.25 2003/10/23 16:52:17 mohor -- Active high/low problem when Altera devices are used. Bug fixed by -- Rojhalat Ibrahim. -- -- Revision 1.24 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.23 2003/09/05 12:46:41 mohor -- ALTERA_RAM supported. -- -- Revision 1.22 2003/08/20 09:59:16 mohor -- Artisan RAM fixed (when not using BIST). -- -- Revision 1.21 2003/08/14 16:04:52 simons -- Artisan ram instances added. -- -- Revision 1.20 2003/07/16 14:00:45 mohor -- Fixed according to the linter. -- -- Revision 1.19 2003/07/03 09:30:44 mohor -- PCI_BIST replaced with CAN_BIST. -- -- Revision 1.18 2003/06/27 22:14:23 simons -- Overrun fifo implemented with FFs, because it is not possible to create such a memory. -- -- Revision 1.17 2003/06/27 20:56:15 simons -- Virtual silicon ram instances added. -- -- Revision 1.16 2003/06/18 23:03:44 mohor -- Typo fixed. -- -- Revision 1.15 2003/06/11 09:37:05 mohor -- overrun and length_info fifos are initialized at the end of reset. -- -- Revision 1.14 2003/03/05 15:02:30 mohor -- Xilinx RAM added. -- -- Revision 1.13 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.12 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.11 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.10 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.9 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.8 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.7 2003/01/17 17:44:31 mohor -- Fifo corrected to be synthesizable. -- -- Revision 1.6 2003/01/15 13:16:47 mohor -- When a frame with "remote request" is received, no data is stored -- to fifo, just the frame information (identifier, ...). Data length -- that is stored is the received data length and not the actual data -- length that is stored to fifo. -- -- Revision 1.5 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.4 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.3 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.2 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.1 2003/01/08 02:10:55 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_fifo IS PORT ( clk : IN std_logic; rst : IN std_logic; wr : IN std_logic; data_in : IN std_logic_vector(7 DOWNTO 0); addr : IN std_logic_vector(5 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; release_buffer : IN std_logic; extended_mode : IN std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; info_cnt : OUT std_logic_vector(6 DOWNTO 0); -------------------------------------------------- -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_fifo; ARCHITECTURE RTL OF can_fifo IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); -------------------------------------------------- SIGNAL fifo : xhdl_15; SIGNAL length_fifo : xhdl_16; SIGNAL overrun_info : xhdl_17; SIGNAL rd_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL wr_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL read_address : std_logic_vector(5 DOWNTO 0); SIGNAL wr_info_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL rd_info_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL wr_q : std_logic; SIGNAL len_cnt : std_logic_vector(3 DOWNTO 0); SIGNAL fifo_cnt : std_logic_vector(6 DOWNTO 0); SIGNAL latch_overrun : std_logic; SIGNAL initialize_memories : std_logic; SIGNAL length_info : std_logic_vector(3 DOWNTO 0); SIGNAL write_length_info : std_logic; SIGNAL fifo_empty : std_logic; SIGNAL fifo_full : std_logic; SIGNAL info_full : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL overrun_xhdl2 : std_logic; SIGNAL info_empty_xhdl3 : std_logic; SIGNAL info_cnt_xhdl4 : std_logic_vector(6 DOWNTO 0); SIGNAL data_64x8_xhdl5 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl6 : std_logic; SIGNAL rden_64x8_xhdl7 : std_logic; SIGNAL wraddress_64x8_xhdl8 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl9 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl10 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl11 : std_logic; SIGNAL wraddress_64x4x1_xhdl12 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl13 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl14 : std_logic; BEGIN data_out <= data_out_xhdl1; overrun <= overrun_xhdl2; info_empty <= info_empty_xhdl3; info_cnt <= info_cnt_xhdl4; data_64x8 <= data_64x8_xhdl5; wren_64x8 <= wren_64x8_xhdl6; rden_64x8 <= rden_64x8_xhdl7; wraddress_64x8 <= wraddress_64x8_xhdl8; rdaddress_64x8 <= rdaddress_64x8_xhdl9; data_64x4 <= data_64x4_xhdl10; wren_64x4x1 <= wren_64x4x1_xhdl11; wraddress_64x4x1 <= wraddress_64x4x1_xhdl12; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl13; data_64x1 <= data_64x1_xhdl14; write_length_info <= (NOT wr) AND wr_q ; -- Delayed write signal PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN wr_q <= '0' ; ELSE wr_q <= wr ; END IF; END IF; END PROCESS; -- length counter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN len_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR write_length_info) = '1') THEN len_cnt <= "0000" ; ELSE IF ((wr AND (NOT fifo_full)) = '1') THEN len_cnt <= len_cnt + "0001" ; END IF; END IF; END IF; END PROCESS; -- wr_info_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_info_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (((write_length_info AND (NOT info_full)) OR initialize_memories) = '1') THEN wr_info_pointer <= wr_info_pointer + "000001" ; ELSE IF (reset_mode = '1') THEN wr_info_pointer <= rd_info_pointer ; END IF; END IF; END IF; END PROCESS; -- rd_info_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rd_info_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((release_buffer AND (NOT info_empty_xhdl3)) = '1') THEN -- Fix from opencores rev 1.28 -- IF ((release_buffer AND (NOT fifo_empty)) = '1') THEN rd_info_pointer <= rd_info_pointer + "000001" ; END IF; END IF; END PROCESS; -- rd_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rd_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((release_buffer AND (NOT fifo_empty)) = '1') THEN rd_pointer <= rd_pointer + ("00" & length_info) ; END IF; END IF; END PROCESS; -- wr_pointer PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN wr_pointer <= rd_pointer ; ELSE IF ((wr AND (NOT fifo_full)) = '1') THEN wr_pointer <= wr_pointer + "000001" ; END IF; END IF; END IF; END PROCESS; -- latch_overrun PROCESS (clk, rst) BEGIN IF (rst = '1') THEN latch_overrun <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR write_length_info) = '1') THEN latch_overrun <= '0' ; ELSE IF ((wr AND fifo_full) = '1') THEN latch_overrun <= '1' ; END IF; END IF; END IF; END PROCESS; -- Counting data in fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN fifo_cnt <= "0000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN fifo_cnt <= "0000000" ; ELSE IF (((wr AND (NOT release_buffer)) AND (NOT fifo_full)) = '1') THEN fifo_cnt <= fifo_cnt + "0000001" ; ELSE IF ((((NOT wr) AND release_buffer) AND (NOT fifo_empty)) = '1') THEN fifo_cnt <= fifo_cnt - ("000" & length_info) ; ELSE IF ((((wr AND release_buffer) AND (NOT fifo_full)) AND (NOT fifo_empty)) = '1') THEN fifo_cnt <= fifo_cnt - ("000" & length_info) + "0000001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; fifo_full <= CONV_STD_LOGIC(fifo_cnt = "1000000") ; fifo_empty <= CONV_STD_LOGIC(fifo_cnt = "0000000") ; -- Counting data in length_fifo and overrun_info fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN info_cnt_xhdl4 <= "0000000" ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN info_cnt_xhdl4 <= "0000000" ; ELSE IF ((write_length_info XOR release_buffer) = '1') THEN IF ((release_buffer AND (NOT info_empty_xhdl3)) = '1') THEN info_cnt_xhdl4 <= info_cnt_xhdl4 - "0000001" ; ELSE IF ((write_length_info AND (NOT info_full)) = '1') THEN info_cnt_xhdl4 <= info_cnt_xhdl4 + "0000001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; info_full <= CONV_STD_LOGIC(info_cnt_xhdl4 = "1000000") ; info_empty_xhdl3 <= CONV_STD_LOGIC(info_cnt_xhdl4 = "0000000") ; -- Selecting which address will be used for reading data from rx fifo PROCESS (extended_mode, rd_pointer, addr) VARIABLE read_address_xhdl18 : std_logic_vector(5 DOWNTO 0); BEGIN IF (extended_mode = '1') THEN -- extended mode read_address_xhdl18 := rd_pointer + (addr - "010000"); ELSE -- normal mode read_address_xhdl18 := rd_pointer + (addr - "010100"); END IF; read_address <= read_address_xhdl18; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN initialize_memories <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (andv(wr_info_pointer) = '1') THEN initialize_memories <= '0' ; END IF; END IF; END PROCESS; -- port connections for Ram --64x8 data_out_xhdl1 <= q_dp_64x8 ; data_64x8_xhdl5 <= data_in ; wren_64x8_xhdl6 <= wr AND (NOT fifo_full) ; rden_64x8_xhdl7 <= fifo_selected ; wraddress_64x8_xhdl8 <= wr_pointer ; rdaddress_64x8_xhdl9 <= read_address ; --64x4 length_info <= q_dp_64x4 ; data_64x4_xhdl10 <= len_cnt AND NOT initialize_memories & NOT initialize_memories & NOT initialize_memories & NOT initialize_memories ; wren_64x4x1_xhdl11 <= (write_length_info AND (NOT info_full)) OR initialize_memories ; wraddress_64x4x1_xhdl12 <= wr_info_pointer ; rdaddress_64x4x1_xhdl13 <= rd_info_pointer ; --64x1 overrun_xhdl2 <= q_dp_64x1 ; data_64x1_xhdl14 <= (latch_overrun OR (wr AND fifo_full)) AND (NOT initialize_memories) ; -- `ifdef ALTERA_RAM -- // altera_ram_64x8_sync fifo -- lpm_ram_dp fifo -- ( -- .q (data_out), -- .rdclock (clk), -- .wrclock (clk), -- .data (data_in), -- .wren (wr & (~fifo_full)), -- .rden (fifo_selected), -- .wraddress (wr_pointer), -- .rdaddress (read_address) -- ); -- defparam fifo.lpm_width = 8; -- defparam fifo.lpm_widthad = 6; -- defparam fifo.lpm_numwords = 64; -- -- -- // altera_ram_64x4_sync info_fifo -- lpm_ram_dp info_fifo -- ( -- .q (length_info), -- .rdclock (clk), -- .wrclock (clk), -- .data (len_cnt & {4{~initialize_memories}}), -- .wren (write_length_info & (~info_full) | initialize_memories), -- .wraddress (wr_info_pointer), -- .rdaddress (rd_info_pointer) -- ); -- defparam info_fifo.lpm_width = 4; -- defparam info_fifo.lpm_widthad = 6; -- defparam info_fifo.lpm_numwords = 64; -- -- -- // altera_ram_64x1_sync overrun_fifo -- lpm_ram_dp overrun_fifo -- ( -- .q (overrun), -- .rdclock (clk), -- .wrclock (clk), -- .data ((latch_overrun | (wr & fifo_full)) & (~initialize_memories)), -- .wren (write_length_info & (~info_full) | initialize_memories), -- .wraddress (wr_info_pointer), -- .rdaddress (rd_info_pointer) -- ); -- defparam overrun_fifo.lpm_width = 1; -- defparam overrun_fifo.lpm_widthad = 6; -- defparam overrun_fifo.lpm_numwords = 64; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_crc -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_crc.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_crc.v,v $ -- Revision 1.5 2004/02/08 14:25:57 mohor -- Header changed. -- -- Revision 1.4 2003/07/16 13:16:51 mohor -- Fixed according to the linter. -- -- Revision 1.3 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.2 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.1 2003/01/08 02:10:54 mohor -- Acceptance filter added. -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_crc IS PORT ( clk : IN std_logic; data : IN std_logic; enable : IN std_logic; initialize : IN std_logic; crc : OUT std_logic_vector(14 DOWNTO 0)); END ENTITY can_crc; ARCHITECTURE RTL OF can_crc IS TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL crc_next : std_logic; SIGNAL crc_tmp : std_logic_vector(14 DOWNTO 0); SIGNAL crc_xhdl1 : std_logic_vector(14 DOWNTO 0); BEGIN crc <= crc_xhdl1; crc_next <= data XOR crc_xhdl1(14) ; crc_tmp <= crc_xhdl1(13 DOWNTO 0) & '0' ; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (initialize = '1') THEN crc_xhdl1 <= "000000000000000"; ELSE IF (enable = '1') THEN IF (crc_next = '1') THEN crc_xhdl1 <= crc_tmp XOR "100010110011001"; ELSE crc_xhdl1 <= crc_tmp ; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_ibo -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_ibo.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_ibo.v,v $ -- Revision 1.3 2004/02/08 14:31:44 mohor -- Header changed. -- -- Revision 1.2 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.1 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on -- This module only inverts bit order LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY can_ibo IS PORT ( di : IN std_logic_vector(7 DOWNTO 0); do : OUT std_logic_vector(7 DOWNTO 0)); END ENTITY can_ibo; ARCHITECTURE RTL OF can_ibo IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL do_xhdl1 : std_logic_vector(7 DOWNTO 0); BEGIN do <= do_xhdl1; do_xhdl1(0) <= di(7) ; do_xhdl1(1) <= di(6) ; do_xhdl1(2) <= di(5) ; do_xhdl1(3) <= di(4) ; do_xhdl1(4) <= di(3) ; do_xhdl1(5) <= di(2) ; do_xhdl1(6) <= di(1) ; do_xhdl1(7) <= di(0) ; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_bsp -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_bsp.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_bsp.v,v $ -- Revision 1.52 2004/11/18 12:39:21 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.51 2004/11/15 18:23:21 igorm -- When CAN was reset by setting the reset_mode signal in mode register, it -- was possible that CAN was blocked for a short period of time. Problem -- occured very rarly. -- -- Revision 1.50 2004/10/27 18:51:36 igorm -- Fixed synchronization problem in real hardware when 0xf is used for TSEG1. -- -- Revision 1.49 2004/10/25 06:37:51 igorm -- Arbitration bug fixed. -- -- Revision 1.48 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.47 2004/02/08 14:24:10 mohor -- Error counters changed. -- -- Revision 1.46 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.45 2003/09/30 21:14:33 mohor -- Error counters changed. -- -- Revision 1.44 2003/09/30 00:55:12 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.43 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.42 2003/08/29 07:01:14 mohor -- When detecting bus-free, signal bus_free_cnt_en was cleared to zero -- although the last sampled bit was zero instead of one. -- -- Revision 1.41 2003/07/18 15:23:31 tadejm -- Tx and rx length are limited to 8 bytes regardless to the DLC value. -- -- Revision 1.40 2003/07/16 15:10:17 mohor -- Fixed according to the linter. -- -- Revision 1.39 2003/07/16 13:12:46 mohor -- Fixed according to the linter. -- -- Revision 1.38 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.37 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.36 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.35 2003/06/27 20:56:12 simons -- Virtual silicon ram instances added. -- -- Revision 1.34 2003/06/22 09:43:03 mohor -- synthesi full_case parallel_case fixed. -- -- Revision 1.33 2003/06/21 12:16:30 mohor -- paralel_case and full_case compiler directives added to case statements. -- -- Revision 1.32 2003/06/17 14:28:32 mohor -- Form error was detected when stuff bit occured at the end of crc. -- -- Revision 1.31 2003/06/16 14:31:29 tadejm -- Bit stuffing corrected when stuffing comes at the end of the crc. -- -- Revision 1.30 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.29 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.28 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.27 2003/02/20 00:26:02 mohor -- When a dominant bit was detected at the third bit of the intermission and -- node had a message to transmit, bit_stuff error could occur. Fixed. -- -- Revision 1.26 2003/02/19 23:21:54 mohor -- When bit error occured while active error flag was transmitted, counter was -- not incremented. -- -- Revision 1.25 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.24 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.23 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.22 2003/02/12 14:23:59 mohor -- abort_tx added. Bit destuff fixed. -- -- Revision 1.21 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.20 2003/02/10 16:02:11 mohor -- CAN is working according to the specification. WB interface and more -- registers (status, IRQ, ...) needs to be added. -- -- Revision 1.19 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.18 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.17 2003/02/04 17:24:41 mohor -- Backup. -- -- Revision 1.16 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- Revision 1.15 2003/01/31 01:13:37 mohor -- backup. -- -- Revision 1.14 2003/01/16 13:36:19 mohor -- Form error supported. When receiving messages, last bit of the end-of-frame -- does not generate form error. Receiver goes to the idle mode one bit sooner. -- (CAN specification ver 2.0, part B, page 57). -- -- Revision 1.13 2003/01/15 21:59:45 mohor -- Data is stored to fifo at the end of ack stage. -- -- Revision 1.12 2003/01/15 21:05:11 mohor -- CRC checking fixed (when bitstuff occurs at the end of a CRC sequence). -- -- Revision 1.11 2003/01/15 14:40:23 mohor -- RX state machine fixed to receive "remote request" frames correctly. -- No data bytes are written to fifo when such frames are received. -- -- Revision 1.10 2003/01/15 13:16:47 mohor -- When a frame with "remote request" is received, no data is stored to -- fifo, just the frame information (identifier, ...). Data length that -- is stored is the received data length and not the actual data length -- that is stored to fifo. -- -- Revision 1.9 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.8 2003/01/10 17:51:33 mohor -- Temporary version (backup). -- -- Revision 1.7 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.6 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.5 2003/01/08 13:30:31 mohor -- Temp version. -- -- Revision 1.4 2003/01/08 02:10:53 mohor -- Acceptance filter added. -- -- Revision 1.3 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_bsp IS PORT ( clk : IN std_logic; rst : IN std_logic; sample_point : IN std_logic; sampled_bit : IN std_logic; sampled_bit_q : IN std_logic; tx_point : IN std_logic; hard_sync : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; listen_only_mode : IN std_logic; acceptance_filter_mode : IN std_logic; self_test_mode : IN std_logic; -- Command register release_buffer : IN std_logic; tx_request : IN std_logic; abort_tx : IN std_logic; self_rx_request : IN std_logic; single_shot_transmission: IN std_logic; tx_state : OUT std_logic; tx_state_q : OUT std_logic; overload_request : IN std_logic; -- When receiver is busy, it needs to send overload frame. Only 2 overload frames are allowed to overload_frame : OUT std_logic; -- be send in a row. This is not implemented, yet, because host can not send an overload request. -- Arbitration Lost Capture Register read_arbitration_lost_capture_reg: IN std_logic; -- Error Code Capture Register read_error_code_capture_reg: IN std_logic; error_capture_code : OUT std_logic_vector(7 DOWNTO 0); -- Error Warning Limit register error_warning_limit : IN std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register we_rx_err_cnt : IN std_logic; -- Tx Error Counter register we_tx_err_cnt : IN std_logic; extended_mode : IN std_logic; rx_idle : OUT std_logic; transmitting : OUT std_logic; transmitter : OUT std_logic; go_rx_inter : OUT std_logic; not_first_bit_of_inter : OUT std_logic; rx_inter : OUT std_logic; set_reset_mode : OUT std_logic; node_bus_off : OUT std_logic; error_status : OUT std_logic; rx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); tx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); transmit_status : OUT std_logic; receive_status : OUT std_logic; tx_successful : OUT std_logic; need_to_tx : OUT std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; set_bus_error_irq : OUT std_logic; set_arbitration_lost_irq: OUT std_logic; arbitration_lost_capture: OUT std_logic_vector(4 DOWNTO 0); node_error_passive : OUT std_logic; node_error_active : OUT std_logic; rx_message_counter : OUT std_logic_vector(6 DOWNTO 0); -- This section is for BASIC and EXTENDED mode -- Acceptance code register acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data tx_data_0 : IN std_logic_vector(7 DOWNTO 0); tx_data_1 : IN std_logic_vector(7 DOWNTO 0); tx_data_2 : IN std_logic_vector(7 DOWNTO 0); tx_data_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_4 : IN std_logic_vector(7 DOWNTO 0); tx_data_5 : IN std_logic_vector(7 DOWNTO 0); tx_data_6 : IN std_logic_vector(7 DOWNTO 0); tx_data_7 : IN std_logic_vector(7 DOWNTO 0); tx_data_8 : IN std_logic_vector(7 DOWNTO 0); tx_data_9 : IN std_logic_vector(7 DOWNTO 0); tx_data_10 : IN std_logic_vector(7 DOWNTO 0); tx_data_11 : IN std_logic_vector(7 DOWNTO 0); tx_data_12 : IN std_logic_vector(7 DOWNTO 0); -- End: Tx data registers -- Tx signal tx : OUT std_logic; tx_next : OUT std_logic; bus_off_on : OUT std_logic; go_overload_frame : OUT std_logic; go_error_frame : OUT std_logic; go_tx : OUT std_logic; send_ack : OUT std_logic; -- Bist -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_bsp; ARCHITECTURE RTL OF can_bsp IS COMPONENT can_acf PORT ( clk : IN std_logic; rst : IN std_logic; id : IN std_logic_vector(28 DOWNTO 0); reset_mode : IN std_logic; acceptance_filter_mode : IN std_logic; extended_mode : IN std_logic; acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); go_rx_crc_lim : IN std_logic; go_rx_inter : IN std_logic; go_error_frame : IN std_logic; data0 : IN std_logic_vector(7 DOWNTO 0); data1 : IN std_logic_vector(7 DOWNTO 0); rtr1 : IN std_logic; rtr2 : IN std_logic; ide : IN std_logic; no_byte0 : IN std_logic; no_byte1 : IN std_logic; id_ok : OUT std_logic); END COMPONENT; COMPONENT can_crc PORT ( clk : IN std_logic; data : IN std_logic; enable : IN std_logic; initialize : IN std_logic; crc : OUT std_logic_vector(14 DOWNTO 0)); END COMPONENT; COMPONENT can_fifo PORT ( clk : IN std_logic; rst : IN std_logic; wr : IN std_logic; data_in : IN std_logic_vector(7 DOWNTO 0); addr : IN std_logic_vector(5 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; release_buffer : IN std_logic; extended_mode : IN std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; info_cnt : OUT std_logic_vector(6 DOWNTO 0); q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END COMPONENT; COMPONENT can_ibo PORT ( di : IN std_logic_vector(7 DOWNTO 0); do : OUT std_logic_vector(7 DOWNTO 0)); END COMPONENT; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); ------------------------------ SIGNAL reset_mode_q : std_logic; SIGNAL bit_cnt : std_logic_vector(5 DOWNTO 0); SIGNAL data_len : std_logic_vector(3 DOWNTO 0); SIGNAL id : std_logic_vector(28 DOWNTO 0); SIGNAL bit_stuff_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL bit_stuff_cnt_tx : std_logic_vector(2 DOWNTO 0); SIGNAL tx_point_q : std_logic; SIGNAL rx_id1 : std_logic; SIGNAL rx_rtr1 : std_logic; SIGNAL rx_ide : std_logic; SIGNAL rx_id2 : std_logic; SIGNAL rx_rtr2 : std_logic; SIGNAL rx_r1 : std_logic; SIGNAL rx_r0 : std_logic; SIGNAL rx_dlc : std_logic; SIGNAL rx_data : std_logic; SIGNAL rx_crc : std_logic; SIGNAL rx_crc_lim : std_logic; SIGNAL rx_ack : std_logic; SIGNAL rx_ack_lim : std_logic; SIGNAL rx_eof : std_logic; SIGNAL go_early_tx_latched : std_logic; SIGNAL rtr1 : std_logic; SIGNAL ide : std_logic; SIGNAL rtr2 : std_logic; SIGNAL crc_in : std_logic_vector(14 DOWNTO 0); SIGNAL tmp_data : std_logic_vector(7 DOWNTO 0); SIGNAL tmp_fifo : xhdl_46; SIGNAL write_data_to_tmp_fifo : std_logic; SIGNAL byte_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL bit_stuff_cnt_en : std_logic; SIGNAL crc_enable : std_logic; SIGNAL eof_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL passive_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL error_frame : std_logic; SIGNAL enable_error_cnt2 : std_logic; SIGNAL error_cnt1 : std_logic_vector(2 DOWNTO 0); SIGNAL error_cnt2 : std_logic_vector(2 DOWNTO 0); SIGNAL delayed_dominant_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL enable_overload_cnt2 : std_logic; SIGNAL overload_frame_blocked : std_logic; SIGNAL overload_request_cnt : std_logic_vector(1 DOWNTO 0); SIGNAL overload_cnt1 : std_logic_vector(2 DOWNTO 0); SIGNAL overload_cnt2 : std_logic_vector(2 DOWNTO 0); SIGNAL crc_err : std_logic; SIGNAL arbitration_lost : std_logic; SIGNAL arbitration_lost_q : std_logic; SIGNAL read_arbitration_lost_capture_reg_q: std_logic; signal read_error_code_capture_reg_q : std_logic; signal reset_error_code_capture_reg : std_logic; SIGNAL arbitration_cnt_en : std_logic; SIGNAL arbitration_blocked : std_logic; SIGNAL tx_q : std_logic; SIGNAL data_cnt : std_logic_vector(3 DOWNTO 0); -- Counting the data bytes that are written to FIFO SIGNAL header_cnt : std_logic_vector(2 DOWNTO 0); -- Counting header length SIGNAL wr_fifo : std_logic; -- Write data and header to 64-byte fifo SIGNAL data_for_fifo : std_logic_vector(7 DOWNTO 0); -- Multiplexed data that is stored to 64-byte fifo SIGNAL tx_pointer : std_logic_vector(5 DOWNTO 0); SIGNAL tx_bit : std_logic; SIGNAL finish_msg : std_logic; SIGNAL bus_free_cnt : std_logic_vector(3 DOWNTO 0); SIGNAL bus_free_cnt_en : std_logic; SIGNAL bus_free : std_logic; SIGNAL waiting_for_bus_free : std_logic; SIGNAL node_bus_off_q : std_logic; SIGNAL ack_err_latched : std_logic; SIGNAL bit_err_latched : std_logic; SIGNAL stuff_err_latched : std_logic; SIGNAL form_err_latched : std_logic; SIGNAL rule3_exc1_1 : std_logic; SIGNAL rule3_exc1_2 : std_logic; SIGNAL suspend : std_logic; SIGNAL susp_cnt_en : std_logic; SIGNAL susp_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL error_flag_over_latched : std_logic; SIGNAL error_capture_code_type : std_logic_vector(7 DOWNTO 6); SIGNAL error_capture_code_blocked : std_logic; SIGNAL first_compare_bit : std_logic; SIGNAL error_capture_code_segment : std_logic_vector(4 DOWNTO 0); SIGNAL error_capture_code_direction : std_logic; SIGNAL bit_de_stuff : std_logic; SIGNAL bit_de_stuff_tx : std_logic; SIGNAL rule5 : std_logic; -- Rx state machine SIGNAL go_rx_idle : std_logic; SIGNAL go_rx_id1 : std_logic; SIGNAL go_rx_rtr1 : std_logic; SIGNAL go_rx_ide : std_logic; SIGNAL go_rx_id2 : std_logic; SIGNAL go_rx_rtr2 : std_logic; SIGNAL go_rx_r1 : std_logic; SIGNAL go_rx_r0 : std_logic; SIGNAL go_rx_dlc : std_logic; SIGNAL go_rx_data : std_logic; SIGNAL go_rx_crc : std_logic; SIGNAL go_rx_crc_lim : std_logic; SIGNAL go_rx_ack : std_logic; SIGNAL go_rx_ack_lim : std_logic; SIGNAL go_rx_eof : std_logic; SIGNAL last_bit_of_inter : std_logic; SIGNAL go_crc_enable : std_logic; SIGNAL rst_crc_enable : std_logic; SIGNAL bit_de_stuff_set : std_logic; SIGNAL bit_de_stuff_reset : std_logic; SIGNAL go_early_tx : std_logic; SIGNAL calculated_crc : std_logic_vector(14 DOWNTO 0); SIGNAL r_calculated_crc : std_logic_vector(15 DOWNTO 0); SIGNAL remote_rq : std_logic; SIGNAL limited_data_len : std_logic_vector(3 DOWNTO 0); SIGNAL form_err : std_logic; SIGNAL error_frame_ended : std_logic; SIGNAL overload_frame_ended : std_logic; SIGNAL bit_err : std_logic; SIGNAL ack_err : std_logic; SIGNAL stuff_err : std_logic; SIGNAL id_ok : std_logic; -- If received ID matches ID set in registers SIGNAL no_byte0 : std_logic; -- There is no byte 0 (RTR bit set to 1 or DLC field equal to 0). Signal used for acceptance filter. SIGNAL no_byte1 : std_logic; -- There is no byte 1 (RTR bit set to 1 or DLC field equal to 1). Signal used for acceptance filter. SIGNAL header_len : std_logic_vector(2 DOWNTO 0); SIGNAL storing_header : std_logic; SIGNAL limited_data_len_minus1 : std_logic_vector(3 DOWNTO 0); SIGNAL reset_wr_fifo : std_logic; SIGNAL err : std_logic; SIGNAL arbitration_field : std_logic; SIGNAL basic_chain : std_logic_vector(18 DOWNTO 0); SIGNAL basic_chain_data : std_logic_vector(63 DOWNTO 0); SIGNAL extended_chain_std : std_logic_vector(18 DOWNTO 0); SIGNAL extended_chain_ext : std_logic_vector(38 DOWNTO 0); SIGNAL extended_chain_data_std : std_logic_vector(63 DOWNTO 0); SIGNAL extended_chain_data_ext : std_logic_vector(63 DOWNTO 0); SIGNAL rst_tx_pointer : std_logic; SIGNAL r_tx_data_0 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_1 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_2 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_3 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_4 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_5 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_6 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_7 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_8 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_9 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_10 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_11 : std_logic_vector(7 DOWNTO 0); SIGNAL r_tx_data_12 : std_logic_vector(7 DOWNTO 0); SIGNAL bit_err_exc1 : std_logic; SIGNAL bit_err_exc2 : std_logic; SIGNAL bit_err_exc3 : std_logic; SIGNAL bit_err_exc4 : std_logic; SIGNAL bit_err_exc5 : std_logic; SIGNAL bit_err_exc6 : std_logic; SIGNAL error_flag_over : std_logic; SIGNAL overload_flag_over : std_logic; SIGNAL limited_tx_cnt_ext : std_logic_vector(5 DOWNTO 0); SIGNAL limited_tx_cnt_std : std_logic_vector(5 DOWNTO 0); -- port connections for Ram --64x8 SIGNAL w_q_dp_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_data_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_wren_64x8 : std_logic; SIGNAL w_rden_64x8 : std_logic; SIGNAL w_wraddress_64x8 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x8 : std_logic_vector(5 DOWNTO 0); --64x4 SIGNAL w_q_dp_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_data_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_wren_64x4x1 : std_logic; SIGNAL w_wraddress_64x4x1 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x4x1 : std_logic_vector(5 DOWNTO 0); --64x1 SIGNAL w_q_dp_64x1 : std_logic; SIGNAL w_data_64x1 : std_logic; SIGNAL temp_xhdl47 : std_logic_vector(3 DOWNTO 0); -- Instantiation of the RX CRC module SIGNAL xhdl_49 : std_logic; -- Mode register -- Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode SIGNAL port_xhdl73 : std_logic_vector(7 DOWNTO 0); SIGNAL port_xhdl74 : std_logic_vector(7 DOWNTO 0); SIGNAL temp_xhdl75 : std_logic_vector(2 DOWNTO 0); SIGNAL temp_xhdl76 : std_logic_vector(2 DOWNTO 0); SIGNAL temp_xhdl77 : std_logic_vector(3 DOWNTO 0); SIGNAL temp_xhdl78 : std_logic_vector(3 DOWNTO 0); -- - 1 because counter counts from 0 SIGNAL xhdl_106 : std_logic_vector(7 DOWNTO 0); SIGNAL temp_xhdl108 : std_logic_vector(5 DOWNTO 0); SIGNAL temp_xhdl109 : std_logic_vector(5 DOWNTO 0); SIGNAL temp_xhdl110 : boolean; SIGNAL temp_xhdl111 : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_state_xhdl2 : std_logic; SIGNAL tx_state_q_xhdl3 : std_logic; SIGNAL overload_frame_xhdl4 : std_logic; SIGNAL error_capture_code_xhdl5 : std_logic_vector(7 DOWNTO 0); SIGNAL rx_idle_xhdl6 : std_logic; SIGNAL transmitting_xhdl7 : std_logic; SIGNAL transmitter_xhdl8 : std_logic; SIGNAL go_rx_inter_xhdl9 : std_logic; SIGNAL not_first_bit_of_inter_xhdl10 : std_logic; SIGNAL rx_inter_xhdl11 : std_logic; SIGNAL set_reset_mode_xhdl12 : std_logic; SIGNAL node_bus_off_xhdl13 : std_logic; SIGNAL error_status_xhdl14 : std_logic; SIGNAL rx_err_cnt_xhdl15 : std_logic_vector(8 DOWNTO 0); SIGNAL tx_err_cnt_xhdl16 : std_logic_vector(8 DOWNTO 0); SIGNAL transmit_status_xhdl17 : std_logic; SIGNAL receive_status_xhdl18 : std_logic; SIGNAL tx_successful_xhdl19 : std_logic; SIGNAL need_to_tx_xhdl20 : std_logic; SIGNAL overrun_xhdl21 : std_logic; SIGNAL info_empty_xhdl22 : std_logic; SIGNAL set_bus_error_irq_xhdl23 : std_logic; SIGNAL set_arbitration_lost_irq_xhdl24 : std_logic; SIGNAL arbitration_lost_capture_xhdl25 : std_logic_vector(4 DOWNTO 0); SIGNAL node_error_passive_xhdl26: std_logic; SIGNAL node_error_active_xhdl27 : std_logic; SIGNAL rx_message_counter_xhdl28: std_logic_vector(6 DOWNTO 0); SIGNAL tx_xhdl29 : std_logic; SIGNAL tx_next_xhdl30 : std_logic; SIGNAL bus_off_on_xhdl31 : std_logic; SIGNAL go_overload_frame_xhdl32 : std_logic; SIGNAL go_error_frame_xhdl33 : std_logic; SIGNAL go_tx_xhdl34 : std_logic; SIGNAL send_ack_xhdl35 : std_logic; SIGNAL data_64x8_xhdl36 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl37 : std_logic; SIGNAL rden_64x8_xhdl38 : std_logic; SIGNAL wraddress_64x8_xhdl39 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl40 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl41 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl42 : std_logic; SIGNAL wraddress_64x4x1_xhdl43 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl44 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl45 : std_logic; BEGIN data_out <= data_out_xhdl1; tx_state <= tx_state_xhdl2; tx_state_q <= tx_state_q_xhdl3; overload_frame <= overload_frame_xhdl4; error_capture_code <= error_capture_code_xhdl5; rx_idle <= rx_idle_xhdl6; transmitting <= transmitting_xhdl7; transmitter <= transmitter_xhdl8; go_rx_inter <= go_rx_inter_xhdl9; not_first_bit_of_inter <= not_first_bit_of_inter_xhdl10; rx_inter <= rx_inter_xhdl11; set_reset_mode <= set_reset_mode_xhdl12; node_bus_off <= node_bus_off_xhdl13; error_status <= error_status_xhdl14; rx_err_cnt <= rx_err_cnt_xhdl15; tx_err_cnt <= tx_err_cnt_xhdl16; transmit_status <= transmit_status_xhdl17; receive_status <= receive_status_xhdl18; tx_successful <= tx_successful_xhdl19; need_to_tx <= need_to_tx_xhdl20; overrun <= overrun_xhdl21; info_empty <= info_empty_xhdl22; set_bus_error_irq <= set_bus_error_irq_xhdl23; set_arbitration_lost_irq <= set_arbitration_lost_irq_xhdl24; arbitration_lost_capture <= arbitration_lost_capture_xhdl25; node_error_passive <= node_error_passive_xhdl26; node_error_active <= node_error_active_xhdl27; rx_message_counter <= rx_message_counter_xhdl28; tx <= tx_xhdl29; tx_next <= tx_next_xhdl30; bus_off_on <= bus_off_on_xhdl31; go_overload_frame <= go_overload_frame_xhdl32; go_error_frame <= go_error_frame_xhdl33; go_tx <= go_tx_xhdl34; send_ack <= send_ack_xhdl35; data_64x8 <= data_64x8_xhdl36; wren_64x8 <= wren_64x8_xhdl37; rden_64x8 <= rden_64x8_xhdl38; wraddress_64x8 <= wraddress_64x8_xhdl39; rdaddress_64x8 <= rdaddress_64x8_xhdl40; data_64x4 <= data_64x4_xhdl41; wren_64x4x1 <= wren_64x4x1_xhdl42; wraddress_64x4x1 <= wraddress_64x4x1_xhdl43; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl44; data_64x1 <= data_64x1_xhdl45; -- port connections for Ram --64x8 w_q_dp_64x8 <= q_dp_64x8 ; data_64x8_xhdl36 <= w_data_64x8 ; wren_64x8_xhdl37 <= w_wren_64x8 ; rden_64x8_xhdl38 <= w_rden_64x8 ; wraddress_64x8_xhdl39 <= w_wraddress_64x8 ; rdaddress_64x8_xhdl40 <= w_rdaddress_64x8 ; --64x4 w_q_dp_64x4 <= q_dp_64x4 ; data_64x4_xhdl41 <= w_data_64x4 ; wren_64x4x1_xhdl42 <= w_wren_64x4x1 ; wraddress_64x4x1_xhdl43 <= w_wraddress_64x4x1 ; rdaddress_64x4x1_xhdl44 <= w_rdaddress_64x4x1 ; --64x1 w_q_dp_64x1 <= q_dp_64x1 ; data_64x1_xhdl45 <= w_data_64x1 ; -- ---------------------- go_rx_idle <= ((sample_point AND sampled_bit) AND last_bit_of_inter) OR (bus_free AND (NOT node_bus_off_xhdl13)) ; go_rx_id1 <= (sample_point AND (NOT sampled_bit)) AND (rx_idle_xhdl6 OR last_bit_of_inter) ; go_rx_rtr1 <= (((NOT bit_de_stuff) AND sample_point) AND rx_id1) AND CONV_STD_LOGIC(bit_cnt(3 DOWNTO 0) = "1010") ; go_rx_ide <= ((NOT bit_de_stuff) AND sample_point) AND rx_rtr1 ; go_rx_id2 <= (((NOT bit_de_stuff) AND sample_point) AND rx_ide) AND sampled_bit ; go_rx_rtr2 <= (((NOT bit_de_stuff) AND sample_point) AND rx_id2) AND CONV_STD_LOGIC(bit_cnt(4 DOWNTO 0) = "10001") ; go_rx_r1 <= ((NOT bit_de_stuff) AND sample_point) AND rx_rtr2 ; go_rx_r0 <= ((NOT bit_de_stuff) AND sample_point) AND ((rx_ide AND (NOT sampled_bit)) OR rx_r1) ; go_rx_dlc <= ((NOT bit_de_stuff) AND sample_point) AND rx_r0 ; go_rx_data <= (((((NOT bit_de_stuff) AND sample_point) AND rx_dlc) AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "11")) AND (sampled_bit OR (orv(data_len(2 DOWNTO 0))))) AND (NOT remote_rq) ; go_rx_crc <= ((NOT bit_de_stuff) AND sample_point) AND (((rx_dlc AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "11")) AND (((NOT sampled_bit) AND (NOT (orv(data_len(2 DOWNTO 0))))) OR remote_rq)) OR (rx_data AND CONV_STD_LOGIC('0' & bit_cnt(5 DOWNTO 0) = ((limited_data_len & "000") - 1)))) ; go_rx_crc_lim <= (((NOT bit_de_stuff) AND sample_point) AND rx_crc) AND CONV_STD_LOGIC(bit_cnt(3 DOWNTO 0) = "1110") ; go_rx_ack <= ((NOT bit_de_stuff) AND sample_point) AND rx_crc_lim ; go_rx_ack_lim <= sample_point AND rx_ack ; go_rx_eof <= sample_point AND rx_ack_lim ; go_rx_inter_xhdl9 <= (((sample_point AND rx_eof) AND CONV_STD_LOGIC(eof_cnt = "110")) OR error_frame_ended OR overload_frame_ended) AND (NOT overload_request) ; go_error_frame_xhdl33 <= form_err OR stuff_err OR bit_err OR ack_err OR (crc_err AND go_rx_eof) ; error_frame_ended <= CONV_STD_LOGIC(error_cnt2 = "111") AND tx_point ; overload_frame_ended <= CONV_STD_LOGIC(overload_cnt2 = "111") AND tx_point ; go_overload_frame_xhdl32 <= (((sample_point AND ((NOT sampled_bit) OR overload_request)) AND (((rx_eof AND (NOT transmitter_xhdl8)) AND CONV_STD_LOGIC(eof_cnt = "110")) OR error_frame_ended OR overload_frame_ended)) OR (((sample_point AND (NOT sampled_bit)) AND rx_inter_xhdl11) AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) < "10")) OR ((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC((error_cnt2 = "111") OR (overload_cnt2 = "111")))) AND (NOT overload_frame_blocked) ; go_crc_enable <= hard_sync OR go_tx_xhdl34 ; rst_crc_enable <= go_rx_crc ; bit_de_stuff_set <= go_rx_id1 AND (NOT go_error_frame_xhdl33) ; bit_de_stuff_reset <= go_rx_ack OR reset_mode OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32 ; remote_rq <= ((NOT ide) AND rtr1) OR (ide AND rtr2) ; temp_xhdl47 <= data_len WHEN (data_len < "1000") ELSE "1000"; limited_data_len <= temp_xhdl47 ; ack_err <= (((rx_ack AND sample_point) AND sampled_bit) AND tx_state_xhdl2) AND (NOT self_test_mode) ; bit_err <= ((((((((tx_state_xhdl2 OR error_frame OR overload_frame_xhdl4 OR rx_ack) AND sample_point) AND CONV_STD_LOGIC(tx_xhdl29 /= sampled_bit)) AND (NOT bit_err_exc1)) AND (NOT bit_err_exc2)) AND (NOT bit_err_exc3)) AND (NOT bit_err_exc4)) AND (NOT bit_err_exc5)) AND (NOT bit_err_exc6) ; bit_err_exc1 <= (tx_state_xhdl2 AND arbitration_field) AND tx_xhdl29 ; bit_err_exc2 <= rx_ack AND tx_xhdl29 ; bit_err_exc3 <= (error_frame AND node_error_passive_xhdl26) AND CONV_STD_LOGIC(error_cnt1 < "111") ; bit_err_exc4 <= ((error_frame AND CONV_STD_LOGIC(error_cnt1 = "111")) AND (NOT enable_error_cnt2)) OR ((overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt1 = "111")) AND (NOT enable_overload_cnt2)) ; bit_err_exc5 <= (error_frame AND CONV_STD_LOGIC(error_cnt2 = "111")) OR (overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt2 = "111")) ; bit_err_exc6 <= (CONV_STD_LOGIC(eof_cnt = "110") AND rx_eof) AND (NOT transmitter_xhdl8) ; arbitration_field <= rx_id1 OR rx_rtr1 OR rx_ide OR rx_id2 OR rx_rtr2 ; last_bit_of_inter <= rx_inter_xhdl11 AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) = "10") ; not_first_bit_of_inter_xhdl10 <= rx_inter_xhdl11 AND CONV_STD_LOGIC(bit_cnt(1 DOWNTO 0) /= "00") ; -- Rx idle state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_idle_xhdl6 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_id1 OR go_error_frame_xhdl33) = '1') THEN rx_idle_xhdl6 <= '0' ; ELSE IF (go_rx_idle = '1') THEN rx_idle_xhdl6 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx id1 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_id1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_rtr1 OR go_error_frame_xhdl33) = '1') THEN rx_id1 <= '0' ; ELSE IF (go_rx_id1 = '1') THEN rx_id1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx rtr1 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_rtr1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ide OR go_error_frame_xhdl33) = '1') THEN rx_rtr1 <= '0' ; ELSE IF (go_rx_rtr1 = '1') THEN rx_rtr1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ide state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ide <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r0 OR go_rx_id2 OR go_error_frame_xhdl33) = '1') THEN rx_ide <= '0' ; ELSE IF (go_rx_ide = '1') THEN rx_ide <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx id2 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_id2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_rtr2 OR go_error_frame_xhdl33) = '1') THEN rx_id2 <= '0' ; ELSE IF (go_rx_id2 = '1') THEN rx_id2 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx rtr2 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_rtr2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r1 OR go_error_frame_xhdl33) = '1') THEN rx_rtr2 <= '0' ; ELSE IF (go_rx_rtr2 = '1') THEN rx_rtr2 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx r0 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_r1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_r0 OR go_error_frame_xhdl33) = '1') THEN rx_r1 <= '0' ; ELSE IF (go_rx_r1 = '1') THEN rx_r1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx r0 state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_r0 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_dlc OR go_error_frame_xhdl33) = '1') THEN rx_r0 <= '0' ; ELSE IF (go_rx_r0 = '1') THEN rx_r0 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx dlc state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_dlc <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_data OR go_rx_crc OR go_error_frame_xhdl33) = '1') THEN rx_dlc <= '0' ; ELSE IF (go_rx_dlc = '1') THEN rx_dlc <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx data state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_data <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_crc OR go_error_frame_xhdl33) = '1') THEN rx_data <= '0' ; ELSE IF (go_rx_data = '1') THEN rx_data <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx crc state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_crc <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_crc_lim OR go_error_frame_xhdl33) = '1') THEN rx_crc <= '0' ; ELSE IF (go_rx_crc = '1') THEN rx_crc <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx crc delimiter state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_crc_lim <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ack OR go_error_frame_xhdl33) = '1') THEN rx_crc_lim <= '0' ; ELSE IF (go_rx_crc_lim = '1') THEN rx_crc_lim <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ack state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ack <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_ack_lim OR go_error_frame_xhdl33) = '1') THEN rx_ack <= '0' ; ELSE IF (go_rx_ack = '1') THEN rx_ack <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx ack delimiter state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_ack_lim <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_eof OR go_error_frame_xhdl33) = '1') THEN rx_ack_lim <= '0' ; ELSE IF (go_rx_ack_lim = '1') THEN rx_ack_lim <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rx eof state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_eof <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN rx_eof <= '0' ; ELSE IF (go_rx_eof = '1') THEN rx_eof <= '1' ; END IF; END IF; END IF; END PROCESS; -- Interframe space PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_inter_xhdl11 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_idle OR go_rx_id1 OR go_overload_frame_xhdl32 OR go_error_frame_xhdl33) = '1') THEN rx_inter_xhdl11 <= '0' ; ELSE IF (go_rx_inter_xhdl9 = '1') THEN rx_inter_xhdl11 <= '1' ; END IF; END IF; END IF; END PROCESS; -- ID register PROCESS (clk, rst) BEGIN IF (rst = '1') THEN id <= "00000000000000000000000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN id <= "00000000000000000000000000000"; ELSE IF (((sample_point AND (rx_id1 OR rx_id2)) AND (NOT bit_de_stuff)) = '1') THEN id <= id(27 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- rtr1 bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rtr1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN rtr1 <= '0'; ELSE IF (((sample_point AND rx_rtr1) AND (NOT bit_de_stuff)) = '1') THEN rtr1 <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- rtr2 bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rtr2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN rtr2 <= '0'; ELSE IF (((sample_point AND rx_rtr2) AND (NOT bit_de_stuff)) = '1') THEN rtr2 <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- ide bit PROCESS (clk, rst) BEGIN IF (rst = '1') THEN ide <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN ide <= '0'; ELSE IF (((sample_point AND rx_ide) AND (NOT bit_de_stuff)) = '1') THEN ide <= sampled_bit ; END IF; END IF; END IF; END PROCESS; -- Data length PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_len <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN data_len <= "0000"; ELSE IF (((sample_point AND rx_dlc) AND (NOT bit_de_stuff)) = '1') THEN data_len <= data_len(2 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- Data PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tmp_data <= "00000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tmp_data <= "00000000"; ELSE IF (((sample_point AND rx_data) AND (NOT bit_de_stuff)) = '1') THEN tmp_data <= tmp_data(6 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN write_data_to_tmp_fifo <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN write_data_to_tmp_fifo <= '0'; ELSE IF ((((sample_point AND rx_data) AND (NOT bit_de_stuff)) AND (andv(bit_cnt(2 DOWNTO 0)))) = '1') THEN write_data_to_tmp_fifo <= '1' ; ELSE write_data_to_tmp_fifo <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN byte_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN byte_cnt <= "000"; ELSE IF (write_data_to_tmp_fifo = '1') THEN byte_cnt <= byte_cnt + "001" ; ELSE IF ((sample_point AND go_rx_crc_lim) = '1') THEN byte_cnt <= "000" ; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (write_data_to_tmp_fifo = '1') THEN tmp_fifo(conv_integer(byte_cnt)) <= tmp_data ; END IF; END IF; END PROCESS; -- CRC PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_in <= "000000000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN crc_in <= "000000000000000"; ELSE IF (((sample_point AND rx_crc) AND (NOT bit_de_stuff)) = '1') THEN crc_in <= crc_in(13 DOWNTO 0) & sampled_bit ; END IF; END IF; END IF; END PROCESS; -- bit_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_cnt <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_cnt <= "000000"; ELSE IF ((go_rx_id1 OR go_rx_id2 OR go_rx_dlc OR go_rx_data OR go_rx_crc OR go_rx_ack OR go_rx_eof OR go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN bit_cnt <= "000000" ; ELSE IF ((sample_point AND (NOT bit_de_stuff)) = '1') THEN bit_cnt <= bit_cnt + "000001" ; END IF; END IF; END IF; END IF; END PROCESS; -- eof_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN eof_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN eof_cnt <= "000"; ELSE IF (sample_point = '1') THEN IF ((go_rx_inter_xhdl9 OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN eof_cnt <= "000" ; ELSE IF (rx_eof = '1') THEN eof_cnt <= eof_cnt + "001" ; END IF; END IF; END IF; END IF; END IF; END PROCESS; -- Enabling bit de-stuffing PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt_en <= '0'; ELSE IF (bit_de_stuff_set = '1') THEN bit_stuff_cnt_en <= '1' ; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt_en <= '0' ; END IF; END IF; END IF; END IF; END PROCESS; -- bit_stuff_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt <= "001"; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt <= "001" ; ELSE IF ((sample_point AND bit_stuff_cnt_en) = '1') THEN IF (bit_stuff_cnt = "101") THEN bit_stuff_cnt <= "001" ; ELSE IF (sampled_bit = sampled_bit_q) THEN bit_stuff_cnt <= bit_stuff_cnt + "001" ; ELSE bit_stuff_cnt <= "001" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; -- bit_stuff_cnt_tx PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_stuff_cnt_tx <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bit_stuff_cnt_tx <= "001"; ELSE IF (bit_de_stuff_reset = '1') THEN bit_stuff_cnt_tx <= "001" ; ELSE IF ((tx_point_q AND bit_stuff_cnt_en) = '1') THEN IF (bit_stuff_cnt_tx = "101") THEN bit_stuff_cnt_tx <= "001" ; ELSE IF (tx_xhdl29 = tx_q) THEN bit_stuff_cnt_tx <= bit_stuff_cnt_tx + "001" ; ELSE bit_stuff_cnt_tx <= "001" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; bit_de_stuff <= CONV_STD_LOGIC(bit_stuff_cnt = "101") ; bit_de_stuff_tx <= CONV_STD_LOGIC(bit_stuff_cnt_tx = "101") ; -- stuff_err stuff_err <= ((sample_point AND bit_stuff_cnt_en) AND bit_de_stuff) AND CONV_STD_LOGIC(sampled_bit = sampled_bit_q) ; -- Generating delayed signals PROCESS (clk, rst) BEGIN IF (rst = '1') THEN reset_mode_q <= '0' ; node_bus_off_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN reset_mode_q <= reset_mode ; node_bus_off_q <= node_bus_off_xhdl13 ; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_enable <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR rst_crc_enable) = '1') THEN crc_enable <= '0' ; ELSE IF (go_crc_enable = '1') THEN crc_enable <= '1' ; END IF; END IF; END IF; END PROCESS; -- CRC error generation PROCESS (clk, rst) BEGIN IF (rst = '1') THEN crc_err <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended) = '1') THEN crc_err <= '0' ; ELSE IF (go_rx_ack = '1') THEN crc_err <= CONV_STD_LOGIC(crc_in /= calculated_crc) ; END IF; END IF; END IF; END PROCESS; -- Conditions for form error form_err <= sample_point AND ((((NOT bit_de_stuff) AND rx_crc_lim) AND (NOT sampled_bit)) OR (rx_ack_lim AND (NOT sampled_bit)) OR (((CONV_STD_LOGIC(eof_cnt < "110") AND rx_eof) AND (NOT sampled_bit)) AND (NOT transmitter_xhdl8)) OR (((rx_eof) AND (NOT sampled_bit)) AND transmitter_xhdl8)) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN ack_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN ack_err_latched <= '0' ; ELSE IF (ack_err = '1') THEN ack_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bit_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN bit_err_latched <= '0' ; ELSE IF (bit_err = '1') THEN bit_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rule 5 (Fault confinement). rule5 <= bit_err AND ((((NOT node_error_passive_xhdl26) AND error_frame) AND CONV_STD_LOGIC(error_cnt1 < "111")) OR (overload_frame_xhdl4 AND CONV_STD_LOGIC(overload_cnt1 < "111"))) ; -- Rule 3 exception 1 - first part (Fault confinement). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rule3_exc1_1 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_flag_over OR rule3_exc1_2) = '1') THEN rule3_exc1_1 <= '0' ; ELSE IF (((transmitter_xhdl8 AND node_error_passive_xhdl26) AND ack_err) = '1') THEN rule3_exc1_1 <= '1' ; END IF; END IF; END IF; END PROCESS; -- Rule 3 exception 1 - second part (Fault confinement). PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rule3_exc1_2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR rule3_exc1_2) = '1') THEN rule3_exc1_2 <= '0' ; ELSE IF ((((rule3_exc1_1 AND CONV_STD_LOGIC(error_cnt1 < "111")) AND sample_point) AND (NOT sampled_bit)) = '1') THEN rule3_exc1_2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN stuff_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN stuff_err_latched <= '0' ; ELSE IF (stuff_err = '1') THEN stuff_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN form_err_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN form_err_latched <= '0' ; ELSE IF (form_err = '1') THEN form_err_latched <= '1' ; END IF; END IF; END IF; END PROCESS; xhdl_49 <= ((crc_enable AND sample_point) AND (NOT bit_de_stuff)); i_can_crc_rx : can_crc PORT MAP ( clk => clk, data => sampled_bit, enable => xhdl_49, initialize => go_crc_enable, crc => calculated_crc); no_byte0 <= rtr1 OR CONV_STD_LOGIC(data_len < "0001") ; no_byte1 <= rtr1 OR CONV_STD_LOGIC(data_len < "0010") ; port_xhdl73 <= tmp_fifo(0); port_xhdl74 <= tmp_fifo(1); i_can_acf : can_acf PORT MAP ( clk => clk, rst => rst, id => id, reset_mode => reset_mode, acceptance_filter_mode => acceptance_filter_mode, extended_mode => extended_mode, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, go_rx_crc_lim => go_rx_crc_lim, go_rx_inter => go_rx_inter_xhdl9, go_error_frame => go_error_frame_xhdl33, data0 => port_xhdl73, data1 => port_xhdl74, rtr1 => rtr1, rtr2 => rtr2, ide => ide, no_byte0 => no_byte0, no_byte1 => no_byte1, id_ok => id_ok); temp_xhdl75 <= "101" WHEN ide = '1' ELSE "011"; temp_xhdl76 <= (temp_xhdl75) WHEN extended_mode = '1' ELSE "010"; header_len(2 DOWNTO 0) <= temp_xhdl76 ; storing_header <= CONV_STD_LOGIC(header_cnt < header_len) ; temp_xhdl77 <= (data_len - "0001") WHEN (data_len < "1000") ELSE "0111"; temp_xhdl78 <= "1111" WHEN remote_rq = '1' ELSE (temp_xhdl77); limited_data_len_minus1(3 DOWNTO 0) <= temp_xhdl78 ; reset_wr_fifo <= CONV_STD_LOGIC(data_cnt = (limited_data_len_minus1 + ('0' & header_len))) OR reset_mode ; err <= form_err OR stuff_err OR bit_err OR ack_err OR form_err_latched OR stuff_err_latched OR bit_err_latched OR ack_err_latched OR crc_err ; -- Write enable signal for 64-byte rx fifo PROCESS (clk, rst) BEGIN IF (rst = '1') THEN wr_fifo <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN wr_fifo <= '0' ; ELSE IF ((((go_rx_inter_xhdl9 AND id_ok) AND (NOT error_frame_ended)) AND ((NOT tx_state_xhdl2) OR self_rx_request)) = '1') THEN wr_fifo <= '1' ; END IF; END IF; END IF; END PROCESS; -- Header counter. Header length depends on the mode of operation and frame format. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN header_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN header_cnt <= "000" ; ELSE IF ((wr_fifo AND storing_header) = '1') THEN header_cnt <= header_cnt + "001" ; END IF; END IF; END IF; END PROCESS; -- Data counter. Length of the data is limited to 8 bytes. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_wr_fifo = '1') THEN data_cnt <= "0000" ; ELSE IF (wr_fifo = '1') THEN data_cnt <= data_cnt + "0001" ; END IF; END IF; END IF; END PROCESS; -- Multiplexing data that is stored to 64-byte fifo depends on the mode of operation and frame format PROCESS (extended_mode, ide, data_cnt, header_cnt, header_len, storing_header, id, rtr1, rtr2, data_len, tmp_fifo) VARIABLE data_for_fifo_xhdl79 : std_logic_vector(7 DOWNTO 0); VARIABLE temp_xhdl80 : std_logic_vector(5 DOWNTO 0); BEGIN temp_xhdl80 := storing_header & extended_mode & ide & header_cnt; IF (std_match(temp_xhdl80, "111000")) THEN data_for_fifo_xhdl79 := '1' & rtr2 & "00" & data_len; -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111001")) THEN data_for_fifo_xhdl79 := id(28 DOWNTO 21); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111010")) THEN data_for_fifo_xhdl79 := id(20 DOWNTO 13); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111011")) THEN data_for_fifo_xhdl79 := id(12 DOWNTO 5); -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "111100")) THEN data_for_fifo_xhdl79 := id(4 DOWNTO 0) & rtr2 & "00"; -- extended mode, extended format header ELSIF (std_match(temp_xhdl80, "110000")) THEN data_for_fifo_xhdl79 := '0' & rtr1 & "00" & data_len; -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "110001")) THEN data_for_fifo_xhdl79 := id(10 DOWNTO 3); -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "110010")) THEN data_for_fifo_xhdl79 := id(2 DOWNTO 0) & rtr1 & "0000"; -- extended mode, standard format header ELSIF (std_match(temp_xhdl80, "10-000")) THEN data_for_fifo_xhdl79 := id(10 DOWNTO 3); -- normal mode header ELSIF (std_match(temp_xhdl80, "10-001")) THEN data_for_fifo_xhdl79 := id(2 DOWNTO 0) & rtr1 & data_len; -- normal mode header ELSE data_for_fifo_xhdl79 := tmp_fifo(conv_integer(data_cnt - ('0' & header_len)) mod 8); -- data END IF; data_for_fifo <= data_for_fifo_xhdl79; END PROCESS; -- Instantiation of the RX fifo module -- port connections for Ram --64x8 --64x4 --64x1 i_can_fifo : can_fifo PORT MAP ( clk => clk, rst => rst, wr => wr_fifo, data_in => data_for_fifo, addr => addr(5 DOWNTO 0), data_out => data_out_xhdl1, fifo_selected => fifo_selected, reset_mode => reset_mode, release_buffer => release_buffer, extended_mode => extended_mode, overrun => overrun_xhdl21, info_empty => info_empty_xhdl22, info_cnt => rx_message_counter_xhdl28, q_dp_64x8 => w_q_dp_64x8, data_64x8 => w_data_64x8, wren_64x8 => w_wren_64x8, rden_64x8 => w_rden_64x8, wraddress_64x8 => w_wraddress_64x8, rdaddress_64x8 => w_rdaddress_64x8, q_dp_64x4 => w_q_dp_64x4, data_64x4 => w_data_64x4, wren_64x4x1 => w_wren_64x4x1, wraddress_64x4x1 => w_wraddress_64x4x1, rdaddress_64x4x1 => w_rdaddress_64x4x1, q_dp_64x1 => w_q_dp_64x1, data_64x1 => w_data_64x1); -- Transmitting error frame. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_frame <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_overload_frame_xhdl32) = '1') THEN error_frame <= '0' ; ELSE IF (go_error_frame_xhdl33 = '1') THEN error_frame <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_cnt1 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_cnt1 <= "000" ; ELSE IF (((error_frame AND tx_point) AND CONV_STD_LOGIC(error_cnt1 < "111")) = '1') THEN error_cnt1 <= error_cnt1 + "001" ; END IF; END IF; END IF; END PROCESS; error_flag_over <= ((((NOT node_error_passive_xhdl26) AND sample_point) AND CONV_STD_LOGIC(error_cnt1 = "111")) OR ((node_error_passive_xhdl26 AND sample_point) AND CONV_STD_LOGIC(passive_cnt = "110"))) AND (NOT enable_error_cnt2) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_flag_over_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_flag_over_latched <= '0' ; ELSE IF (error_flag_over = '1') THEN error_flag_over_latched <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN enable_error_cnt2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN enable_error_cnt2 <= '0' ; ELSE IF ((error_frame AND (error_flag_over AND sampled_bit)) = '1') THEN enable_error_cnt2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_cnt2 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN error_cnt2 <= "000" ; ELSE IF ((enable_error_cnt2 AND tx_point) = '1') THEN error_cnt2 <= error_cnt2 + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN delayed_dominant_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR enable_error_cnt2 OR go_error_frame_xhdl33 OR enable_overload_cnt2 OR go_overload_frame_xhdl32) = '1') THEN delayed_dominant_cnt <= "000" ; ELSE IF (((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC((error_cnt1 = "111") OR (overload_cnt1 = "111"))) = '1') THEN delayed_dominant_cnt <= delayed_dominant_cnt + "001" ; END IF; END IF; END IF; END PROCESS; -- passive_cnt PROCESS (clk, rst) BEGIN IF (rst = '1') THEN passive_cnt <= "001"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR error_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32 OR first_compare_bit) = '1') THEN passive_cnt <= "001" ; ELSE IF ((sample_point AND CONV_STD_LOGIC(passive_cnt < "110")) = '1') THEN IF (((error_frame AND (NOT enable_error_cnt2)) AND CONV_STD_LOGIC(sampled_bit = sampled_bit_q)) = '1') THEN passive_cnt <= passive_cnt + "001" ; ELSE passive_cnt <= "001" ; END IF; END IF; END IF; END IF; END PROCESS; -- When comparing 6 equal bits, first is always equal PROCESS (clk, rst) BEGIN IF (rst = '1') THEN first_compare_bit <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_error_frame_xhdl33 = '1') THEN first_compare_bit <= '1' ; ELSE IF (sample_point = '1') THEN first_compare_bit <= '0'; END IF; END IF; END IF; END PROCESS; -- Transmitting overload frame. PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_frame_xhdl4 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33) = '1') THEN overload_frame_xhdl4 <= '0' ; ELSE IF (go_overload_frame_xhdl32 = '1') THEN overload_frame_xhdl4 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_cnt1 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN overload_cnt1 <= "000" ; ELSE IF (((overload_frame_xhdl4 AND tx_point) AND CONV_STD_LOGIC(overload_cnt1 < "111")) = '1') THEN overload_cnt1 <= overload_cnt1 + "001" ; END IF; END IF; END IF; END PROCESS; overload_flag_over <= (sample_point AND CONV_STD_LOGIC(overload_cnt1 = "111")) AND (NOT enable_overload_cnt2) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN enable_overload_cnt2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN enable_overload_cnt2 <= '0' ; ELSE IF ((overload_frame_xhdl4 AND (overload_flag_over AND sampled_bit)) = '1') THEN enable_overload_cnt2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_cnt2 <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR overload_frame_ended OR go_error_frame_xhdl33 OR go_overload_frame_xhdl32) = '1') THEN overload_cnt2 <= "000" ; ELSE IF ((enable_overload_cnt2 AND tx_point) = '1') THEN overload_cnt2 <= overload_cnt2 + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_request_cnt <= "00"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR go_rx_id1) = '1') THEN overload_request_cnt <= "00" ; ELSE IF ((overload_request AND overload_frame_xhdl4) = '1') THEN overload_request_cnt <= overload_request_cnt + "01" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overload_frame_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_error_frame_xhdl33 OR go_rx_id1) = '1') THEN overload_frame_blocked <= '0' ; ELSE IF (((overload_request AND overload_frame_xhdl4) AND CONV_STD_LOGIC(overload_request_cnt = "10")) = '1') THEN -- This is a second sequential overload_request overload_frame_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; send_ack_xhdl35 <= (((NOT tx_state_xhdl2) AND rx_ack) AND (NOT err)) AND (NOT listen_only_mode) ; PROCESS (reset_mode, node_bus_off_xhdl13, tx_state_xhdl2, go_tx_xhdl34, bit_de_stuff_tx, tx_bit, tx_q, send_ack_xhdl35, go_overload_frame_xhdl32, overload_frame_xhdl4, overload_cnt1, go_error_frame_xhdl33, error_frame, error_cnt1, node_error_passive_xhdl26) VARIABLE tx_next_xhdl30_xhdl105 : std_logic; BEGIN IF ((reset_mode OR node_bus_off_xhdl13) = '1') THEN -- Reset or node_bus_off tx_next_xhdl30_xhdl105 := '1'; ELSE IF ((go_error_frame_xhdl33 OR error_frame) = '1') THEN -- Transmitting error frame IF (error_cnt1 < "110") THEN IF (node_error_passive_xhdl26 = '1') THEN tx_next_xhdl30_xhdl105 := '1'; ELSE tx_next_xhdl30_xhdl105 := '0'; END IF; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; ELSE IF ((go_overload_frame_xhdl32 OR overload_frame_xhdl4) = '1') THEN -- Transmitting overload frame IF (overload_cnt1 < "110") THEN tx_next_xhdl30_xhdl105 := '0'; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; ELSE IF ((go_tx_xhdl34 OR tx_state_xhdl2) = '1') THEN -- Transmitting message tx_next_xhdl30_xhdl105 := ((NOT bit_de_stuff_tx) AND tx_bit) OR (bit_de_stuff_tx AND (NOT tx_q)); ELSE IF (send_ack_xhdl35 = '1') THEN -- Acknowledge tx_next_xhdl30_xhdl105 := '0'; ELSE tx_next_xhdl30_xhdl105 := '1'; END IF; END IF; END IF; END IF; END IF; tx_next_xhdl30 <= tx_next_xhdl30_xhdl105; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_xhdl29 <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_xhdl29 <= '1'; ELSE IF (tx_point = '1') THEN tx_xhdl29 <= tx_next_xhdl30 ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_q <= '0' ; ELSE IF (tx_point = '1') THEN tx_q <= tx_xhdl29 AND (NOT go_early_tx_latched) ; END IF; END IF; END IF; END PROCESS; -- Delayed tx point PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_point_q <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_point_q <= '0' ; ELSE tx_point_q <= tx_point ; END IF; END IF; END PROCESS; -- Changing bit order from [7:0] to [0:7] i_ibo_tx_data_0 : can_ibo PORT MAP ( di => tx_data_0, do => r_tx_data_0); i_ibo_tx_data_1 : can_ibo PORT MAP ( di => tx_data_1, do => r_tx_data_1); i_ibo_tx_data_2 : can_ibo PORT MAP ( di => tx_data_2, do => r_tx_data_2); i_ibo_tx_data_3 : can_ibo PORT MAP ( di => tx_data_3, do => r_tx_data_3); i_ibo_tx_data_4 : can_ibo PORT MAP ( di => tx_data_4, do => r_tx_data_4); i_ibo_tx_data_5 : can_ibo PORT MAP ( di => tx_data_5, do => r_tx_data_5); i_ibo_tx_data_6 : can_ibo PORT MAP ( di => tx_data_6, do => r_tx_data_6); i_ibo_tx_data_7 : can_ibo PORT MAP ( di => tx_data_7, do => r_tx_data_7); i_ibo_tx_data_8 : can_ibo PORT MAP ( di => tx_data_8, do => r_tx_data_8); i_ibo_tx_data_9 : can_ibo PORT MAP ( di => tx_data_9, do => r_tx_data_9); i_ibo_tx_data_10 : can_ibo PORT MAP ( di => tx_data_10, do => r_tx_data_10); i_ibo_tx_data_11 : can_ibo PORT MAP ( di => tx_data_11, do => r_tx_data_11); i_ibo_tx_data_12 : can_ibo PORT MAP ( di => tx_data_12, do => r_tx_data_12); -- Changing bit order from [14:0] to [0:14] i_calculated_crc0 : can_ibo PORT MAP ( di => calculated_crc(14 DOWNTO 7), do => r_calculated_crc(7 DOWNTO 0)); xhdl_106 <= calculated_crc(6 DOWNTO 0) & '0'; i_calculated_crc1 : can_ibo PORT MAP ( di => xhdl_106, do => r_calculated_crc(15 DOWNTO 8)); basic_chain <= r_tx_data_1(7 DOWNTO 4) & "00" & r_tx_data_1(3 DOWNTO 0) & r_tx_data_0(7 DOWNTO 0) & '0' ; basic_chain_data <= r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 & r_tx_data_4 & r_tx_data_3 & r_tx_data_2 ; extended_chain_std <= r_tx_data_0(7 DOWNTO 4) & "00" & r_tx_data_0(1) & r_tx_data_2(2 DOWNTO 0) & r_tx_data_1(7 DOWNTO 0) & '0' ; extended_chain_ext <= r_tx_data_0(7 DOWNTO 4) & "00" & r_tx_data_0(1) & r_tx_data_4(4 DOWNTO 0) & r_tx_data_3(7 DOWNTO 0) & r_tx_data_2(7 DOWNTO 3) & '1' & '1' & r_tx_data_2(2 DOWNTO 0) & r_tx_data_1(7 DOWNTO 0) & '0' ; extended_chain_data_std <= r_tx_data_10 & r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 & r_tx_data_4 & r_tx_data_3 ; extended_chain_data_ext <= r_tx_data_12 & r_tx_data_11 & r_tx_data_10 & r_tx_data_9 & r_tx_data_8 & r_tx_data_7 & r_tx_data_6 & r_tx_data_5 ; PROCESS (extended_mode, rx_data, tx_pointer, extended_chain_data_std, extended_chain_data_ext, rx_crc, r_calculated_crc, r_tx_data_0, extended_chain_ext, extended_chain_std, basic_chain_data, basic_chain, finish_msg) VARIABLE tx_bit_xhdl107 : std_logic; BEGIN IF (extended_mode = '1') THEN IF (rx_data = '1') THEN -- data stage IF (r_tx_data_0(0) = '1') THEN -- Extended frame tx_bit_xhdl107 := extended_chain_data_ext(conv_integer(tx_pointer)); ELSE tx_bit_xhdl107 := extended_chain_data_std(conv_integer(tx_pointer)); END IF; ELSE IF (rx_crc = '1') THEN tx_bit_xhdl107 := r_calculated_crc(conv_integer(tx_pointer(3 downto 0))); ELSE IF (finish_msg = '1') THEN tx_bit_xhdl107 := '1'; ELSE IF (r_tx_data_0(0) = '1') THEN -- Extended frame tx_bit_xhdl107 := extended_chain_ext(conv_integer(tx_pointer)); ELSE tx_bit_xhdl107 := extended_chain_std(conv_integer(tx_pointer)); END IF; END IF; END IF; END IF; ELSE -- Basic mode IF (rx_data = '1') THEN -- data stage tx_bit_xhdl107 := basic_chain_data(conv_integer(tx_pointer)); ELSE IF (rx_crc = '1') THEN tx_bit_xhdl107 := r_calculated_crc(conv_integer(tx_pointer)); ELSE IF (finish_msg = '1') THEN tx_bit_xhdl107 := '1'; ELSE tx_bit_xhdl107 := basic_chain(conv_integer(tx_pointer)); END IF; END IF; END IF; END IF; tx_bit <= tx_bit_xhdl107; END PROCESS; temp_xhdl108 <= "111111" WHEN tx_data_0(3) = '1' ELSE ((tx_data_0(2 DOWNTO 0) & "000") - 1); limited_tx_cnt_ext <= temp_xhdl108 ; temp_xhdl109 <= "111111" WHEN tx_data_1(3) = '1' ELSE ((tx_data_1(2 DOWNTO 0) & "000") - 1); limited_tx_cnt_std <= temp_xhdl109 ; -- arbitration + control for extended format -- arbitration + control for extended format -- arbitration + control for standard format -- data (overflow is OK here) -- data (overflow is OK here) -- crc -- at the end rst_tx_pointer <= ((((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND extended_mode) AND r_tx_data_0(0)) AND CONV_STD_LOGIC(tx_pointer = "100110")) OR ((((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND extended_mode) AND (NOT r_tx_data_0(0))) AND CONV_STD_LOGIC(tx_pointer = "010010")) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND (NOT rx_data)) AND (NOT extended_mode)) AND CONV_STD_LOGIC(tx_pointer = "010010")) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND rx_data) AND extended_mode) AND CONV_STD_LOGIC(tx_pointer = limited_tx_cnt_ext)) OR (((((NOT bit_de_stuff_tx) AND tx_point) AND rx_data) AND (NOT extended_mode)) AND CONV_STD_LOGIC(tx_pointer = limited_tx_cnt_std)) OR (tx_point AND rx_crc_lim) OR (go_rx_idle) OR (reset_mode) OR (overload_frame_xhdl4) OR (error_frame) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_pointer <= "000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (rst_tx_pointer = '1') THEN tx_pointer <= "000000" ; ELSE IF ((go_early_tx OR ((tx_point AND (tx_state_xhdl2 OR go_tx_xhdl34)) AND (NOT bit_de_stuff_tx))) = '1') THEN tx_pointer <= tx_pointer + "000001" ; END IF; END IF; END IF; END PROCESS; tx_successful_xhdl19 <= ((((transmitter_xhdl8 AND go_rx_inter_xhdl9) AND (NOT go_error_frame_xhdl33)) AND (NOT error_frame_ended)) AND (NOT overload_frame_ended)) AND (NOT arbitration_lost) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN need_to_tx_xhdl20 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((tx_successful_xhdl19 OR reset_mode OR (abort_tx AND (NOT transmitting_xhdl7)) OR (((NOT tx_state_xhdl2) AND tx_state_q_xhdl3) AND single_shot_transmission)) = '1') THEN need_to_tx_xhdl20 <= '0' ; ELSE IF ((tx_request AND sample_point) = '1') THEN need_to_tx_xhdl20 <= '1' ; END IF; END IF; END IF; END PROCESS; go_early_tx <= ((((((NOT listen_only_mode) AND need_to_tx_xhdl20) AND (NOT tx_state_xhdl2)) AND (NOT suspend OR CONV_STD_LOGIC(susp_cnt = "111"))) AND sample_point) AND (NOT sampled_bit)) AND (rx_idle_xhdl6 OR last_bit_of_inter) ; go_tx_xhdl34 <= ((((NOT listen_only_mode) AND need_to_tx_xhdl20) AND (NOT tx_state_xhdl2)) AND (NOT suspend OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111")))) AND (go_early_tx OR rx_idle_xhdl6) ; -- go_early_tx latched (for proper bit_de_stuff generation) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN go_early_tx_latched <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR tx_point) = '1') THEN go_early_tx_latched <= '0' ; ELSE IF (go_early_tx = '1') THEN go_early_tx_latched <= '1' ; END IF; END IF; END IF; END PROCESS; -- Tx state PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_state_xhdl2 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR go_rx_inter_xhdl9 OR error_frame OR arbitration_lost) = '1') THEN tx_state_xhdl2 <= '0' ; ELSE IF (go_tx_xhdl34 = '1') THEN tx_state_xhdl2 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_state_q_xhdl3 <= '0' ; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN tx_state_q_xhdl3 <= '0' ; ELSE tx_state_q_xhdl3 <= tx_state_xhdl2 ; END IF; END IF; END PROCESS; -- Node is a transmitter PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmitter_xhdl8 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (go_tx_xhdl34 = '1') THEN transmitter_xhdl8 <= '1' ; ELSE IF ((reset_mode OR go_rx_idle OR (suspend AND go_rx_id1)) = '1') THEN transmitter_xhdl8 <= '0' ; END IF; END IF; END IF; END PROCESS; -- Signal "transmitting" signals that the core is a transmitting (message, error frame or overload frame). No synchronization is done meanwhile. -- Node might be both transmitter or receiver (sending error or overload frame) PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmitting_xhdl7 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_error_frame_xhdl33 OR go_overload_frame_xhdl32 OR go_tx_xhdl34 OR send_ack_xhdl35) = '1') THEN transmitting_xhdl7 <= '1' ; ELSE IF ((reset_mode OR go_rx_idle OR (go_rx_id1 AND (NOT tx_state_xhdl2)) OR (arbitration_lost AND tx_state_xhdl2)) = '1') THEN transmitting_xhdl7 <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN suspend <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN suspend <= '0' ; ELSE IF (((not_first_bit_of_inter_xhdl10 AND transmitter_xhdl8) AND node_error_passive_xhdl26) = '1') THEN suspend <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN susp_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN susp_cnt_en <= '0' ; ELSE IF (((suspend AND sample_point) AND last_bit_of_inter) = '1') THEN susp_cnt_en <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN susp_cnt <= "000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR (sample_point AND CONV_STD_LOGIC(susp_cnt = "111"))) = '1') THEN susp_cnt <= "000" ; ELSE IF ((susp_cnt_en AND sample_point) = '1') THEN susp_cnt <= susp_cnt + "001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN finish_msg <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_rx_idle OR go_rx_id1 OR error_frame OR reset_mode) = '1') THEN finish_msg <= '0' ; ELSE IF (go_rx_crc_lim = '1') THEN finish_msg <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((go_rx_idle OR error_frame_ended OR reset_mode) = '1') THEN arbitration_lost <= '0' ; ELSE IF (((((transmitter_xhdl8 AND sample_point) AND tx_xhdl29) AND arbitration_field) AND NOT sampled_bit) = '1') THEN arbitration_lost <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_q <= '0' ; read_arbitration_lost_capture_reg_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN arbitration_lost_q <= '0'; read_arbitration_lost_capture_reg_q <= '0'; ELSE arbitration_lost_q <= arbitration_lost; read_arbitration_lost_capture_reg_q <= read_arbitration_lost_capture_reg ; END IF; END IF; END PROCESS; set_arbitration_lost_irq_xhdl24 <= (arbitration_lost AND (NOT arbitration_lost_q)) AND (NOT arbitration_blocked) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN read_error_code_capture_reg_q <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN read_error_code_capture_reg_q <= read_error_code_capture_reg; END IF; END PROCESS; reset_error_code_capture_reg <= read_error_code_capture_reg_q and not read_error_code_capture_reg; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR arbitration_blocked) = '1') THEN arbitration_cnt_en <= '0' ; ELSE IF (((rx_id1 AND sample_point) AND (NOT arbitration_blocked)) = '1') THEN arbitration_cnt_en <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((reset_mode OR read_arbitration_lost_capture_reg) = '1') THEN arbitration_blocked <= '0' ; ELSE IF (set_arbitration_lost_irq_xhdl24 = '1') THEN arbitration_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_capture_xhdl25 <= "00000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (read_arbitration_lost_capture_reg_q = '1') THEN arbitration_lost_capture_xhdl25 <= "00000" ; ELSE IF ((((sample_point AND (NOT arbitration_blocked)) AND arbitration_cnt_en) AND (NOT bit_de_stuff)) = '1') THEN arbitration_lost_capture_xhdl25 <= arbitration_lost_capture_xhdl25 + "00001" ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN rx_err_cnt_xhdl15 <= "000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF ((we_rx_err_cnt AND (NOT node_bus_off_xhdl13)) = '1') THEN rx_err_cnt_xhdl15 <= '0' & data_in ; ELSE IF (set_reset_mode_xhdl12 = '1') THEN rx_err_cnt_xhdl15 <= "000000000" ; ELSE IF (((NOT listen_only_mode) AND (NOT transmitter_xhdl8 OR arbitration_lost)) = '1') THEN IF ((((go_rx_ack_lim AND (NOT go_error_frame_xhdl33)) AND (NOT crc_err)) AND CONV_STD_LOGIC(rx_err_cnt_xhdl15 > "000000000")) = '1') THEN IF (rx_err_cnt_xhdl15 > "001111111") THEN rx_err_cnt_xhdl15 <= "001111111" ; ELSE rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 - "000000001" ; END IF; ELSE IF (rx_err_cnt_xhdl15 < "010000000") THEN IF ((go_error_frame_xhdl33 AND (NOT rule5)) = '1') THEN -- 1 (rule 5 is just the opposite then rule 1 exception rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 + "000000001" ; ELSE IF ((((((error_flag_over AND (NOT error_flag_over_latched)) AND sample_point) AND (NOT sampled_bit)) AND CONV_STD_LOGIC(error_cnt1 = "111")) OR (go_error_frame_xhdl33 AND rule5) OR ((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC(delayed_dominant_cnt = "111"))) = '1') THEN -- 2 -- 5 -- 6 rx_err_cnt_xhdl15 <= rx_err_cnt_xhdl15 + "000001000" ; END IF; END IF; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN tx_err_cnt_xhdl16 <= "000000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (we_tx_err_cnt = '1') THEN tx_err_cnt_xhdl16 <= '0' & data_in ; ELSE IF (set_reset_mode_xhdl12 = '1') THEN tx_err_cnt_xhdl16 <= "010000000" ; ELSE IF ((CONV_STD_LOGIC(tx_err_cnt_xhdl16 > "000000000") AND (tx_successful_xhdl19 OR bus_free)) = '1') THEN tx_err_cnt_xhdl16 <= tx_err_cnt_xhdl16 - "000000001" ; ELSE IF ((transmitter_xhdl8 AND (NOT arbitration_lost)) = '1') THEN IF ((((sample_point AND (NOT sampled_bit)) AND CONV_STD_LOGIC(delayed_dominant_cnt = "111")) OR (go_error_frame_xhdl33 AND rule5) OR ((go_error_frame_xhdl33 AND (NOT ((transmitter_xhdl8 AND node_error_passive_xhdl26) AND ack_err))) AND (NOT (((((transmitter_xhdl8 AND stuff_err) AND arbitration_field) AND sample_point) AND tx_xhdl29) AND (NOT sampled_bit)))) OR (error_frame AND rule3_exc1_2)) = '1') THEN -- 6 -- 4 (rule 5 is the same as rule 4) -- 3 -- 3 tx_err_cnt_xhdl16 <= tx_err_cnt_xhdl16 + "000001000" ; END IF; END IF; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN node_error_passive_xhdl26 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((rx_err_cnt_xhdl15 < "010000000") AND (tx_err_cnt_xhdl16 < "010000000")) THEN node_error_passive_xhdl26 <= '0' ; ELSE IF (((CONV_STD_LOGIC((rx_err_cnt_xhdl15 >= "010000000") OR (tx_err_cnt_xhdl16 >= "010000000")) AND (error_frame_ended OR go_error_frame_xhdl33 OR ((NOT reset_mode) AND reset_mode_q))) AND (NOT node_bus_off_xhdl13)) = '1') THEN node_error_passive_xhdl26 <= '1' ; END IF; END IF; END IF; END PROCESS; node_error_active_xhdl27 <= NOT (node_error_passive_xhdl26 OR node_bus_off_xhdl13) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN node_bus_off_xhdl13 <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (((CONV_STD_LOGIC((rx_err_cnt_xhdl15 = "000000000") AND (tx_err_cnt_xhdl16 = "000000000")) AND (NOT reset_mode)) OR (we_tx_err_cnt AND CONV_STD_LOGIC(data_in < "11111111"))) = '1') THEN node_bus_off_xhdl13 <= '0' ; ELSE IF ((CONV_STD_LOGIC(tx_err_cnt_xhdl16 >= "100000000") OR (we_tx_err_cnt AND CONV_STD_LOGIC(data_in = "11111111"))) = '1') THEN node_bus_off_xhdl13 <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free_cnt <= "0000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bus_free_cnt <= "0000" ; ELSE IF (sample_point = '1') THEN IF (((sampled_bit AND bus_free_cnt_en) AND CONV_STD_LOGIC(bus_free_cnt < "1010")) = '1') THEN bus_free_cnt <= bus_free_cnt + "0001" ; ELSE bus_free_cnt <= "0000" ; END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free_cnt_en <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF ((((NOT reset_mode) AND reset_mode_q) OR (node_bus_off_q AND (NOT reset_mode))) = '1') THEN bus_free_cnt_en <= '1' ; ELSE IF ((((sample_point AND sampled_bit) AND CONV_STD_LOGIC(bus_free_cnt = "1010")) AND (NOT node_bus_off_xhdl13)) = '1') THEN bus_free_cnt_en <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_free <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN bus_free <= '0'; ELSE IF (((sample_point AND sampled_bit) AND CONV_STD_LOGIC(bus_free_cnt = "1010")) = '1') THEN bus_free <= '1' ; ELSE bus_free <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN waiting_for_bus_free <= '1'; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_mode = '1') THEN waiting_for_bus_free <= '1'; ELSE IF ((bus_free AND (NOT node_bus_off_xhdl13)) = '1') THEN waiting_for_bus_free <= '0' ; ELSE IF ((((NOT reset_mode) AND reset_mode_q) OR (node_bus_off_q AND (NOT reset_mode))) = '1') THEN waiting_for_bus_free <= '1' ; END IF; END IF; END IF; END IF; END PROCESS; bus_off_on_xhdl31 <= NOT node_bus_off_xhdl13 ; set_reset_mode_xhdl12 <= node_bus_off_xhdl13 AND (NOT node_bus_off_q) ; temp_xhdl110 <= ((rx_err_cnt_xhdl15 >= ('0' & error_warning_limit)) OR (tx_err_cnt_xhdl16 >= ('0' & error_warning_limit))) WHEN extended_mode = '1' ELSE ((rx_err_cnt_xhdl15 >= "001100000") OR (tx_err_cnt_xhdl16 >= "001100000")); error_status_xhdl14 <= CONV_STD_LOGIC(temp_xhdl110) ; transmit_status_xhdl17 <= transmitting_xhdl7 OR (extended_mode AND waiting_for_bus_free) ; temp_xhdl111 <= (waiting_for_bus_free OR ((NOT rx_idle_xhdl6) AND (NOT transmitting_xhdl7))) WHEN extended_mode = '1' ELSE (((NOT waiting_for_bus_free) AND (NOT rx_idle_xhdl6)) AND (NOT transmitting_xhdl7)); receive_status_xhdl18 <= temp_xhdl111 ; -- Error code capture register PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_capture_code_xhdl5 <= "00000000"; ELSIF (clk'EVENT AND clk = '1') THEN IF (reset_error_code_capture_reg = '1') THEN error_capture_code_xhdl5 <= "00000000" ; ELSE IF (set_bus_error_irq_xhdl23 = '1') THEN error_capture_code_xhdl5 <= error_capture_code_type(7 DOWNTO 6) & error_capture_code_direction & error_capture_code_segment(4 DOWNTO 0) ; END IF; END IF; END IF; END PROCESS; error_capture_code_segment(0) <= rx_idle_xhdl6 OR rx_ide OR (rx_id2 AND CONV_STD_LOGIC(bit_cnt < "001101")) OR rx_r1 OR rx_r0 OR rx_dlc OR rx_ack OR rx_ack_lim OR (error_frame AND node_error_active_xhdl27) ; error_capture_code_segment(1) <= rx_idle_xhdl6 OR rx_id1 OR rx_id2 OR rx_dlc OR rx_data OR rx_ack_lim OR rx_eof OR rx_inter_xhdl11 OR (error_frame AND node_error_passive_xhdl26) ; error_capture_code_segment(2) <= (rx_id1 AND CONV_STD_LOGIC(bit_cnt > "000111")) OR rx_rtr1 OR rx_ide OR rx_id2 OR rx_rtr2 OR rx_r1 OR (error_frame AND node_error_passive_xhdl26) OR overload_frame_xhdl4 ; error_capture_code_segment(3) <= (rx_id2 AND CONV_STD_LOGIC(bit_cnt > "000100")) OR rx_rtr2 OR rx_r1 OR rx_r0 OR rx_dlc OR rx_data OR rx_crc OR rx_crc_lim OR rx_ack OR rx_ack_lim OR rx_eof OR overload_frame_xhdl4 ; error_capture_code_segment(4) <= rx_crc_lim OR rx_ack OR rx_ack_lim OR rx_eof OR rx_inter_xhdl11 OR error_frame OR overload_frame_xhdl4 ; error_capture_code_direction <= NOT transmitting_xhdl7 ; PROCESS (bit_err, form_err, stuff_err) VARIABLE error_capture_code_type_xhdl112 : std_logic_vector(7 DOWNTO 6); BEGIN IF (bit_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "00"; ELSE IF (form_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "01"; ELSE IF (stuff_err = '1') THEN error_capture_code_type_xhdl112(7 DOWNTO 6) := "10"; ELSE error_capture_code_type_xhdl112(7 DOWNTO 6) := "11"; END IF; END IF; END IF; error_capture_code_type <= error_capture_code_type_xhdl112; END PROCESS; set_bus_error_irq_xhdl23 <= go_error_frame_xhdl33 AND (NOT error_capture_code_blocked) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_capture_code_blocked <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (read_error_code_capture_reg = '1') THEN error_capture_code_blocked <= '0' ; ELSE IF (set_bus_error_irq_xhdl23 = '1') THEN error_capture_code_blocked <= '1' ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register.v,v $ -- Revision 1.7 2004/02/08 14:32:31 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY can_register IS GENERIC ( WIDTH : integer := 8); -- default parameter of the register width PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic); END ENTITY can_register; ARCHITECTURE RTL OF can_register IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (we = '1') THEN -- write data_out_xhdl1 <= data_in; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:51 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_asyn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_asyn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_asyn.v,v $ -- Revision 1.7 2004/02/08 14:33:19 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_register_asyn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic); END ENTITY can_register_asyn; ARCHITECTURE RTL OF can_register_asyn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN -- asynchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSIF (clk'EVENT AND clk = '1') THEN IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_asyn_syn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_asyn_syn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_asyn_syn.v,v $ -- Revision 1.7 2004/02/08 14:33:59 mohor -- Header changed. -- -- Revision 1.6 2003/03/20 16:52:43 mohor -- unix. -- -- Revision 1.4 2003/03/11 16:32:34 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_register_asyn_syn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic; rst_sync : IN std_logic); END ENTITY can_register_asyn_syn; ARCHITECTURE RTL OF can_register_asyn_syn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSIF (clk'EVENT AND clk = '1') THEN IF (rst_sync = '1') THEN -- synchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, WIDTH); ELSE IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_register_syn -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_register_syn.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_register_syn.v,v $ -- Revision 1.5 2004/02/08 14:34:40 mohor -- Header changed. -- -- Revision 1.4 2003/03/11 16:31:58 mohor -- timescale.v is used for simulation only. -- -- Revision 1.3 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.2 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_register_syn IS GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst_sync : IN std_logic); END ENTITY can_register_syn; ARCHITECTURE RTL OF can_register_syn IS TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); SIGNAL data_out_xhdl1 : std_logic_vector(WIDTH - 1 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN IF (rst_sync = '1') THEN -- synchronous reset data_out_xhdl1 <= CONV_STD_LOGIC_VECTOR(RESET_VALUE, 8); ELSE IF (we = '1') THEN -- write data_out_xhdl1 <= data_in ; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:52 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_registers -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_registers.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- Revision 1.36 2005/03/18 15:04:05 igorm -- Wake-up interrupt was generated in some cases. -- -- Revision 1.35 2004/11/30 15:08:26 igorm -- irq is cleared after the release_buffer command. This bug was entered with -- changes for the edge triggered interrupts. -- -- Revision 1.34 2004/11/18 12:39:43 igorm -- Fixes for compatibility after the SW reset. -- -- Revision 1.33 2004/10/25 11:44:38 igorm -- Interrupt is always cleared for one clock after the irq register is read. -- This fixes problems when CPU is using IRQs that are edge triggered. -- -- Revision 1.32 2004/05/12 15:58:41 igorm -- Core improved to pass all tests with the Bosch VHDL Reference system. -- -- Revision 1.31 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.30 2003/07/16 15:19:34 mohor -- Fixed according to the linter. -- Case statement for data_out joined. -- -- Revision 1.29 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.28 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.27 2003/06/22 09:43:03 mohor -- synthesi full_case parallel_case fixed. -- -- Revision 1.26 2003/06/22 01:33:14 mohor -- clkout is clk/2 after the reset. -- -- Revision 1.25 2003/06/21 12:16:30 mohor -- paralel_case and full_case compiler directives added to case statements. -- -- Revision 1.24 2003/06/09 11:22:54 mohor -- data_out is already registered in the can_top.v file. -- -- Revision 1.23 2003/04/15 15:31:24 mohor -- Some features are supported in extended mode only (listen_only_mode...). -- -- Revision 1.22 2003/03/20 16:58:50 mohor -- unix. -- -- Revision 1.20 2003/03/11 16:31:05 mohor -- Mux used for clkout to avoid "gated clocks warning". -- -- Revision 1.19 2003/03/10 17:34:25 mohor -- Doubled declarations removed. -- -- Revision 1.18 2003/03/01 22:52:11 mohor -- Data is latched on read. -- -- Revision 1.17 2003/02/19 15:09:02 mohor -- Incomplete sensitivity list fixed. -- -- Revision 1.16 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.15 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.14 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.13 2003/02/12 14:25:30 mohor -- abort_tx added. -- -- Revision 1.12 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.11 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.10 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.9 2003/01/15 13:16:48 mohor -- When a frame with "remote request" is received, no data is stored -- to fifo, just the frame information (identifier, ...). Data length -- that is stored is the received data length and not the actual data -- length that is stored to fifo. -- -- Revision 1.8 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.7 2003/01/14 12:19:35 mohor -- rx_fifo is now working. -- -- Revision 1.6 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.5 2003/01/09 14:46:58 mohor -- Temporary files (backup). -- -- Revision 1.4 2003/01/08 02:10:55 mohor -- Acceptance filter added. -- -- Revision 1.3 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.2 2002/12/26 16:00:34 mohor -- Testbench define file added. Clock divider register added. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library grlib; use grlib.stdlib.all; ENTITY can_registers IS PORT ( clk : IN std_logic; rst : IN std_logic; cs : IN std_logic; we : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); irq_n : OUT std_logic; sample_point : IN std_logic; transmitting : IN std_logic; set_reset_mode : IN std_logic; node_bus_off : IN std_logic; error_status : IN std_logic; rx_err_cnt : IN std_logic_vector(7 DOWNTO 0); tx_err_cnt : IN std_logic_vector(7 DOWNTO 0); transmit_status : IN std_logic; receive_status : IN std_logic; tx_successful : IN std_logic; need_to_tx : IN std_logic; overrun : IN std_logic; info_empty : IN std_logic; set_bus_error_irq : IN std_logic; set_arbitration_lost_irq: IN std_logic; arbitration_lost_capture: IN std_logic_vector(4 DOWNTO 0); node_error_passive : IN std_logic; node_error_active : IN std_logic; rx_message_counter : IN std_logic_vector(6 DOWNTO 0); -- Mode register reset_mode : OUT std_logic; listen_only_mode : OUT std_logic; acceptance_filter_mode : OUT std_logic; self_test_mode : OUT std_logic; -- Command register clear_data_overrun : OUT std_logic; release_buffer : OUT std_logic; abort_tx : OUT std_logic; tx_request : OUT std_logic; self_rx_request : OUT std_logic; single_shot_transmission: OUT std_logic; tx_state : IN std_logic; tx_state_q : IN std_logic; overload_request : OUT std_logic; overload_frame : IN std_logic; -- Arbitration Lost Capture Register read_arbitration_lost_capture_reg: OUT std_logic; -- Error Code Capture Register read_error_code_capture_reg: OUT std_logic; error_capture_code : IN std_logic_vector(7 DOWNTO 0); -- Bus Timing 0 register baud_r_presc : OUT std_logic_vector(5 DOWNTO 0); sync_jump_width : OUT std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register time_segment1 : OUT std_logic_vector(3 DOWNTO 0); time_segment2 : OUT std_logic_vector(2 DOWNTO 0); triple_sampling : OUT std_logic; -- Error Warning Limit register error_warning_limit : OUT std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register we_rx_err_cnt : OUT std_logic; -- Tx Error Counter register we_tx_err_cnt : OUT std_logic; -- Clock Divider register extended_mode : OUT std_logic; clkout : OUT std_logic; -- This section is for BASIC and EXTENDED mode -- Acceptance code register acceptance_code_0 : OUT std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_0 : OUT std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register acceptance_code_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_3 : OUT std_logic_vector(7 DOWNTO 0); -- Acceptance mask register acceptance_mask_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : OUT std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data tx_data_0 : OUT std_logic_vector(7 DOWNTO 0); tx_data_1 : OUT std_logic_vector(7 DOWNTO 0); tx_data_2 : OUT std_logic_vector(7 DOWNTO 0); tx_data_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_4 : OUT std_logic_vector(7 DOWNTO 0); tx_data_5 : OUT std_logic_vector(7 DOWNTO 0); tx_data_6 : OUT std_logic_vector(7 DOWNTO 0); tx_data_7 : OUT std_logic_vector(7 DOWNTO 0); tx_data_8 : OUT std_logic_vector(7 DOWNTO 0); tx_data_9 : OUT std_logic_vector(7 DOWNTO 0); tx_data_10 : OUT std_logic_vector(7 DOWNTO 0); tx_data_11 : OUT std_logic_vector(7 DOWNTO 0); tx_data_12 : OUT std_logic_vector(7 DOWNTO 0)); END ENTITY can_registers; ARCHITECTURE RTL OF can_registers IS CONSTANT xhdl_timescale : time := 1 ns; COMPONENT can_register GENERIC ( WIDTH : integer := 8); -- default parameter of the register width PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic); END COMPONENT; COMPONENT can_register_asyn GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic); END COMPONENT; COMPONENT can_register_asyn_syn GENERIC ( WIDTH : integer := 8; -- default parameter of the register width RESET_VALUE : integer := 0); PORT ( data_in : IN std_logic_vector(WIDTH - 1 DOWNTO 0); data_out : OUT std_logic_vector(WIDTH - 1 DOWNTO 0); we : IN std_logic; clk : IN std_logic; rst : IN std_logic; rst_sync : IN std_logic); END COMPONENT; TYPE xhdl_15 IS ARRAY (0 TO 63) OF std_logic_vector(7 DOWNTO 0); TYPE xhdl_16 IS ARRAY (0 TO 63) OF std_logic_vector(3 DOWNTO 0); TYPE xhdl_17 IS ARRAY (0 TO 63) OF std_logic; TYPE xhdl_46 IS ARRAY (0 TO 7) OF std_logic_vector(7 DOWNTO 0); -- End: Tx data registers signal read_irq_reg_q : std_logic; signal reset_irq_reg : std_logic; SIGNAL tx_successful_q : std_logic; SIGNAL overrun_q : std_logic; SIGNAL overrun_status : std_logic; SIGNAL transmission_complete : std_logic; SIGNAL transmit_buffer_status_q : std_logic; SIGNAL receive_buffer_status : std_logic; SIGNAL error_status_q : std_logic; SIGNAL node_bus_off_q : std_logic; SIGNAL node_error_passive_q : std_logic; SIGNAL transmit_buffer_status : std_logic; -- Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed. SIGNAL data_overrun_irq_en : std_logic; SIGNAL error_warning_irq_en : std_logic; SIGNAL transmit_irq_en : std_logic; SIGNAL receive_irq_en : std_logic; SIGNAL irq_reg : std_logic_vector(7 DOWNTO 0); SIGNAL irq : std_logic; SIGNAL we_mode : std_logic; SIGNAL we_command : std_logic; SIGNAL we_bus_timing_0 : std_logic; SIGNAL we_bus_timing_1 : std_logic; SIGNAL we_clock_divider_low : std_logic; SIGNAL we_clock_divider_hi : std_logic; SIGNAL read : std_logic; SIGNAL read_irq_reg : std_logic; -- This section is for BASIC and EXTENDED mode SIGNAL we_acceptance_code_0 : std_logic; SIGNAL we_acceptance_mask_0 : std_logic; SIGNAL we_tx_data_0 : std_logic; SIGNAL we_tx_data_1 : std_logic; SIGNAL we_tx_data_2 : std_logic; SIGNAL we_tx_data_3 : std_logic; SIGNAL we_tx_data_4 : std_logic; SIGNAL we_tx_data_5 : std_logic; SIGNAL we_tx_data_6 : std_logic; SIGNAL we_tx_data_7 : std_logic; SIGNAL we_tx_data_8 : std_logic; SIGNAL we_tx_data_9 : std_logic; SIGNAL we_tx_data_10 : std_logic; SIGNAL we_tx_data_11 : std_logic; SIGNAL we_tx_data_12 : std_logic; -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode SIGNAL we_interrupt_enable : std_logic; SIGNAL we_error_warning_limit : std_logic; SIGNAL we_acceptance_code_1 : std_logic; SIGNAL we_acceptance_code_2 : std_logic; SIGNAL we_acceptance_code_3 : std_logic; SIGNAL we_acceptance_mask_1 : std_logic; SIGNAL we_acceptance_mask_2 : std_logic; SIGNAL we_acceptance_mask_3 : std_logic; -- Mode register SIGNAL mode : std_logic; SIGNAL mode_basic : std_logic_vector(4 DOWNTO 1); SIGNAL mode_ext : std_logic_vector(3 DOWNTO 1); SIGNAL receive_irq_en_basic : std_logic; SIGNAL transmit_irq_en_basic : std_logic; SIGNAL error_irq_en_basic : std_logic; SIGNAL overrun_irq_en_basic : std_logic; SIGNAL port_xhdl52 : std_logic; SIGNAL xhdl_61 : std_logic; -- End Mode register -- Command register SIGNAL command : std_logic_vector(4 DOWNTO 0); SIGNAL xhdl_69 : std_logic; SIGNAL port_xhdl70 : std_logic; SIGNAL port_xhdl71 : std_logic; SIGNAL xhdl_77 : std_logic; SIGNAL port_xhdl78 : std_logic; SIGNAL port_xhdl79 : std_logic; SIGNAL xhdl_85 : std_logic; SIGNAL xhdl_91 : std_logic; SIGNAL port_xhdl92 : std_logic; SIGNAL port_xhdl93 : std_logic; -- End Command register -- Status register SIGNAL status : std_logic_vector(7 DOWNTO 0); -- End Status register -- Interrupt Enable register (extended mode) SIGNAL irq_en_ext : std_logic_vector(7 DOWNTO 0); SIGNAL bus_error_irq_en : std_logic; SIGNAL arbitration_lost_irq_en : std_logic; SIGNAL error_passive_irq_en : std_logic; SIGNAL data_overrun_irq_en_ext : std_logic; SIGNAL error_warning_irq_en_ext : std_logic; SIGNAL transmit_irq_en_ext : std_logic; SIGNAL receive_irq_en_ext : std_logic; -- End Bus Timing 0 register -- Bus Timing 0 register SIGNAL bus_timing_0 : std_logic_vector(7 DOWNTO 0); -- End Bus Timing 0 register -- Bus Timing 1 register SIGNAL bus_timing_1 : std_logic_vector(7 DOWNTO 0); -- End Error Warning Limit register -- Clock Divider register SIGNAL clock_divider : std_logic_vector(7 DOWNTO 0); SIGNAL clock_off : std_logic; SIGNAL cd : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_div : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_cnt : std_logic_vector(2 DOWNTO 0); SIGNAL clkout_tmp : std_logic; SIGNAL port_xhdl116 : std_logic; SIGNAL port_xhdl117 : std_logic; SIGNAL port_xhdl123 : std_logic; SIGNAL port_xhdl124 : std_logic; SIGNAL temp_xhdl131 : std_logic; SIGNAL temp_xhdl132 : std_logic; SIGNAL temp_xhdl218 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl219 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl220 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl221 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl222 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl223 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl224 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl225 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl226 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl227 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl228 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl229 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl230 : std_logic_vector(7 DOWNTO 0); -- basic mode SIGNAL temp_xhdl231 : std_logic_vector(7 DOWNTO 0); -- basic mode -- Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed. SIGNAL temp_xhdl233 : std_logic; SIGNAL temp_xhdl234 : std_logic; SIGNAL temp_xhdl235 : std_logic; SIGNAL temp_xhdl236 : std_logic; SIGNAL data_overrun_irq : std_logic; SIGNAL transmit_irq : std_logic; SIGNAL receive_irq : std_logic; SIGNAL error_irq : std_logic; SIGNAL bus_error_irq : std_logic; SIGNAL arbitration_lost_irq : std_logic; SIGNAL error_passive_irq : std_logic; SIGNAL data_out_xhdl1 : std_logic_vector(7 DOWNTO 0); SIGNAL irq_n_xhdl2 : std_logic; SIGNAL reset_mode_xhdl3 : std_logic; SIGNAL listen_only_mode_xhdl4 : std_logic; SIGNAL acceptance_filter_mode_xhdl5 : std_logic; SIGNAL self_test_mode_xhdl6 : std_logic; SIGNAL clear_data_overrun_xhdl7 : std_logic; SIGNAL release_buffer_xhdl8 : std_logic; SIGNAL abort_tx_xhdl9 : std_logic; SIGNAL tx_request_xhdl10 : std_logic; SIGNAL self_rx_request_xhdl11 : std_logic; SIGNAL single_shot_transmission_xhdl12 : std_logic; SIGNAL overload_request_xhdl13 : std_logic; SIGNAL read_arbitration_lost_capture_reg_xhdl14: std_logic; SIGNAL read_error_code_capture_reg_xhdl15: std_logic; SIGNAL baud_r_presc_xhdl16 : std_logic_vector(5 DOWNTO 0); SIGNAL sync_jump_width_xhdl17 : std_logic_vector(1 DOWNTO 0); SIGNAL time_segment1_xhdl18 : std_logic_vector(3 DOWNTO 0); SIGNAL time_segment2_xhdl19 : std_logic_vector(2 DOWNTO 0); SIGNAL triple_sampling_xhdl20 : std_logic; SIGNAL error_warning_limit_xhdl21 : std_logic_vector(7 DOWNTO 0); SIGNAL we_rx_err_cnt_xhdl22 : std_logic; SIGNAL we_tx_err_cnt_xhdl23 : std_logic; SIGNAL extended_mode_xhdl24 : std_logic; SIGNAL clkout_xhdl25 : std_logic; SIGNAL acceptance_code_0_xhdl26 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_0_xhdl27 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_1_xhdl28 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_2_xhdl29 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_3_xhdl30 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_1_xhdl31 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_2_xhdl32 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_3_xhdl33 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_0_xhdl34 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_1_xhdl35 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_2_xhdl36 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_3_xhdl37 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_4_xhdl38 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_5_xhdl39 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_6_xhdl40 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_7_xhdl41 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_8_xhdl42 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_9_xhdl43 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_10_xhdl44 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_11_xhdl45 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_12_xhdl46 : std_logic_vector(7 DOWNTO 0); BEGIN data_out <= data_out_xhdl1; irq_n <= irq_n_xhdl2; reset_mode <= reset_mode_xhdl3; listen_only_mode <= listen_only_mode_xhdl4; acceptance_filter_mode <= acceptance_filter_mode_xhdl5; self_test_mode <= self_test_mode_xhdl6; clear_data_overrun <= clear_data_overrun_xhdl7; release_buffer <= release_buffer_xhdl8; abort_tx <= abort_tx_xhdl9; tx_request <= tx_request_xhdl10; self_rx_request <= self_rx_request_xhdl11; single_shot_transmission <= single_shot_transmission_xhdl12; overload_request <= overload_request_xhdl13; read_arbitration_lost_capture_reg <= read_arbitration_lost_capture_reg_xhdl14; read_error_code_capture_reg <= read_error_code_capture_reg_xhdl15; baud_r_presc <= baud_r_presc_xhdl16; sync_jump_width <= sync_jump_width_xhdl17; time_segment1 <= time_segment1_xhdl18; time_segment2 <= time_segment2_xhdl19; triple_sampling <= triple_sampling_xhdl20; error_warning_limit <= error_warning_limit_xhdl21; we_rx_err_cnt <= we_rx_err_cnt_xhdl22; we_tx_err_cnt <= we_tx_err_cnt_xhdl23; extended_mode <= extended_mode_xhdl24; clkout <= clkout_xhdl25; acceptance_code_0 <= acceptance_code_0_xhdl26; acceptance_mask_0 <= acceptance_mask_0_xhdl27; acceptance_code_1 <= acceptance_code_1_xhdl28; acceptance_code_2 <= acceptance_code_2_xhdl29; acceptance_code_3 <= acceptance_code_3_xhdl30; acceptance_mask_1 <= acceptance_mask_1_xhdl31; acceptance_mask_2 <= acceptance_mask_2_xhdl32; acceptance_mask_3 <= acceptance_mask_3_xhdl33; tx_data_0 <= tx_data_0_xhdl34; tx_data_1 <= tx_data_1_xhdl35; tx_data_2 <= tx_data_2_xhdl36; tx_data_3 <= tx_data_3_xhdl37; tx_data_4 <= tx_data_4_xhdl38; tx_data_5 <= tx_data_5_xhdl39; tx_data_6 <= tx_data_6_xhdl40; tx_data_7 <= tx_data_7_xhdl41; tx_data_8 <= tx_data_8_xhdl42; tx_data_9 <= tx_data_9_xhdl43; tx_data_10 <= tx_data_10_xhdl44; tx_data_11 <= tx_data_11_xhdl45; tx_data_12 <= tx_data_12_xhdl46; we_mode <= (cs AND we) AND CONV_STD_LOGIC(addr = "00000000") ; we_command <= (cs AND we) AND CONV_STD_LOGIC(addr = "00000001") ; we_bus_timing_0 <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000110")) AND reset_mode_xhdl3 ; we_bus_timing_1 <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000111")) AND reset_mode_xhdl3 ; we_clock_divider_low <= (cs AND we) AND CONV_STD_LOGIC(addr = "00011111") ; we_clock_divider_hi <= we_clock_divider_low AND reset_mode_xhdl3 ; read <= cs AND (NOT we) ; read_irq_reg <= read AND CONV_STD_LOGIC(addr = "00000011") ; reset_irq_reg <= read_irq_reg_q and not read_irq_reg; read_arbitration_lost_capture_reg_xhdl14 <= (read AND extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001011") ; read_error_code_capture_reg_xhdl15 <= (read AND extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001100") ; we_acceptance_code_0 <= ((cs AND we) AND reset_mode_xhdl3) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00000100")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010000"))) ; we_acceptance_mask_0 <= ((cs AND we) AND reset_mode_xhdl3) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00000101")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010100"))) ; we_tx_data_0 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001010")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010000")))) AND transmit_buffer_status ; we_tx_data_1 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001011")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010001")))) AND transmit_buffer_status ; we_tx_data_2 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001100")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010010")))) AND transmit_buffer_status ; we_tx_data_3 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001101")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010011")))) AND transmit_buffer_status ; we_tx_data_4 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001110")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010100")))) AND transmit_buffer_status ; we_tx_data_5 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00001111")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010101")))) AND transmit_buffer_status ; we_tx_data_6 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010000")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010110")))) AND transmit_buffer_status ; we_tx_data_7 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010001")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00010111")))) AND transmit_buffer_status ; we_tx_data_8 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010010")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011000")))) AND transmit_buffer_status ; we_tx_data_9 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (((NOT extended_mode_xhdl24) AND CONV_STD_LOGIC(addr = "00010011")) OR (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011001")))) AND transmit_buffer_status ; we_tx_data_10 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011010"))) AND transmit_buffer_status ; we_tx_data_11 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011011"))) AND transmit_buffer_status ; we_tx_data_12 <= (((cs AND we) AND (NOT reset_mode_xhdl3)) AND (extended_mode_xhdl24 AND CONV_STD_LOGIC(addr = "00011100"))) AND transmit_buffer_status ; we_interrupt_enable <= ((cs AND we) AND CONV_STD_LOGIC(addr = "00000100")) AND extended_mode_xhdl24 ; we_error_warning_limit <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001101")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_rx_err_cnt_xhdl22 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001110")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_tx_err_cnt_xhdl23 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00001111")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_1 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010001")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_2 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010010")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_code_3 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010011")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_1 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010101")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_2 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010110")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; we_acceptance_mask_3 <= (((cs AND we) AND CONV_STD_LOGIC(addr = "00010111")) AND reset_mode_xhdl3) AND extended_mode_xhdl24 ; -- End: This section is for EXTENDED mode PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN read_irq_reg_q <= read_irq_reg; tx_successful_q <= tx_successful ; overrun_q <= overrun ; transmit_buffer_status_q <= transmit_buffer_status ; error_status_q <= error_status ; node_bus_off_q <= node_bus_off ; node_error_passive_q <= node_error_passive ; END IF; END PROCESS; port_xhdl52 <= data_in(0); MODE_REG0 : can_register_asyn_syn GENERIC MAP (1, 1) PORT MAP ( data_in(0) => port_xhdl52, data_out(0) => mode, we => we_mode, clk => clk, rst => rst, rst_sync => set_reset_mode); MODE_REG_BASIC : can_register_asyn GENERIC MAP (4, 0) PORT MAP ( data_in => data_in(4 DOWNTO 1), data_out => mode_basic(4 DOWNTO 1), we => we_mode, clk => clk, rst => rst); xhdl_61 <= (we_mode AND reset_mode_xhdl3); MODE_REG_EXT : can_register_asyn GENERIC MAP (3, 0) PORT MAP ( data_in => data_in(3 DOWNTO 1), data_out => mode_ext(3 DOWNTO 1), we => xhdl_61, clk => clk, rst => rst); reset_mode_xhdl3 <= mode ; listen_only_mode_xhdl4 <= extended_mode_xhdl24 AND mode_ext(1) ; self_test_mode_xhdl6 <= extended_mode_xhdl24 AND mode_ext(2) ; acceptance_filter_mode_xhdl5 <= extended_mode_xhdl24 AND mode_ext(3) ; receive_irq_en_basic <= mode_basic(1) ; transmit_irq_en_basic <= mode_basic(2) ; error_irq_en_basic <= mode_basic(3) ; overrun_irq_en_basic <= mode_basic(4) ; xhdl_69 <= (command(0) AND sample_point) OR reset_mode_xhdl3; port_xhdl70 <= data_in(0); command(0) <= port_xhdl71; COMMAND_REG0 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl70, data_out(0) => port_xhdl71, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_69); xhdl_77 <= (sample_point AND (tx_request_xhdl10 OR (abort_tx_xhdl9 AND NOT transmitting))) OR reset_mode_xhdl3; port_xhdl78 <= data_in(1); command(1) <= port_xhdl79; COMMAND_REG1 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl78, data_out(0) => port_xhdl79, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_77); xhdl_85 <= orv(command(3 DOWNTO 2)) OR reset_mode_xhdl3; COMMAND_REG : can_register_asyn_syn GENERIC MAP (2, 0) PORT MAP ( data_in => data_in(3 DOWNTO 2), data_out => command(3 DOWNTO 2), we => we_command, clk => clk, rst => rst, rst_sync => xhdl_85); xhdl_91 <= (command(4) AND sample_point) OR reset_mode_xhdl3; port_xhdl92 <= data_in(4); command(4) <= port_xhdl93; COMMAND_REG4 : can_register_asyn_syn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl92, data_out(0) => port_xhdl93, we => we_command, clk => clk, rst => rst, rst_sync => xhdl_91); PROCESS (clk, rst) BEGIN IF (rst = '1') THEN self_rx_request_xhdl11 <= '0'; ELSif clk'event and clk = '1' then IF ((command(4) AND (NOT command(0))) = '1') THEN self_rx_request_xhdl11 <= '1' ; ELSE IF (((NOT tx_state) AND tx_state_q) = '1') THEN self_rx_request_xhdl11 <= '0' ; END IF; END IF; END IF; END PROCESS; clear_data_overrun_xhdl7 <= command(3) ; release_buffer_xhdl8 <= command(2) ; tx_request_xhdl10 <= command(0) OR command(4) ; abort_tx_xhdl9 <= command(1) AND (NOT tx_request_xhdl10) ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN single_shot_transmission_xhdl12 <= '0'; ELSif clk'event and clk = '1' then IF (((tx_request_xhdl10 AND command(1)) AND sample_point) = '1') THEN single_shot_transmission_xhdl12 <= '1' ; ELSE IF (((NOT tx_state) AND tx_state_q) = '1') THEN single_shot_transmission_xhdl12 <= '0' ; END IF; END IF; END IF; END PROCESS; -- -- can_register_asyn_syn #(1, 1'h0) COMMAND_REG_OVERLOAD // Uncomment this to enable overload requests !!! -- ( .data_in(data_in[5]), -- .data_out(overload_request), -- .we(we_command), -- .clk(clk), -- .rst(rst), -- .rst_sync(overload_frame & ~overload_frame_q) -- ); -- reg overload_frame_q; -- always @ (posedge clk or posedge rst) -- begin -- if (rst) -- overload_frame_q <= 1'b0; -- else -- overload_frame_q <=#Tp overload_frame; -- end -- overload_request_xhdl13 <= '0' ; status(7) <= node_bus_off ; status(6) <= error_status ; status(5) <= transmit_status ; status(4) <= receive_status ; status(3) <= transmission_complete ; status(2) <= transmit_buffer_status ; status(1) <= overrun_status ; status(0) <= receive_buffer_status ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmission_complete <= '1'; ELSif clk'event and clk = '1' then IF ((tx_successful AND ((NOT tx_successful_q) OR abort_tx_xhdl9)) = '1') THEN -- transmission_complete was always set when abort_tx=1 -- Original code: -- IF (((tx_successful AND (NOT tx_successful_q)) OR abort_tx_xhdl9) = '1') THEN transmission_complete <= '1' ; ELSE IF (tx_request_xhdl10 = '1') THEN transmission_complete <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmit_buffer_status <= '1'; ELSif clk'event and clk = '1' then IF (tx_request_xhdl10 = '1') THEN transmit_buffer_status <= '0' ; ELSE IF ((reset_mode_xhdl3 OR NOT need_to_tx) = '1') THEN transmit_buffer_status <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN overrun_status <= '0'; ELSif clk'event and clk = '1' then IF ((overrun AND (NOT overrun_q)) = '1') THEN overrun_status <= '1' ; ELSE IF ((reset_mode_xhdl3 OR clear_data_overrun_xhdl7) = '1') THEN overrun_status <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN receive_buffer_status <= '0'; ELSif clk'event and clk = '1' then IF ((reset_mode_xhdl3 OR release_buffer_xhdl8) = '1') THEN receive_buffer_status <= '0' ; ELSE IF (NOT info_empty = '1') THEN receive_buffer_status <= '1' ; END IF; END IF; END IF; END PROCESS; IRQ_EN_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => irq_en_ext, we => we_interrupt_enable, clk => clk); bus_error_irq_en <= irq_en_ext(7) ; arbitration_lost_irq_en <= irq_en_ext(6) ; error_passive_irq_en <= irq_en_ext(5) ; data_overrun_irq_en_ext <= irq_en_ext(3) ; error_warning_irq_en_ext <= irq_en_ext(2) ; transmit_irq_en_ext <= irq_en_ext(1) ; receive_irq_en_ext <= irq_en_ext(0) ; BUS_TIMING_0_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => bus_timing_0, we => we_bus_timing_0, clk => clk); baud_r_presc_xhdl16 <= bus_timing_0(5 DOWNTO 0) ; sync_jump_width_xhdl17 <= bus_timing_0(7 DOWNTO 6) ; BUS_TIMING_1_REG : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => bus_timing_1, we => we_bus_timing_1, clk => clk); time_segment1_xhdl18 <= bus_timing_1(3 DOWNTO 0) ; time_segment2_xhdl19 <= bus_timing_1(6 DOWNTO 4) ; triple_sampling_xhdl20 <= bus_timing_1(7) ; -- End Bus Timing 1 register -- Error Warning Limit register ERROR_WARNING_REG : can_register_asyn GENERIC MAP (8, 96) PORT MAP ( data_in => data_in, data_out => error_warning_limit_xhdl21, we => we_error_warning_limit, clk => clk, rst => rst); port_xhdl116 <= data_in(7); clock_divider(7) <= port_xhdl117; CLOCK_DIVIDER_REG_7 : can_register_asyn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl116, data_out(0) => port_xhdl117, we => we_clock_divider_hi, clk => clk, rst => rst); clock_divider(6 DOWNTO 4) <= "000" ; port_xhdl123 <= data_in(3); clock_divider(3) <= port_xhdl124; CLOCK_DIVIDER_REG_3 : can_register_asyn GENERIC MAP (1, 0) PORT MAP ( data_in(0) => port_xhdl123, data_out(0) => port_xhdl124, we => we_clock_divider_hi, clk => clk, rst => rst); CLOCK_DIVIDER_REG_LOW : can_register_asyn GENERIC MAP (3, 0) PORT MAP ( data_in => data_in(2 DOWNTO 0), data_out => clock_divider(2 DOWNTO 0), we => we_clock_divider_low, clk => clk, rst => rst); extended_mode_xhdl24 <= clock_divider(7) ; clock_off <= clock_divider(3) ; cd(2 DOWNTO 0) <= clock_divider(2 DOWNTO 0) ; PROCESS (cd) VARIABLE clkout_div_xhdl130 : std_logic_vector(2 DOWNTO 0); BEGIN CASE cd IS -- synthesis full_case parallel_case WHEN "000" => clkout_div_xhdl130 := "000"; WHEN "001" => clkout_div_xhdl130 := "001"; WHEN "010" => clkout_div_xhdl130 := "010"; WHEN "011" => clkout_div_xhdl130 := "011"; WHEN "100" => clkout_div_xhdl130 := "100"; WHEN "101" => clkout_div_xhdl130 := "101"; WHEN "110" => clkout_div_xhdl130 := "110"; WHEN "111" => clkout_div_xhdl130 := "000"; WHEN OTHERS => NULL; END CASE; clkout_div <= clkout_div_xhdl130; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clkout_cnt <= "000"; ELSif clk'event and clk = '1' then IF (clkout_cnt = clkout_div) THEN clkout_cnt <= "000" ; ELSE clkout_cnt <= clkout_cnt + "001"; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN clkout_tmp <= '0'; ELSif clk'event and clk = '1' then IF (clkout_cnt = clkout_div) THEN clkout_tmp <= NOT clkout_tmp ; END IF; END IF; END PROCESS; temp_xhdl131 <= clk WHEN (andv(cd)) = '1' ELSE clkout_tmp; temp_xhdl132 <= '1' WHEN clock_off = '1' ELSE (temp_xhdl131); clkout_xhdl25 <= temp_xhdl132 ; -- End Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register ACCEPTANCE_CODE_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_0_xhdl26, we => we_acceptance_code_0, clk => clk); -- End: Acceptance code register -- Acceptance mask register ACCEPTANCE_MASK_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_0_xhdl27, we => we_acceptance_mask_0, clk => clk); -- End: Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- Tx data 0 register. TX_DATA_REG0 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_0_xhdl34, we => we_tx_data_0, clk => clk); -- End: Tx data 0 register. -- Tx data 1 register. TX_DATA_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_1_xhdl35, we => we_tx_data_1, clk => clk); -- End: Tx data 1 register. -- Tx data 2 register. TX_DATA_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_2_xhdl36, we => we_tx_data_2, clk => clk); -- End: Tx data 2 register. -- Tx data 3 register. TX_DATA_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_3_xhdl37, we => we_tx_data_3, clk => clk); -- End: Tx data 3 register. -- Tx data 4 register. TX_DATA_REG4 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_4_xhdl38, we => we_tx_data_4, clk => clk); -- End: Tx data 4 register. -- Tx data 5 register. TX_DATA_REG5 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_5_xhdl39, we => we_tx_data_5, clk => clk); -- End: Tx data 5 register. -- Tx data 6 register. TX_DATA_REG6 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_6_xhdl40, we => we_tx_data_6, clk => clk); -- End: Tx data 6 register. -- Tx data 7 register. TX_DATA_REG7 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_7_xhdl41, we => we_tx_data_7, clk => clk); -- End: Tx data 7 register. -- Tx data 8 register. TX_DATA_REG8 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_8_xhdl42, we => we_tx_data_8, clk => clk); -- End: Tx data 8 register. -- Tx data 9 register. TX_DATA_REG9 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_9_xhdl43, we => we_tx_data_9, clk => clk); -- End: Tx data 9 register. -- Tx data 10 register. TX_DATA_REG10 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_10_xhdl44, we => we_tx_data_10, clk => clk); -- End: Tx data 10 register. -- Tx data 11 register. TX_DATA_REG11 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_11_xhdl45, we => we_tx_data_11, clk => clk); -- End: Tx data 11 register. -- Tx data 12 register. TX_DATA_REG12 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => tx_data_12_xhdl46, we => we_tx_data_12, clk => clk); -- End: Tx data 12 register. -- This section is for EXTENDED mode -- Acceptance code register 1 ACCEPTANCE_CODE_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_1_xhdl28, we => we_acceptance_code_1, clk => clk); -- End: Acceptance code register -- Acceptance code register 2 ACCEPTANCE_CODE_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_2_xhdl29, we => we_acceptance_code_2, clk => clk); -- End: Acceptance code register -- Acceptance code register 3 ACCEPTANCE_CODE_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_code_3_xhdl30, we => we_acceptance_code_3, clk => clk); -- End: Acceptance code register -- Acceptance mask register 1 ACCEPTANCE_MASK_REG1 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_1_xhdl31, we => we_acceptance_mask_1, clk => clk); -- End: Acceptance code register -- Acceptance mask register 2 ACCEPTANCE_MASK_REG2 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_2_xhdl32, we => we_acceptance_mask_2, clk => clk); -- End: Acceptance code register -- Acceptance mask register 3 ACCEPTANCE_MASK_REG3 : can_register GENERIC MAP (8) PORT MAP ( data_in => data_in, data_out => acceptance_mask_3_xhdl33, we => we_acceptance_mask_3, clk => clk); temp_xhdl218 <= acceptance_code_0_xhdl26 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl219 <= acceptance_mask_0_xhdl27 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl220 <= bus_timing_0 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl221 <= bus_timing_1 WHEN reset_mode_xhdl3 = '1' ELSE "11111111"; temp_xhdl222 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_0_xhdl34; temp_xhdl223 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_1_xhdl35; temp_xhdl224 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_2_xhdl36; temp_xhdl225 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_3_xhdl37; temp_xhdl226 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_4_xhdl38; temp_xhdl227 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_5_xhdl39; temp_xhdl228 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_6_xhdl40; temp_xhdl229 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_7_xhdl41; temp_xhdl230 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_8_xhdl42; temp_xhdl231 <= "11111111" WHEN reset_mode_xhdl3 = '1' ELSE tx_data_9_xhdl43; -- End: Acceptance code register -- End: This section is for EXTENDED mode -- Reading data from registers PROCESS (addr, extended_mode_xhdl24, mode, bus_timing_0, bus_timing_1, clock_divider, acceptance_code_0_xhdl26, acceptance_code_1_xhdl28, acceptance_code_2_xhdl29, acceptance_code_3_xhdl30, acceptance_mask_0_xhdl27, acceptance_mask_1_xhdl31, acceptance_mask_2_xhdl32, acceptance_mask_3_xhdl33, status, error_warning_limit_xhdl21, rx_err_cnt, tx_err_cnt, irq_en_ext, irq_reg, mode_ext, arbitration_lost_capture, rx_message_counter, mode_basic, error_capture_code, temp_xhdl218, temp_xhdl219, temp_xhdl220, temp_xhdl221, temp_xhdl222, temp_xhdl223, temp_xhdl224, temp_xhdl225, temp_xhdl226, temp_xhdl227, temp_xhdl228, temp_xhdl229, temp_xhdl230, temp_xhdl231 ) VARIABLE data_out_xhdl1_xhdl217 : std_logic_vector(7 DOWNTO 0); VARIABLE temp_xhdl232 : std_logic_vector(5 DOWNTO 0); BEGIN temp_xhdl232 := extended_mode_xhdl24 & addr(4 DOWNTO 0); CASE temp_xhdl232 IS WHEN "100000" => data_out_xhdl1_xhdl217 := "0000" & mode_ext(3 DOWNTO 1) & mode; -- extended mode WHEN "100001" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "100010" => data_out_xhdl1_xhdl217 := status; -- extended mode WHEN "100011" => data_out_xhdl1_xhdl217 := irq_reg; -- extended mode WHEN "100100" => data_out_xhdl1_xhdl217 := irq_en_ext; -- extended mode WHEN "100110" => data_out_xhdl1_xhdl217 := bus_timing_0; -- extended mode WHEN "100111" => data_out_xhdl1_xhdl217 := bus_timing_1; -- extended mode WHEN "101011" => data_out_xhdl1_xhdl217 := "000" & arbitration_lost_capture(4 DOWNTO 0); -- extended mode WHEN "101100" => data_out_xhdl1_xhdl217 := error_capture_code; -- extended mode WHEN "101101" => data_out_xhdl1_xhdl217 := error_warning_limit_xhdl21; -- extended mode WHEN "101110" => data_out_xhdl1_xhdl217 := rx_err_cnt; -- extended mode WHEN "101111" => data_out_xhdl1_xhdl217 := tx_err_cnt; -- extended mode WHEN "110000" => data_out_xhdl1_xhdl217 := acceptance_code_0_xhdl26; -- extended mode WHEN "110001" => data_out_xhdl1_xhdl217 := acceptance_code_1_xhdl28; -- extended mode WHEN "110010" => data_out_xhdl1_xhdl217 := acceptance_code_2_xhdl29; -- extended mode WHEN "110011" => data_out_xhdl1_xhdl217 := acceptance_code_3_xhdl30; -- extended mode WHEN "110100" => data_out_xhdl1_xhdl217 := acceptance_mask_0_xhdl27; -- extended mode WHEN "110101" => data_out_xhdl1_xhdl217 := acceptance_mask_1_xhdl31; -- extended mode WHEN "110110" => data_out_xhdl1_xhdl217 := acceptance_mask_2_xhdl32; -- extended mode WHEN "110111" => data_out_xhdl1_xhdl217 := acceptance_mask_3_xhdl33; -- extended mode WHEN "111000" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111001" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111010" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111011" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111100" => data_out_xhdl1_xhdl217 := "00000000"; -- extended mode WHEN "111101" => data_out_xhdl1_xhdl217 := '0' & rx_message_counter; -- extended mode WHEN "111111" => data_out_xhdl1_xhdl217 := clock_divider; -- extended mode WHEN "000000" => data_out_xhdl1_xhdl217 := "001" & mode_basic(4 DOWNTO 1) & mode; -- basic mode WHEN "000001" => data_out_xhdl1_xhdl217 := "11111111"; -- basic mode WHEN "000010" => data_out_xhdl1_xhdl217 := status; -- basic mode WHEN "000011" => data_out_xhdl1_xhdl217 := "1110" & irq_reg(3 DOWNTO 0); -- basic mode WHEN "000100" => data_out_xhdl1_xhdl217 := temp_xhdl218; WHEN "000101" => data_out_xhdl1_xhdl217 := temp_xhdl219; WHEN "000110" => data_out_xhdl1_xhdl217 := temp_xhdl220; WHEN "000111" => data_out_xhdl1_xhdl217 := temp_xhdl221; WHEN "001010" => data_out_xhdl1_xhdl217 := temp_xhdl222; WHEN "001011" => data_out_xhdl1_xhdl217 := temp_xhdl223; WHEN "001100" => data_out_xhdl1_xhdl217 := temp_xhdl224; WHEN "001101" => data_out_xhdl1_xhdl217 := temp_xhdl225; WHEN "001110" => data_out_xhdl1_xhdl217 := temp_xhdl226; WHEN "001111" => data_out_xhdl1_xhdl217 := temp_xhdl227; WHEN "010000" => data_out_xhdl1_xhdl217 := temp_xhdl228; WHEN "010001" => data_out_xhdl1_xhdl217 := temp_xhdl229; WHEN "010010" => data_out_xhdl1_xhdl217 := temp_xhdl230; WHEN "010011" => data_out_xhdl1_xhdl217 := temp_xhdl231; WHEN "011111" => data_out_xhdl1_xhdl217 := clock_divider; -- basic mode WHEN OTHERS => data_out_xhdl1_xhdl217 := "00000000"; -- the rest is read as 0 END CASE; data_out_xhdl1 <= data_out_xhdl1_xhdl217; END PROCESS; temp_xhdl233 <= data_overrun_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE overrun_irq_en_basic; data_overrun_irq_en <= temp_xhdl233 ; temp_xhdl234 <= error_warning_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE error_irq_en_basic; error_warning_irq_en <= temp_xhdl234 ; temp_xhdl235 <= transmit_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE transmit_irq_en_basic; transmit_irq_en <= temp_xhdl235 ; temp_xhdl236 <= receive_irq_en_ext WHEN extended_mode_xhdl24 = '1' ELSE receive_irq_en_basic; receive_irq_en <= temp_xhdl236 ; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN data_overrun_irq <= '0'; ELSif clk'event and clk = '1' then IF (((overrun AND (NOT overrun_q)) AND data_overrun_irq_en) = '1') THEN data_overrun_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN data_overrun_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN transmit_irq <= '0'; ELSif clk'event and clk = '1' then IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN transmit_irq <= '0' ; ELSE IF (((transmit_buffer_status AND (NOT transmit_buffer_status_q)) AND transmit_irq_en) = '1') THEN transmit_irq <= '1' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN receive_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((NOT info_empty) AND (NOT receive_irq)) AND receive_irq_en) = '1') THEN receive_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR release_buffer_xhdl8) = '1') THEN receive_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((error_status XOR error_status_q) OR (node_bus_off XOR node_bus_off_q)) AND error_warning_irq_en) = '1') THEN error_irq <= '1' ; ELSE IF (reset_irq_reg = '1') THEN error_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN bus_error_irq <= '0'; ELSif clk'event and clk = '1' then IF ((set_bus_error_irq AND bus_error_irq_en) = '1') THEN bus_error_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN bus_error_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN arbitration_lost_irq <= '0'; ELSif clk'event and clk = '1' then IF ((set_arbitration_lost_irq AND arbitration_lost_irq_en) = '1') THEN arbitration_lost_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN arbitration_lost_irq <= '0' ; END IF; END IF; END IF; END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1') THEN error_passive_irq <= '0'; ELSif clk'event and clk = '1' then IF ((((node_error_passive AND (NOT node_error_passive_q)) OR (((NOT node_error_passive) AND node_error_passive_q) AND node_error_active)) AND error_passive_irq_en) = '1') THEN error_passive_irq <= '1' ; ELSE IF ((reset_mode_xhdl3 OR reset_irq_reg) = '1') THEN error_passive_irq <= '0' ; END IF; END IF; END IF; END PROCESS; irq_reg <= bus_error_irq & arbitration_lost_irq & error_passive_irq & '0' & data_overrun_irq & error_irq & transmit_irq & receive_irq ; irq <= data_overrun_irq OR transmit_irq OR receive_irq OR error_irq OR bus_error_irq OR arbitration_lost_irq OR error_passive_irq ; -- irq_o reset change /Kristoffer 2006-02-23 PROCESS (clk, rst) -- BEGIN -- IF (rst = '1') THEN -- irq_n_xhdl2 <= '1'; -- ELSif clk'event and clk = '1' then -- IF (reset_irq_reg = '1' or release_buffer_xhdl8='1') THEN -- irq_n_xhdl2 <= '1'; -- ELSE -- IF (irq = '1') THEN -- irq_n_xhdl2 <= '0' ; -- END IF; -- END IF; -- END IF; -- END PROCESS; PROCESS (clk, rst) BEGIN IF (rst = '1' or release_buffer_xhdl8 = '1') THEN irq_n_xhdl2 <= '1'; ELSif clk'event and clk = '1' then irq_n_xhdl2 <= not irq; END IF; END PROCESS; END ARCHITECTURE RTL; ---------------------------------------------------------------------------------------------- -- -- VHDL file generated by X-HDL - Revision 3.2.53 Aug. 1, 2005 -- Tue Aug 9 07:33:50 2005 -- -- Input file : C:/Documents and Settings/BryantI/My Documents/tmp/can_top.v -- Design name : can_top -- Author : -- Company : Actel -- -- Description : -- -- ---------------------------------------------------------------------------------------------- -- --//////////////////////////////////////////////////////////////////// --// //// --// can_top.v //// --// //// --// //// --// This file is part of the CAN Protocol Controller //// --// http://www.opencores.org/projects/can/ //// --// //// --// //// --// Author(s): //// --// Igor Mohor //// --// [email protected] //// --// //// --// //// --// All additional information is available in the README.txt //// --// file. //// --// //// --//////////////////////////////////////////////////////////////////// --// //// --// Copyright (C) 2002, 2003, 2004 Authors //// --// //// --// This source file may be used and distributed without //// --// restriction provided that this copyright statement is not //// --// removed from the file and that any derivative work contains //// --// the original copyright notice and the associated disclaimer. //// --// //// --// This source file is free software; you can redistribute it //// --// and/or modify it under the terms of the GNU Lesser General //// --// Public License as published by the Free Software Foundation; //// --// either version 2.1 of the License, or (at your option) any //// --// later version. //// --// //// --// This source is distributed in the hope that it will be //// --// useful, but WITHOUT ANY WARRANTY; without even the implied //// --// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// --// PURPOSE. See the GNU Lesser General Public License for more //// --// details. //// --// //// --// You should have received a copy of the GNU Lesser General //// --// Public License along with this source; if not, download it //// --// from http://www.opencores.org/lgpl.shtml //// --// //// --// The CAN protocol is developed by Robert Bosch GmbH and //// --// protected by patents. Anybody who wants to implement this //// --// CAN IP core on silicon has to obtain a CAN protocol license //// --// from Bosch. //// --// //// --//////////////////////////////////////////////////////////////////// -- -- CVS Revision History -- -- $Log: can_top.v,v $ -- Revision 1.48 2004/10/25 11:44:47 igorm -- Interrupt is always cleared for one clock after the irq register is read. -- This fixes problems when CPU is using IRQs that are edge triggered. -- -- Revision 1.47 2004/02/08 14:53:54 mohor -- Header changed. Address latched to posedge. bus_off_on signal added. -- -- Revision 1.46 2003/10/17 05:55:20 markom -- mbist signals updated according to newest convention -- -- Revision 1.45 2003/09/30 00:55:13 mohor -- Error counters fixed to be compatible with Bosch VHDL reference model. -- Small synchronization changes. -- -- Revision 1.44 2003/09/25 18:55:49 mohor -- Synchronization changed, error counters fixed. -- -- Revision 1.43 2003/08/20 09:57:39 mohor -- Tristate signal tx_o is separated to tx_o and tx_oen_o. Both signals need -- to be joined together on higher level. -- -- Revision 1.42 2003/07/16 15:11:28 mohor -- Fixed according to the linter. -- -- Revision 1.41 2003/07/10 15:32:27 mohor -- Unused signal removed. -- -- Revision 1.40 2003/07/10 01:59:04 tadejm -- Synchronization fixed. In some strange cases it didn't work according to -- the VHDL reference model. -- -- Revision 1.39 2003/07/07 11:21:37 mohor -- Little fixes (to fix warnings). -- -- Revision 1.38 2003/07/03 09:32:20 mohor -- Synchronization changed. -- -- Revision 1.37 2003/06/27 20:56:15 simons -- Virtual silicon ram instances added. -- -- Revision 1.36 2003/06/17 14:30:30 mohor -- "chip select" signal cs_can_i is used only when not using WISHBONE -- interface. -- -- Revision 1.35 2003/06/16 13:57:58 mohor -- tx_point generated one clk earlier. rx_i registered. Data corrected when -- using extended mode. -- -- Revision 1.34 2003/06/13 15:02:24 mohor -- Synchronization is also needed when transmitting a message. -- -- Revision 1.33 2003/06/11 14:21:35 mohor -- When switching to tx, sync stage is overjumped. -- -- Revision 1.32 2003/06/09 11:32:36 mohor -- Ports added for the CAN_BIST. -- -- Revision 1.31 2003/03/26 11:19:46 mohor -- CAN interrupt is active low. -- -- Revision 1.30 2003/03/20 17:01:17 mohor -- unix. -- -- Revision 1.28 2003/03/14 19:36:48 mohor -- can_cs signal used for generation of the cs. -- -- Revision 1.27 2003/03/12 05:56:33 mohor -- Bidirectional port_0_i changed to port_0_io. -- input cs_can changed to cs_can_i. -- -- Revision 1.26 2003/03/12 04:39:40 mohor -- rd_i and wr_i are active high signals. If 8051 is connected, these two signals -- need to be negated one level higher. -- -- Revision 1.25 2003/03/12 04:17:36 mohor -- 8051 interface added (besides WISHBONE interface). Selection is made in -- can_defines.v file. -- -- Revision 1.24 2003/03/10 17:24:40 mohor -- wire declaration added. -- -- Revision 1.23 2003/03/05 15:33:13 mohor -- tx_o is now tristated signal. tx_oen and tx_o combined together. -- -- Revision 1.22 2003/03/05 15:01:56 mohor -- Top level signal names changed. -- -- Revision 1.21 2003/03/01 22:53:33 mohor -- Actel APA ram supported. -- -- Revision 1.20 2003/02/19 15:09:02 mohor -- Incomplete sensitivity list fixed. -- -- Revision 1.19 2003/02/19 15:04:14 mohor -- Typo fixed. -- -- Revision 1.18 2003/02/19 14:44:03 mohor -- CAN core finished. Host interface added. Registers finished. -- Synchronization to the wishbone finished. -- -- Revision 1.17 2003/02/18 00:10:15 mohor -- Most of the registers added. Registers "arbitration lost capture", "error code -- capture" + few more still need to be added. -- -- Revision 1.16 2003/02/14 20:17:01 mohor -- Several registers added. Not finished, yet. -- -- Revision 1.15 2003/02/12 14:25:30 mohor -- abort_tx added. -- -- Revision 1.14 2003/02/11 00:56:06 mohor -- Wishbone interface added. -- -- Revision 1.13 2003/02/09 18:40:29 mohor -- Overload fixed. Hard synchronization also enabled at the last bit of -- interframe. -- -- Revision 1.12 2003/02/09 02:24:33 mohor -- Bosch license warning added. Error counters finished. Overload frames -- still need to be fixed. -- -- Revision 1.11 2003/02/04 14:34:52 mohor -- *** empty log message *** -- -- Revision 1.10 2003/01/31 01:13:38 mohor -- backup. -- -- Revision 1.9 2003/01/15 13:16:48 mohor -- When a frame with "remote request" is received, no data is stored to -- fifo, just the frame information (identifier, ...). Data length that -- is stored is the received data length and not the actual data length -- that is stored to fifo. -- -- Revision 1.8 2003/01/14 17:25:09 mohor -- Addresses corrected to decimal values (previously hex). -- -- Revision 1.7 2003/01/10 17:51:34 mohor -- Temporary version (backup). -- -- Revision 1.6 2003/01/09 21:54:45 mohor -- rx fifo added. Not 100 % verified, yet. -- -- Revision 1.5 2003/01/08 02:10:56 mohor -- Acceptance filter added. -- -- Revision 1.4 2002/12/28 04:13:23 mohor -- Backup version. -- -- Revision 1.3 2002/12/27 00:12:52 mohor -- Header changed, testbench improved to send a frame (crc still missing). -- -- Revision 1.2 2002/12/26 16:00:34 mohor -- Testbench define file added. Clock divider register added. -- -- Revision 1.1.1.1 2002/12/20 16:39:21 mohor -- Initial -- -- -- -- synopsys translate_off --`include "can_defines.v" -- synopsys translate_on LIBRARY ieee; USE ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; ENTITY can_top IS PORT ( -- wb_clk_i : IN std_logic; -- wb_rst_i : IN std_logic; -- wb_dat_i : IN std_logic_vector(7 DOWNTO 0); -- wb_dat_o : OUT std_logic_vector(7 DOWNTO 0); -- wb_cyc_i : IN std_logic; -- wb_stb_i : IN std_logic; -- wb_we_i : IN std_logic; -- wb_adr_i : IN std_logic_vector(7 DOWNTO 0); -- wb_ack_o : OUT std_logic; rst : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); cs : IN std_logic; we : IN std_logic; clk_i : IN std_logic; rx_i : IN std_logic; tx_o : OUT std_logic; bus_off_on : OUT std_logic; irq_on : OUT std_logic; clkout_o : OUT std_logic; -- Bist -- port connections for Ram --64x8 q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); --64x4 q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); --64x1 q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END ENTITY can_top; ARCHITECTURE RTL OF can_top IS COMPONENT can_bsp PORT ( clk : IN std_logic; rst : IN std_logic; sample_point : IN std_logic; sampled_bit : IN std_logic; sampled_bit_q : IN std_logic; tx_point : IN std_logic; hard_sync : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); fifo_selected : IN std_logic; reset_mode : IN std_logic; listen_only_mode : IN std_logic; acceptance_filter_mode : IN std_logic; self_test_mode : IN std_logic; release_buffer : IN std_logic; tx_request : IN std_logic; abort_tx : IN std_logic; self_rx_request : IN std_logic; single_shot_transmission: IN std_logic; tx_state : OUT std_logic; tx_state_q : OUT std_logic; overload_request : IN std_logic; overload_frame : OUT std_logic; read_arbitration_lost_capture_reg: IN std_logic; read_error_code_capture_reg: IN std_logic; error_capture_code : OUT std_logic_vector(7 DOWNTO 0); error_warning_limit : IN std_logic_vector(7 DOWNTO 0); we_rx_err_cnt : IN std_logic; we_tx_err_cnt : IN std_logic; extended_mode : IN std_logic; rx_idle : OUT std_logic; transmitting : OUT std_logic; transmitter : OUT std_logic; go_rx_inter : OUT std_logic; not_first_bit_of_inter : OUT std_logic; rx_inter : OUT std_logic; set_reset_mode : OUT std_logic; node_bus_off : OUT std_logic; error_status : OUT std_logic; rx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); tx_err_cnt : OUT std_logic_vector(8 DOWNTO 0); transmit_status : OUT std_logic; receive_status : OUT std_logic; tx_successful : OUT std_logic; need_to_tx : OUT std_logic; overrun : OUT std_logic; info_empty : OUT std_logic; set_bus_error_irq : OUT std_logic; set_arbitration_lost_irq: OUT std_logic; arbitration_lost_capture: OUT std_logic_vector(4 DOWNTO 0); node_error_passive : OUT std_logic; node_error_active : OUT std_logic; rx_message_counter : OUT std_logic_vector(6 DOWNTO 0); acceptance_code_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_code_3 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : IN std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_0 : IN std_logic_vector(7 DOWNTO 0); tx_data_1 : IN std_logic_vector(7 DOWNTO 0); tx_data_2 : IN std_logic_vector(7 DOWNTO 0); tx_data_3 : IN std_logic_vector(7 DOWNTO 0); tx_data_4 : IN std_logic_vector(7 DOWNTO 0); tx_data_5 : IN std_logic_vector(7 DOWNTO 0); tx_data_6 : IN std_logic_vector(7 DOWNTO 0); tx_data_7 : IN std_logic_vector(7 DOWNTO 0); tx_data_8 : IN std_logic_vector(7 DOWNTO 0); tx_data_9 : IN std_logic_vector(7 DOWNTO 0); tx_data_10 : IN std_logic_vector(7 DOWNTO 0); tx_data_11 : IN std_logic_vector(7 DOWNTO 0); tx_data_12 : IN std_logic_vector(7 DOWNTO 0); tx : OUT std_logic; tx_next : OUT std_logic; bus_off_on : OUT std_logic; go_overload_frame : OUT std_logic; go_error_frame : OUT std_logic; go_tx : OUT std_logic; send_ack : OUT std_logic; q_dp_64x8 : IN std_logic_vector(7 DOWNTO 0); data_64x8 : OUT std_logic_vector(7 DOWNTO 0); wren_64x8 : OUT std_logic; rden_64x8 : OUT std_logic; wraddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x8 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x4 : IN std_logic_vector(3 DOWNTO 0); data_64x4 : OUT std_logic_vector(3 DOWNTO 0); wren_64x4x1 : OUT std_logic; wraddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); rdaddress_64x4x1 : OUT std_logic_vector(5 DOWNTO 0); q_dp_64x1 : IN std_logic; data_64x1 : OUT std_logic); END COMPONENT; COMPONENT can_btl PORT ( clk : IN std_logic; rst : IN std_logic; rx : IN std_logic; tx : IN std_logic; baud_r_presc : IN std_logic_vector(5 DOWNTO 0); sync_jump_width : IN std_logic_vector(1 DOWNTO 0); time_segment1 : IN std_logic_vector(3 DOWNTO 0); time_segment2 : IN std_logic_vector(2 DOWNTO 0); triple_sampling : IN std_logic; sample_point : OUT std_logic; sampled_bit : OUT std_logic; sampled_bit_q : OUT std_logic; tx_point : OUT std_logic; hard_sync : OUT std_logic; rx_idle : IN std_logic; rx_inter : IN std_logic; transmitting : IN std_logic; transmitter : IN std_logic; go_rx_inter : IN std_logic; tx_next : IN std_logic; go_overload_frame : IN std_logic; go_error_frame : IN std_logic; go_tx : IN std_logic; send_ack : IN std_logic; node_error_passive : IN std_logic); END COMPONENT; COMPONENT can_registers PORT ( clk : IN std_logic; rst : IN std_logic; cs : IN std_logic; we : IN std_logic; addr : IN std_logic_vector(7 DOWNTO 0); data_in : IN std_logic_vector(7 DOWNTO 0); data_out : OUT std_logic_vector(7 DOWNTO 0); irq_n : OUT std_logic; sample_point : IN std_logic; transmitting : IN std_logic; set_reset_mode : IN std_logic; node_bus_off : IN std_logic; error_status : IN std_logic; rx_err_cnt : IN std_logic_vector(7 DOWNTO 0); tx_err_cnt : IN std_logic_vector(7 DOWNTO 0); transmit_status : IN std_logic; receive_status : IN std_logic; tx_successful : IN std_logic; need_to_tx : IN std_logic; overrun : IN std_logic; info_empty : IN std_logic; set_bus_error_irq : IN std_logic; set_arbitration_lost_irq: IN std_logic; arbitration_lost_capture: IN std_logic_vector(4 DOWNTO 0); node_error_passive : IN std_logic; node_error_active : IN std_logic; rx_message_counter : IN std_logic_vector(6 DOWNTO 0); reset_mode : OUT std_logic; listen_only_mode : OUT std_logic; acceptance_filter_mode : OUT std_logic; self_test_mode : OUT std_logic; clear_data_overrun : OUT std_logic; release_buffer : OUT std_logic; abort_tx : OUT std_logic; tx_request : OUT std_logic; self_rx_request : OUT std_logic; single_shot_transmission: OUT std_logic; tx_state : IN std_logic; tx_state_q : IN std_logic; overload_request : OUT std_logic; overload_frame : IN std_logic; read_arbitration_lost_capture_reg: OUT std_logic; read_error_code_capture_reg: OUT std_logic; error_capture_code : IN std_logic_vector(7 DOWNTO 0); baud_r_presc : OUT std_logic_vector(5 DOWNTO 0); sync_jump_width : OUT std_logic_vector(1 DOWNTO 0); time_segment1 : OUT std_logic_vector(3 DOWNTO 0); time_segment2 : OUT std_logic_vector(2 DOWNTO 0); triple_sampling : OUT std_logic; error_warning_limit : OUT std_logic_vector(7 DOWNTO 0); we_rx_err_cnt : OUT std_logic; we_tx_err_cnt : OUT std_logic; extended_mode : OUT std_logic; clkout : OUT std_logic; acceptance_code_0 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_0 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_code_3 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_1 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_2 : OUT std_logic_vector(7 DOWNTO 0); acceptance_mask_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_0 : OUT std_logic_vector(7 DOWNTO 0); tx_data_1 : OUT std_logic_vector(7 DOWNTO 0); tx_data_2 : OUT std_logic_vector(7 DOWNTO 0); tx_data_3 : OUT std_logic_vector(7 DOWNTO 0); tx_data_4 : OUT std_logic_vector(7 DOWNTO 0); tx_data_5 : OUT std_logic_vector(7 DOWNTO 0); tx_data_6 : OUT std_logic_vector(7 DOWNTO 0); tx_data_7 : OUT std_logic_vector(7 DOWNTO 0); tx_data_8 : OUT std_logic_vector(7 DOWNTO 0); tx_data_9 : OUT std_logic_vector(7 DOWNTO 0); tx_data_10 : OUT std_logic_vector(7 DOWNTO 0); tx_data_11 : OUT std_logic_vector(7 DOWNTO 0); tx_data_12 : OUT std_logic_vector(7 DOWNTO 0)); END COMPONENT; -- SIGNAL cs_sync1 : std_logic; -- SIGNAL cs_sync2 : std_logic; -- SIGNAL cs_sync3 : std_logic; -- SIGNAL cs_ack1 : std_logic; -- SIGNAL cs_ack2 : std_logic; -- SIGNAL cs_ack3 : std_logic; -- SIGNAL cs_sync_rst1 : std_logic; -- SIGNAL cs_sync_rst2 : std_logic; -- SIGNAL cs_can_i : std_logic; --------------------------------- SIGNAL data_out_fifo_selected : std_logic; SIGNAL data_out_fifo : std_logic_vector(7 DOWNTO 0); SIGNAL data_out_regs : std_logic_vector(7 DOWNTO 0); -- Mode register SIGNAL reset_mode : std_logic; SIGNAL listen_only_mode : std_logic; SIGNAL acceptance_filter_mode : std_logic; SIGNAL self_test_mode : std_logic; -- Command register SIGNAL release_buffer : std_logic; SIGNAL tx_request : std_logic; SIGNAL abort_tx : std_logic; SIGNAL self_rx_request : std_logic; SIGNAL single_shot_transmission : std_logic; SIGNAL tx_state : std_logic; SIGNAL tx_state_q : std_logic; SIGNAL overload_request : std_logic; SIGNAL overload_frame : std_logic; -- Arbitration Lost Capture Register SIGNAL read_arbitration_lost_capture_reg: std_logic; -- Error Code Capture Register SIGNAL read_error_code_capture_reg : std_logic; SIGNAL error_capture_code : std_logic_vector(7 DOWNTO 0); -- Bus Timing 0 register SIGNAL baud_r_presc : std_logic_vector(5 DOWNTO 0); SIGNAL sync_jump_width : std_logic_vector(1 DOWNTO 0); -- Bus Timing 1 register SIGNAL time_segment1 : std_logic_vector(3 DOWNTO 0); SIGNAL time_segment2 : std_logic_vector(2 DOWNTO 0); SIGNAL triple_sampling : std_logic; -- Error Warning Limit register SIGNAL error_warning_limit : std_logic_vector(7 DOWNTO 0); -- Rx Error Counter register SIGNAL we_rx_err_cnt : std_logic; -- Tx Error Counter register SIGNAL we_tx_err_cnt : std_logic; -- Clock Divider register SIGNAL extended_mode : std_logic; -- This section is for BASIC and EXTENDED mode -- Acceptance code register SIGNAL acceptance_code_0 : std_logic_vector(7 DOWNTO 0); -- Acceptance mask register SIGNAL acceptance_mask_0 : std_logic_vector(7 DOWNTO 0); -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register SIGNAL acceptance_code_1 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_2 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_code_3 : std_logic_vector(7 DOWNTO 0); -- Acceptance mask register SIGNAL acceptance_mask_1 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_2 : std_logic_vector(7 DOWNTO 0); SIGNAL acceptance_mask_3 : std_logic_vector(7 DOWNTO 0); -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data SIGNAL tx_data_0 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_1 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_2 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_3 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_4 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_5 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_6 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_7 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_8 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_9 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_10 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_11 : std_logic_vector(7 DOWNTO 0); SIGNAL tx_data_12 : std_logic_vector(7 DOWNTO 0); -- End: Tx data registers -- SIGNAL cs : std_logic; -- Output signals from can_btl module SIGNAL sample_point : std_logic; SIGNAL sampled_bit : std_logic; SIGNAL sampled_bit_q : std_logic; SIGNAL tx_point : std_logic; SIGNAL hard_sync : std_logic; -- output from can_bsp module SIGNAL rx_idle : std_logic; SIGNAL transmitting : std_logic; SIGNAL transmitter : std_logic; SIGNAL go_rx_inter : std_logic; SIGNAL not_first_bit_of_inter : std_logic; SIGNAL set_reset_mode : std_logic; SIGNAL node_bus_off : std_logic; SIGNAL error_status : std_logic; SIGNAL rx_err_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL tx_err_cnt : std_logic_vector(7 DOWNTO 0); SIGNAL rx_err_cnt_dummy : std_logic; -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL tx_err_cnt_dummy : std_logic; -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL transmit_status : std_logic; SIGNAL receive_status : std_logic; SIGNAL tx_successful : std_logic; SIGNAL need_to_tx : std_logic; SIGNAL overrun : std_logic; SIGNAL info_empty : std_logic; SIGNAL set_bus_error_irq : std_logic; SIGNAL set_arbitration_lost_irq : std_logic; SIGNAL arbitration_lost_capture : std_logic_vector(4 DOWNTO 0); SIGNAL node_error_passive : std_logic; SIGNAL node_error_active : std_logic; SIGNAL rx_message_counter : std_logic_vector(6 DOWNTO 0); SIGNAL tx_next : std_logic; SIGNAL go_overload_frame : std_logic; SIGNAL go_error_frame : std_logic; SIGNAL go_tx : std_logic; SIGNAL send_ack : std_logic; -- SIGNAL rst : std_logic; -- SIGNAL we : std_logic; -- SIGNAL addr : std_logic_vector(7 DOWNTO 0); -- SIGNAL data_in : std_logic_vector(7 DOWNTO 0); -- SIGNAL data_out : std_logic_vector(7 DOWNTO 0); SIGNAL rx_sync_tmp : std_logic; SIGNAL rx_sync : std_logic; -- port connections for Ram --64x8 SIGNAL w_q_dp_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_data_64x8 : std_logic_vector(7 DOWNTO 0); SIGNAL w_wren_64x8 : std_logic; SIGNAL w_rden_64x8 : std_logic; SIGNAL w_wraddress_64x8 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x8 : std_logic_vector(5 DOWNTO 0); --64x4 SIGNAL w_q_dp_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_data_64x4 : std_logic_vector(3 DOWNTO 0); SIGNAL w_wren_64x4x1 : std_logic; SIGNAL w_wraddress_64x4x1 : std_logic_vector(5 DOWNTO 0); SIGNAL w_rdaddress_64x4x1 : std_logic_vector(5 DOWNTO 0); --64x1 SIGNAL w_q_dp_64x1 : std_logic; SIGNAL w_data_64x1 : std_logic; -- From btl module -- Mode register -- Command register -- Arbitration Lost Capture Register -- Error Code Capture Register -- Error Warning Limit register -- Rx Error Counter register -- Tx Error Counter register -- Clock Divider register -- output from can_bsp module SIGNAL xhdl_148 : std_logic_vector(8 DOWNTO 0); -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). SIGNAL xhdl_150 : std_logic_vector(8 DOWNTO 0); -- SIGNAL wb_dat_o_xhdl1 : std_logic_vector(7 DOWNTO 0); -- SIGNAL wb_ack_o_xhdl2 : std_logic; SIGNAL tx_o_xhdl3 : std_logic; SIGNAL bus_off_on_xhdl4 : std_logic; SIGNAL irq_on_xhdl5 : std_logic; SIGNAL clkout_o_xhdl6 : std_logic; SIGNAL data_64x8_xhdl7 : std_logic_vector(7 DOWNTO 0); SIGNAL wren_64x8_xhdl8 : std_logic; SIGNAL rden_64x8_xhdl9 : std_logic; SIGNAL wraddress_64x8_xhdl10 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x8_xhdl11 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x4_xhdl12 : std_logic_vector(3 DOWNTO 0); SIGNAL wren_64x4x1_xhdl13 : std_logic; SIGNAL wraddress_64x4x1_xhdl14 : std_logic_vector(5 DOWNTO 0); SIGNAL rdaddress_64x4x1_xhdl15 : std_logic_vector(5 DOWNTO 0); SIGNAL data_64x1_xhdl16 : std_logic; SIGNAL rx_inter : std_logic; BEGIN -- wb_dat_o <= wb_dat_o_xhdl1; -- wb_ack_o <= wb_ack_o_xhdl2; tx_o <= tx_o_xhdl3; bus_off_on <= bus_off_on_xhdl4; irq_on <= irq_on_xhdl5; clkout_o <= clkout_o_xhdl6; data_64x8 <= data_64x8_xhdl7; wren_64x8 <= wren_64x8_xhdl8; rden_64x8 <= rden_64x8_xhdl9; wraddress_64x8 <= wraddress_64x8_xhdl10; rdaddress_64x8 <= rdaddress_64x8_xhdl11; data_64x4 <= data_64x4_xhdl12; wren_64x4x1 <= wren_64x4x1_xhdl13; wraddress_64x4x1 <= wraddress_64x4x1_xhdl14; rdaddress_64x4x1 <= rdaddress_64x4x1_xhdl15; data_64x1 <= data_64x1_xhdl16; -- port connections for Ram --64x8 w_q_dp_64x8 <= q_dp_64x8 ; data_64x8_xhdl7 <= w_data_64x8 ; wren_64x8_xhdl8 <= w_wren_64x8 ; rden_64x8_xhdl9 <= w_rden_64x8 ; wraddress_64x8_xhdl10 <= w_wraddress_64x8 ; rdaddress_64x8_xhdl11 <= w_rdaddress_64x8 ; --64x4 w_q_dp_64x4 <= q_dp_64x4 ; data_64x4_xhdl12 <= w_data_64x4 ; wren_64x4x1_xhdl13 <= w_wren_64x4x1 ; wraddress_64x4x1_xhdl14 <= w_wraddress_64x4x1 ; rdaddress_64x4x1_xhdl15 <= w_rdaddress_64x4x1 ; --64x1 w_q_dp_64x1 <= q_dp_64x1 ; data_64x1_xhdl16 <= w_data_64x1 ; -- Connecting can_registers module -- Mode register -- Command register -- Arbitration Lost Capture Register -- Error Code Capture Register -- Bus Timing 0 register -- Bus Timing 1 register -- Error Warning Limit register -- Rx Error Counter register -- Tx Error Counter register -- Clock Divider register -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data -- End: Tx data registers i_can_registers : can_registers PORT MAP ( clk => clk_i, rst => rst, cs => cs, we => we, addr => addr, data_in => data_in, data_out => data_out_regs, irq_n => irq_on_xhdl5, sample_point => sample_point, transmitting => transmitting, set_reset_mode => set_reset_mode, node_bus_off => node_bus_off, error_status => error_status, rx_err_cnt => rx_err_cnt, tx_err_cnt => tx_err_cnt, transmit_status => transmit_status, receive_status => receive_status, tx_successful => tx_successful, need_to_tx => need_to_tx, overrun => overrun, info_empty => info_empty, set_bus_error_irq => set_bus_error_irq, set_arbitration_lost_irq => set_arbitration_lost_irq, arbitration_lost_capture => arbitration_lost_capture, node_error_passive => node_error_passive, node_error_active => node_error_active, rx_message_counter => rx_message_counter, reset_mode => reset_mode, listen_only_mode => listen_only_mode, acceptance_filter_mode => acceptance_filter_mode, self_test_mode => self_test_mode, clear_data_overrun => open, release_buffer => release_buffer, abort_tx => abort_tx, tx_request => tx_request, self_rx_request => self_rx_request, single_shot_transmission => single_shot_transmission, tx_state => tx_state, tx_state_q => tx_state_q, overload_request => overload_request, overload_frame => overload_frame, read_arbitration_lost_capture_reg => read_arbitration_lost_capture_reg, read_error_code_capture_reg => read_error_code_capture_reg, error_capture_code => error_capture_code, baud_r_presc => baud_r_presc, sync_jump_width => sync_jump_width, time_segment1 => time_segment1, time_segment2 => time_segment2, triple_sampling => triple_sampling, error_warning_limit => error_warning_limit, we_rx_err_cnt => we_rx_err_cnt, we_tx_err_cnt => we_tx_err_cnt, extended_mode => extended_mode, clkout => clkout_o_xhdl6, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, tx_data_0 => tx_data_0, tx_data_1 => tx_data_1, tx_data_2 => tx_data_2, tx_data_3 => tx_data_3, tx_data_4 => tx_data_4, tx_data_5 => tx_data_5, tx_data_6 => tx_data_6, tx_data_7 => tx_data_7, tx_data_8 => tx_data_8, tx_data_9 => tx_data_9, tx_data_10 => tx_data_10, tx_data_11 => tx_data_11, tx_data_12 => tx_data_12); -- Connecting can_btl module -- Bus Timing 0 register -- Bus Timing 1 register -- Output signals from this module -- output from can_bsp module i_can_btl : can_btl PORT MAP ( clk => clk_i, rst => rst, rx => rx_sync, tx => tx_o_xhdl3, baud_r_presc => baud_r_presc, sync_jump_width => sync_jump_width, time_segment1 => time_segment1, time_segment2 => time_segment2, triple_sampling => triple_sampling, sample_point => sample_point, sampled_bit => sampled_bit, sampled_bit_q => sampled_bit_q, tx_point => tx_point, hard_sync => hard_sync, rx_idle => rx_idle, rx_inter => rx_inter, transmitting => transmitting, transmitter => transmitter, go_rx_inter => go_rx_inter, tx_next => tx_next, go_overload_frame => go_overload_frame, go_error_frame => go_error_frame, go_tx => go_tx, send_ack => send_ack, node_error_passive => node_error_passive); -- xhdl_148 <= rx_err_cnt_dummy & rx_err_cnt(7 DOWNTO 0); rx_err_cnt_dummy <= xhdl_148(8); rx_err_cnt(7 DOWNTO 0) <= xhdl_148(7 DOWNTO 0); -- xhdl_150 <= tx_err_cnt_dummy & tx_err_cnt(7 DOWNTO 0); tx_err_cnt_dummy <= xhdl_150(8); tx_err_cnt(7 DOWNTO 0) <= xhdl_150(7 DOWNTO 0); -- The MSB is not displayed. It is just used for easier calculation (no counter overflow). -- This section is for BASIC and EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for BASIC and EXTENDED mode -- This section is for EXTENDED mode -- Acceptance code register -- Acceptance mask register -- End: This section is for EXTENDED mode -- Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data -- End: Tx data registers -- Tx signal -- port connections for Ram --64x8 --64x4 --64x1 i_can_bsp : can_bsp PORT MAP ( clk => clk_i, rst => rst, sample_point => sample_point, sampled_bit => sampled_bit, sampled_bit_q => sampled_bit_q, tx_point => tx_point, hard_sync => hard_sync, addr => addr, data_in => data_in, data_out => data_out_fifo, fifo_selected => data_out_fifo_selected, reset_mode => reset_mode, listen_only_mode => listen_only_mode, acceptance_filter_mode => acceptance_filter_mode, self_test_mode => self_test_mode, release_buffer => release_buffer, tx_request => tx_request, abort_tx => abort_tx, self_rx_request => self_rx_request, single_shot_transmission => single_shot_transmission, tx_state => tx_state, tx_state_q => tx_state_q, overload_request => overload_request, overload_frame => overload_frame, read_arbitration_lost_capture_reg => read_arbitration_lost_capture_reg, read_error_code_capture_reg => read_error_code_capture_reg, error_capture_code => error_capture_code, error_warning_limit => error_warning_limit, we_rx_err_cnt => we_rx_err_cnt, we_tx_err_cnt => we_tx_err_cnt, extended_mode => extended_mode, rx_idle => rx_idle, transmitting => transmitting, transmitter => transmitter, go_rx_inter => go_rx_inter, not_first_bit_of_inter => not_first_bit_of_inter, rx_inter => rx_inter, set_reset_mode => set_reset_mode, node_bus_off => node_bus_off, error_status => error_status, rx_err_cnt => xhdl_148, tx_err_cnt => xhdl_150, transmit_status => transmit_status, receive_status => receive_status, tx_successful => tx_successful, need_to_tx => need_to_tx, overrun => overrun, info_empty => info_empty, set_bus_error_irq => set_bus_error_irq, set_arbitration_lost_irq => set_arbitration_lost_irq, arbitration_lost_capture => arbitration_lost_capture, node_error_passive => node_error_passive, node_error_active => node_error_active, rx_message_counter => rx_message_counter, acceptance_code_0 => acceptance_code_0, acceptance_mask_0 => acceptance_mask_0, acceptance_code_1 => acceptance_code_1, acceptance_code_2 => acceptance_code_2, acceptance_code_3 => acceptance_code_3, acceptance_mask_1 => acceptance_mask_1, acceptance_mask_2 => acceptance_mask_2, acceptance_mask_3 => acceptance_mask_3, tx_data_0 => tx_data_0, tx_data_1 => tx_data_1, tx_data_2 => tx_data_2, tx_data_3 => tx_data_3, tx_data_4 => tx_data_4, tx_data_5 => tx_data_5, tx_data_6 => tx_data_6, tx_data_7 => tx_data_7, tx_data_8 => tx_data_8, tx_data_9 => tx_data_9, tx_data_10 => tx_data_10, tx_data_11 => tx_data_11, tx_data_12 => tx_data_12, tx => tx_o_xhdl3, tx_next => tx_next, bus_off_on => bus_off_on_xhdl4, go_overload_frame => go_overload_frame, go_error_frame => go_error_frame, go_tx => go_tx, send_ack => send_ack, q_dp_64x8 => w_q_dp_64x8, data_64x8 => w_data_64x8, wren_64x8 => w_wren_64x8, rden_64x8 => w_rden_64x8, wraddress_64x8 => w_wraddress_64x8, rdaddress_64x8 => w_rdaddress_64x8, q_dp_64x4 => w_q_dp_64x4, data_64x4 => w_data_64x4, wren_64x4x1 => w_wren_64x4x1, wraddress_64x4x1 => w_wraddress_64x4x1, rdaddress_64x4x1 => w_rdaddress_64x4x1, q_dp_64x1 => w_q_dp_64x1, data_64x1 => w_data_64x1); -- Multiplexing wb_dat_o from registers and rx fifo PROCESS (extended_mode, addr, reset_mode) VARIABLE data_out_fifo_selected_xhdl203 : std_logic; BEGIN IF ((((extended_mode AND (NOT reset_mode)) AND CONV_STD_LOGIC((addr >= "00010000") AND (addr<="00011100"))) OR ((NOT extended_mode) AND CONV_STD_LOGIC((addr >= "00010100") AND (addr<="00011101")))) = '1') THEN data_out_fifo_selected_xhdl203 := '1'; ELSE data_out_fifo_selected_xhdl203 := '0'; END IF; data_out_fifo_selected <= data_out_fifo_selected_xhdl203; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cs AND (NOT we)) = '1') THEN IF (data_out_fifo_selected = '1') THEN data_out <= data_out_fifo ; ELSE data_out <= data_out_regs ; END IF; END IF; END IF; END PROCESS; PROCESS (clk_i, rst) BEGIN IF (rst = '1') THEN rx_sync_tmp <= '1'; rx_sync <= '1'; ELSIF (clk_i'EVENT AND clk_i = '1') THEN rx_sync_tmp <= rx_i ; rx_sync <= rx_sync_tmp ; END IF; END PROCESS; -- cs_can_i <= '1' ; -- Combining wb_cyc_i and wb_stb_i signals to cs signal. Than synchronizing to clk_i clock domain. -- PROCESS (clk_i, rst) -- BEGIN -- IF (rst = '1') THEN -- cs_sync1 <= '0'; -- cs_sync2 <= '0'; -- cs_sync3 <= '0'; -- cs_sync_rst1 <= '0'; -- cs_sync_rst2 <= '0'; -- ELSIF (clk_i'EVENT AND clk_i = '1') THEN -- cs_sync1 <= ((wb_cyc_i AND wb_stb_i) AND (NOT cs_sync_rst2)) AND cs_can_i ; -- cs_sync2 <= cs_sync1 AND (NOT cs_sync_rst2) ; -- cs_sync3 <= cs_sync2 AND (NOT cs_sync_rst2) ; -- cs_sync_rst1 <= cs_ack3 ; -- cs_sync_rst2 <= cs_sync_rst1 ; -- END IF; -- END PROCESS; -- cs <= cs_sync2 AND (NOT cs_sync3) ; -- -- PROCESS (wb_clk_i) -- BEGIN -- IF (wb_clk_i'EVENT AND wb_clk_i = '1') THEN -- cs_ack1 <= cs_sync3 ; -- cs_ack2 <= cs_ack1 ; -- cs_ack3 <= cs_ack2 ; -- END IF; -- END PROCESS; -- Generating acknowledge signal -- PROCESS (wb_clk_i) -- BEGIN -- IF (wb_clk_i'EVENT AND wb_clk_i = '1') THEN -- wb_ack_o_xhdl2 <= cs_ack2 AND (NOT cs_ack3) ; -- END IF; -- END PROCESS; -- rst <= wb_rst_i ; -- we <= wb_we_i ; -- addr <= wb_adr_i ; -- data_in <= wb_dat_i ; -- wb_dat_o_xhdl1 <= data_out ; END ARCHITECTURE RTL;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:43:16 06/04/2011 -- Design Name: -- Module Name: IP_complete_nomac - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Implements complete IP stack with ARP (but no MAC) -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - separated RX and TX clocks -- Revision 0.03 - Added mac_tx_tfirst -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; use work.arp; use work.arpv2; entity IP_complete_nomac is generic ( use_arpv2 : boolean := true; -- use ARP with multipule entries. for signel entry, set -- to false no_default_gateway : boolean := false; -- set to false if communicating with devices accessed -- through a "default gateway or router" CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 5; -- # wrong nwk pkts received before set error MAX_ARP_ENTRIES : integer := 255 -- max entries in the ARP store ); port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); control : in ip_control_type; -- status signals arp_pkt_count : out std_logic_vector(7 downto 0); -- count of arp pkts received ip_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- MAC Transmitter mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx mac_tx_tvalid : out std_logic; -- tdata is valid mac_tx_tready : in std_logic; -- mac is ready to accept data mac_tx_tfirst : out std_logic; -- indicates first byte of frame mac_tx_tlast : out std_logic; -- indicates last byte of frame -- MAC Receiver mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received mac_rx_tvalid : in std_logic; -- indicates tdata is valid mac_rx_tready : out std_logic; -- tells mac that we are ready to take data mac_rx_tlast : in std_logic -- indicates last byte of the trame ); end IP_complete_nomac; architecture structural of IP_complete_nomac is component IPv4 port( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system control signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- system status signals rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end component; component arp generic ( CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 1; -- (added for compatibility with arpv2. this value not used in this impl) MAX_ARP_ENTRIES : integer := 1 -- (added for compatibility with arpv2. this value not used in this impl) ); port ( -- lookup request signals arp_req_req : in arp_req_req_type; arp_req_rslt : out arp_req_rslt_type; -- MAC layer RX signals data_in_clk : in std_logic; reset : in std_logic; data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) data_in_valid : in std_logic; -- indicates data_in valid on clock data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted data_out_clk : in std_logic; data_out_ready : in std_logic; -- indicates system ready to consume data data_out_valid : out std_logic; -- indicates data out is valid data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) -- system signals our_mac_address : in std_logic_vector (47 downto 0); our_ip_address : in std_logic_vector (31 downto 0); control : in arp_control_type; req_count : out std_logic_vector(7 downto 0) -- count of arp pkts received ); end component; component tx_arbitrator port( clk : in std_logic; reset : in std_logic; req_1 : in std_logic; grant_1 : out std_logic; data_1 : in std_logic_vector(7 downto 0); -- data byte to tx valid_1 : in std_logic; -- tdata is valid first_1 : in std_logic; -- indicates first byte of frame last_1 : in std_logic; -- indicates last byte of frame req_2 : in std_logic; grant_2 : out std_logic; data_2 : in std_logic_vector(7 downto 0); -- data byte to tx valid_2 : in std_logic; -- tdata is valid first_2 : in std_logic; -- indicates first byte of frame last_2 : in std_logic; -- indicates last byte of frame data : out std_logic_vector(7 downto 0); -- data byte to tx valid : out std_logic; -- tdata is valid first : out std_logic; -- indicates first byte of frame last : out std_logic -- indicates last byte of frame ); end component; ------------------- -- Configuration -- -- Enable one of the following to specify which -- implementation of the ARP layer to use ------------------- -- for arp_layer : arp use entity work.arp; -- single slot arbitrator -- for arp_layer : arp use entity work.arpv2; -- multislot arbitrator --------------------------- -- Signals --------------------------- -- ARP REQUEST signal arp_req_req_int : arp_req_req_type; signal arp_req_rslt_int : arp_req_rslt_type; -- MAC arbitration busses signal ip_mac_req : std_logic; signal ip_mac_grant : std_logic; signal ip_mac_data_out : std_logic_vector (7 downto 0); signal ip_mac_valid : std_logic; signal ip_mac_first : std_logic; signal ip_mac_last : std_logic; signal arp_mac_req : std_logic; signal arp_mac_grant : std_logic; signal arp_mac_data_out : std_logic_vector (7 downto 0); signal arp_mac_valid : std_logic; signal arp_mac_first : std_logic; signal arp_mac_last : std_logic; -- MAC RX bus signal mac_rx_tready_int : std_logic; -- MAC TX bus signal mac_tx_tdata_int : std_logic_vector (7 downto 0); signal mac_tx_tvalid_int : std_logic; signal mac_tx_tfirst_int : std_logic; signal mac_tx_tlast_int : std_logic; -- control signals signal mac_tx_granted_int : std_logic; begin mac_rx_tready_int <= '1'; -- enable the mac receiver -- set followers mac_tx_tdata <= mac_tx_tdata_int; mac_tx_tvalid <= mac_tx_tvalid_int; mac_tx_tfirst <= mac_tx_tfirst_int; mac_tx_tlast <= mac_tx_tlast_int; mac_rx_tready <= mac_rx_tready_int; ------------------------------------------------------------------------------ -- Instantiate the IP layer ------------------------------------------------------------------------------ IP_layer : IPv4 port map ( ip_tx_start => ip_tx_start, ip_tx => ip_tx, ip_tx_result => ip_tx_result, ip_tx_data_out_ready => ip_tx_data_out_ready, ip_rx_start => ip_rx_start, ip_rx => ip_rx, rx_clk => rx_clk, tx_clk => tx_clk, reset => reset, our_ip_address => our_ip_address, our_mac_address => our_mac_address, rx_pkt_count => ip_pkt_count, arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, mac_tx_req => ip_mac_req, mac_tx_granted => ip_mac_grant, mac_data_out_ready => mac_tx_tready, mac_data_out_valid => ip_mac_valid, mac_data_out_first => ip_mac_first, mac_data_out_last => ip_mac_last, mac_data_out => ip_mac_data_out, mac_data_in => mac_rx_tdata, mac_data_in_valid => mac_rx_tvalid, mac_data_in_last => mac_rx_tlast ); ------------------------------------------------------------------------------ -- Instantiate the ARP layer ------------------------------------------------------------------------------ signle_entry_arp: if (not use_arpv2) generate arp_layer : entity work.arp generic map ( CLOCK_FREQ => CLOCK_FREQ, ARP_TIMEOUT => ARP_TIMEOUT, ARP_MAX_PKT_TMO => ARP_MAX_PKT_TMO, MAX_ARP_ENTRIES => MAX_ARP_ENTRIES ) port map( -- request signals arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, -- rx signals data_in_clk => rx_clk, reset => reset, data_in => mac_rx_tdata, data_in_valid => mac_rx_tvalid, data_in_last => mac_rx_tlast, -- tx signals mac_tx_req => arp_mac_req, mac_tx_granted => arp_mac_grant, data_out_clk => tx_clk, data_out_ready => mac_tx_tready, data_out_valid => arp_mac_valid, data_out_first => arp_mac_first, data_out_last => arp_mac_last, data_out => arp_mac_data_out, -- system signals our_mac_address => our_mac_address, our_ip_address => our_ip_address, control => control.arp_controls, req_count => arp_pkt_count ); end generate signle_entry_arp; multi_entry_arp: if (use_arpv2) generate arp_layer : entity work.arpv2 generic map ( no_default_gateway => no_default_gateway, CLOCK_FREQ => CLOCK_FREQ, ARP_TIMEOUT => ARP_TIMEOUT, ARP_MAX_PKT_TMO => ARP_MAX_PKT_TMO, MAX_ARP_ENTRIES => MAX_ARP_ENTRIES ) port map( -- request signals arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, -- rx signals data_in_clk => rx_clk, reset => reset, data_in => mac_rx_tdata, data_in_valid => mac_rx_tvalid, data_in_last => mac_rx_tlast, -- tx signals mac_tx_req => arp_mac_req, mac_tx_granted => arp_mac_grant, data_out_clk => tx_clk, data_out_ready => mac_tx_tready, data_out_valid => arp_mac_valid, data_out_first => arp_mac_first, data_out_last => arp_mac_last, data_out => arp_mac_data_out, -- system signals our_mac_address => our_mac_address, our_ip_address => our_ip_address, control => control.arp_controls, req_count => arp_pkt_count ); end generate multi_entry_arp; ------------------------------------------------------------------------------ -- Instantiate the TX Arbitrator ------------------------------------------------------------------------------ mac_tx_arb : tx_arbitrator port map( clk => tx_clk, reset => reset, req_1 => ip_mac_req, grant_1 => ip_mac_grant, data_1 => ip_mac_data_out, valid_1 => ip_mac_valid, first_1 => ip_mac_first, last_1 => ip_mac_last, req_2 => arp_mac_req, grant_2 => arp_mac_grant, data_2 => arp_mac_data_out, valid_2 => arp_mac_valid, first_2 => arp_mac_first, last_2 => arp_mac_last, data => mac_tx_tdata_int, valid => mac_tx_tvalid_int, first => mac_tx_tfirst_int, last => mac_tx_tlast_int ); end structural;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:43:16 06/04/2011 -- Design Name: -- Module Name: IP_complete_nomac - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Implements complete IP stack with ARP (but no MAC) -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - separated RX and TX clocks -- Revision 0.03 - Added mac_tx_tfirst -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; use work.arp; use work.arpv2; entity IP_complete_nomac is generic ( use_arpv2 : boolean := true; -- use ARP with multipule entries. for signel entry, set -- to false no_default_gateway : boolean := false; -- set to false if communicating with devices accessed -- through a "default gateway or router" CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 5; -- # wrong nwk pkts received before set error MAX_ARP_ENTRIES : integer := 255 -- max entries in the ARP store ); port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); control : in ip_control_type; -- status signals arp_pkt_count : out std_logic_vector(7 downto 0); -- count of arp pkts received ip_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- MAC Transmitter mac_tx_tdata : out std_logic_vector(7 downto 0); -- data byte to tx mac_tx_tvalid : out std_logic; -- tdata is valid mac_tx_tready : in std_logic; -- mac is ready to accept data mac_tx_tfirst : out std_logic; -- indicates first byte of frame mac_tx_tlast : out std_logic; -- indicates last byte of frame -- MAC Receiver mac_rx_tdata : in std_logic_vector(7 downto 0); -- data byte received mac_rx_tvalid : in std_logic; -- indicates tdata is valid mac_rx_tready : out std_logic; -- tells mac that we are ready to take data mac_rx_tlast : in std_logic -- indicates last byte of the trame ); end IP_complete_nomac; architecture structural of IP_complete_nomac is component IPv4 port( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system control signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- system status signals rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end component; component arp generic ( CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr ARP_TIMEOUT : integer := 60; -- ARP response timeout (s) ARP_MAX_PKT_TMO : integer := 1; -- (added for compatibility with arpv2. this value not used in this impl) MAX_ARP_ENTRIES : integer := 1 -- (added for compatibility with arpv2. this value not used in this impl) ); port ( -- lookup request signals arp_req_req : in arp_req_req_type; arp_req_rslt : out arp_req_rslt_type; -- MAC layer RX signals data_in_clk : in std_logic; reset : in std_logic; data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) data_in_valid : in std_logic; -- indicates data_in valid on clock data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted data_out_clk : in std_logic; data_out_ready : in std_logic; -- indicates system ready to consume data data_out_valid : out std_logic; -- indicates data out is valid data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) -- system signals our_mac_address : in std_logic_vector (47 downto 0); our_ip_address : in std_logic_vector (31 downto 0); control : in arp_control_type; req_count : out std_logic_vector(7 downto 0) -- count of arp pkts received ); end component; component tx_arbitrator port( clk : in std_logic; reset : in std_logic; req_1 : in std_logic; grant_1 : out std_logic; data_1 : in std_logic_vector(7 downto 0); -- data byte to tx valid_1 : in std_logic; -- tdata is valid first_1 : in std_logic; -- indicates first byte of frame last_1 : in std_logic; -- indicates last byte of frame req_2 : in std_logic; grant_2 : out std_logic; data_2 : in std_logic_vector(7 downto 0); -- data byte to tx valid_2 : in std_logic; -- tdata is valid first_2 : in std_logic; -- indicates first byte of frame last_2 : in std_logic; -- indicates last byte of frame data : out std_logic_vector(7 downto 0); -- data byte to tx valid : out std_logic; -- tdata is valid first : out std_logic; -- indicates first byte of frame last : out std_logic -- indicates last byte of frame ); end component; ------------------- -- Configuration -- -- Enable one of the following to specify which -- implementation of the ARP layer to use ------------------- -- for arp_layer : arp use entity work.arp; -- single slot arbitrator -- for arp_layer : arp use entity work.arpv2; -- multislot arbitrator --------------------------- -- Signals --------------------------- -- ARP REQUEST signal arp_req_req_int : arp_req_req_type; signal arp_req_rslt_int : arp_req_rslt_type; -- MAC arbitration busses signal ip_mac_req : std_logic; signal ip_mac_grant : std_logic; signal ip_mac_data_out : std_logic_vector (7 downto 0); signal ip_mac_valid : std_logic; signal ip_mac_first : std_logic; signal ip_mac_last : std_logic; signal arp_mac_req : std_logic; signal arp_mac_grant : std_logic; signal arp_mac_data_out : std_logic_vector (7 downto 0); signal arp_mac_valid : std_logic; signal arp_mac_first : std_logic; signal arp_mac_last : std_logic; -- MAC RX bus signal mac_rx_tready_int : std_logic; -- MAC TX bus signal mac_tx_tdata_int : std_logic_vector (7 downto 0); signal mac_tx_tvalid_int : std_logic; signal mac_tx_tfirst_int : std_logic; signal mac_tx_tlast_int : std_logic; -- control signals signal mac_tx_granted_int : std_logic; begin mac_rx_tready_int <= '1'; -- enable the mac receiver -- set followers mac_tx_tdata <= mac_tx_tdata_int; mac_tx_tvalid <= mac_tx_tvalid_int; mac_tx_tfirst <= mac_tx_tfirst_int; mac_tx_tlast <= mac_tx_tlast_int; mac_rx_tready <= mac_rx_tready_int; ------------------------------------------------------------------------------ -- Instantiate the IP layer ------------------------------------------------------------------------------ IP_layer : IPv4 port map ( ip_tx_start => ip_tx_start, ip_tx => ip_tx, ip_tx_result => ip_tx_result, ip_tx_data_out_ready => ip_tx_data_out_ready, ip_rx_start => ip_rx_start, ip_rx => ip_rx, rx_clk => rx_clk, tx_clk => tx_clk, reset => reset, our_ip_address => our_ip_address, our_mac_address => our_mac_address, rx_pkt_count => ip_pkt_count, arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, mac_tx_req => ip_mac_req, mac_tx_granted => ip_mac_grant, mac_data_out_ready => mac_tx_tready, mac_data_out_valid => ip_mac_valid, mac_data_out_first => ip_mac_first, mac_data_out_last => ip_mac_last, mac_data_out => ip_mac_data_out, mac_data_in => mac_rx_tdata, mac_data_in_valid => mac_rx_tvalid, mac_data_in_last => mac_rx_tlast ); ------------------------------------------------------------------------------ -- Instantiate the ARP layer ------------------------------------------------------------------------------ signle_entry_arp: if (not use_arpv2) generate arp_layer : entity work.arp generic map ( CLOCK_FREQ => CLOCK_FREQ, ARP_TIMEOUT => ARP_TIMEOUT, ARP_MAX_PKT_TMO => ARP_MAX_PKT_TMO, MAX_ARP_ENTRIES => MAX_ARP_ENTRIES ) port map( -- request signals arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, -- rx signals data_in_clk => rx_clk, reset => reset, data_in => mac_rx_tdata, data_in_valid => mac_rx_tvalid, data_in_last => mac_rx_tlast, -- tx signals mac_tx_req => arp_mac_req, mac_tx_granted => arp_mac_grant, data_out_clk => tx_clk, data_out_ready => mac_tx_tready, data_out_valid => arp_mac_valid, data_out_first => arp_mac_first, data_out_last => arp_mac_last, data_out => arp_mac_data_out, -- system signals our_mac_address => our_mac_address, our_ip_address => our_ip_address, control => control.arp_controls, req_count => arp_pkt_count ); end generate signle_entry_arp; multi_entry_arp: if (use_arpv2) generate arp_layer : entity work.arpv2 generic map ( no_default_gateway => no_default_gateway, CLOCK_FREQ => CLOCK_FREQ, ARP_TIMEOUT => ARP_TIMEOUT, ARP_MAX_PKT_TMO => ARP_MAX_PKT_TMO, MAX_ARP_ENTRIES => MAX_ARP_ENTRIES ) port map( -- request signals arp_req_req => arp_req_req_int, arp_req_rslt => arp_req_rslt_int, -- rx signals data_in_clk => rx_clk, reset => reset, data_in => mac_rx_tdata, data_in_valid => mac_rx_tvalid, data_in_last => mac_rx_tlast, -- tx signals mac_tx_req => arp_mac_req, mac_tx_granted => arp_mac_grant, data_out_clk => tx_clk, data_out_ready => mac_tx_tready, data_out_valid => arp_mac_valid, data_out_first => arp_mac_first, data_out_last => arp_mac_last, data_out => arp_mac_data_out, -- system signals our_mac_address => our_mac_address, our_ip_address => our_ip_address, control => control.arp_controls, req_count => arp_pkt_count ); end generate multi_entry_arp; ------------------------------------------------------------------------------ -- Instantiate the TX Arbitrator ------------------------------------------------------------------------------ mac_tx_arb : tx_arbitrator port map( clk => tx_clk, reset => reset, req_1 => ip_mac_req, grant_1 => ip_mac_grant, data_1 => ip_mac_data_out, valid_1 => ip_mac_valid, first_1 => ip_mac_first, last_1 => ip_mac_last, req_2 => arp_mac_req, grant_2 => arp_mac_grant, data_2 => arp_mac_data_out, valid_2 => arp_mac_valid, first_2 => arp_mac_first, last_2 => arp_mac_last, data => mac_tx_tdata_int, valid => mac_tx_tvalid_int, first => mac_tx_tfirst_int, last => mac_tx_tlast_int ); end structural;
--------------------------------------------------------------------------------- --RAM------------------------------------------------------------ --By Kyle Williams, 04/07/2011-------------------------------------------------- --PROJECT DESCRIPTION------------------------------------------------------------ --4--After 8 bytes have been written in the ram start reading the data from the ram ----------------Define Libraries to be used-------------------------------------- LIBRARY IEEE ; USE IEEE.std_logic_1164.all ; USE IEEE.std_logic_unsigned.all; USE ieee.numeric_std.all; -----------------ENTITY FOR RAM------------------------------------------ ENTITY ram is GENERIC ( bits : INTEGER := 8; -- # of bits per word words : INTEGER := 32 ); -- # of words in the memory PORT ( wr_ena : IN STD_LOGIC; -- write enable clock : IN STD_LOGIC; reset : IN STD_LOGIC; addr : IN STD_LOGIC_VECTOR(5 DOWNTO 0); ram_in : IN STD_LOGIC_VECTOR (bits - 1 DOWNTO 0); ram_out : OUT STD_LOGIC_VECTOR (bits -1 DOWNTO 0) ); END ram; -----------------BEHAVIOR OF RAM----------------------------------------- ARCHITECTURE ram of ram IS --TYPE row IS ARRAY (7 downto 0) OF STD_LOGIC; -- 1D array --TYPE matrix IS ARRAY (0 to 3) of row; -- 1Dx1D array --TYPE matrix IS ARRAY (0 to 4) of STD_LOGIC_VECTOR (7 DOWNTO 0)--1Dx1D --Example: 2D array --The array below is truly two-dimensional. Notice that its construction is not based on vectors, but rather entirely on scalars --TYPE matrix2D IS ARRAY (0 TO 3, 7 DOWNTO 0) of STD_LOGIC; --2D array TYPE vector_array is ARRAY (0 to words -1) of STD_LOGIC_VECTOR (bits-1 DOWNTO 0);--32x8 signal memory : vector_array:=(others=> (others=>'0'));--matrix and set to 0 initially this is wrong but set for conceptual purposes signal temp_addr: Integer; -------------------PROCEDUREE------------------------------ BEGIN --Design Question should it take 9 ram inputs before output begining output sequence --Program works either way so it is up to the manufacturer --temp_addr <= to_integer(unsigned(addr));--offset is 9 ctrl_out changes up here PROCESS (clock, wr_ena) BEGIN IF(reset='0')THEN memory <= (others=> (others=>'0')); temp_addr <= 0; -- only enable if want offset of 1 instead of 9 ELSIF(rising_edge(clock)) THEN -- can also use clk'EVENT AND clk = '1' temp_addr <= to_integer(unsigned(addr));--offset is 1 ctrl_out changes up here IF (wr_ena = '1') THEN memory(temp_addr) <= ram_in; ELSE ram_out <= memory(temp_addr); END IF; END IF; END PROCESS; --ram_out <= memory(temp_addr);--asynchronous END ram;
--------------------------------------------------------------------------------- --RAM------------------------------------------------------------ --By Kyle Williams, 04/07/2011-------------------------------------------------- --PROJECT DESCRIPTION------------------------------------------------------------ --4--After 8 bytes have been written in the ram start reading the data from the ram ----------------Define Libraries to be used-------------------------------------- LIBRARY IEEE ; USE IEEE.std_logic_1164.all ; USE IEEE.std_logic_unsigned.all; USE ieee.numeric_std.all; -----------------ENTITY FOR RAM------------------------------------------ ENTITY ram is GENERIC ( bits : INTEGER := 8; -- # of bits per word words : INTEGER := 32 ); -- # of words in the memory PORT ( wr_ena : IN STD_LOGIC; -- write enable clock : IN STD_LOGIC; reset : IN STD_LOGIC; addr : IN STD_LOGIC_VECTOR(5 DOWNTO 0); ram_in : IN STD_LOGIC_VECTOR (bits - 1 DOWNTO 0); ram_out : OUT STD_LOGIC_VECTOR (bits -1 DOWNTO 0) ); END ram; -----------------BEHAVIOR OF RAM----------------------------------------- ARCHITECTURE ram of ram IS --TYPE row IS ARRAY (7 downto 0) OF STD_LOGIC; -- 1D array --TYPE matrix IS ARRAY (0 to 3) of row; -- 1Dx1D array --TYPE matrix IS ARRAY (0 to 4) of STD_LOGIC_VECTOR (7 DOWNTO 0)--1Dx1D --Example: 2D array --The array below is truly two-dimensional. Notice that its construction is not based on vectors, but rather entirely on scalars --TYPE matrix2D IS ARRAY (0 TO 3, 7 DOWNTO 0) of STD_LOGIC; --2D array TYPE vector_array is ARRAY (0 to words -1) of STD_LOGIC_VECTOR (bits-1 DOWNTO 0);--32x8 signal memory : vector_array:=(others=> (others=>'0'));--matrix and set to 0 initially this is wrong but set for conceptual purposes signal temp_addr: Integer; -------------------PROCEDUREE------------------------------ BEGIN --Design Question should it take 9 ram inputs before output begining output sequence --Program works either way so it is up to the manufacturer --temp_addr <= to_integer(unsigned(addr));--offset is 9 ctrl_out changes up here PROCESS (clock, wr_ena) BEGIN IF(reset='0')THEN memory <= (others=> (others=>'0')); temp_addr <= 0; -- only enable if want offset of 1 instead of 9 ELSIF(rising_edge(clock)) THEN -- can also use clk'EVENT AND clk = '1' temp_addr <= to_integer(unsigned(addr));--offset is 1 ctrl_out changes up here IF (wr_ena = '1') THEN memory(temp_addr) <= ram_in; ELSE ram_out <= memory(temp_addr); END IF; END IF; END PROCESS; --ram_out <= memory(temp_addr);--asynchronous END ram;
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE spi_master_pkg IS COMPONENT spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_Ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); isl_tx_start : IN STD_LOGIC; oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); osl_rx_done : OUT STD_LOGIC; islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END COMPONENT spi_master; END PACKAGE spi_master_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); -- data to transmit, should not be changed after tx_start is asserted till rx_done is received isl_tx_start : IN STD_LOGIC; --if this signal is set to one the transmission starts oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); --received data only valid if rx_done is high osl_rx_done : OUT STD_LOGIC; --if this signal goes high the receiving of data is finished islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); -- decides which ss line should be active always write a logic high to set the ss active. the block itselve handles the logic level of the ss depending on the sspol value osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END ENTITY spi_master; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF spi_master IS CONSTANT NR_OF_TICKS_PER_SCLK_EDGE : INTEGER := BASE_CLK/SCLK_FREQUENCY/2; CONSTANT CYCLE_COUNTHER_WIDTH : INTEGER := integer(ceil(log2(real(SCLK_FREQUENCY))))+1; TYPE t_states IS (idle,wait_ss_enable_setup,process_data,wait_ss_disable_setup); TYPE t_internal_register IS RECORD state :t_states; -- synchronize signals sync_miso_1 : STD_LOGIC; sync_miso_2 : STD_LOGIC; clk_count : UNSIGNED(CYCLE_COUNTHER_WIDTH-1 DOWNTO 0); sclk : STD_LOGIC; ss : STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); bit_count : INTEGER; mosi : STD_LOGIC; leading_edge : STD_LOGIC; rx_data_buf : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); rx_done : STD_LOGIC; END RECORD; SIGNAL ri, ri_next : t_internal_register; BEGIN -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,isl_tx_start,islv_ss_activ,islv_tx_data,isl_miso) VARIABLE vi: t_internal_register; PROCEDURE change_bitcount IS BEGIN IF MSBFIRST = '0' THEN IF vi.bit_count >= TRANSFER_WIDTH-1 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := 0; ELSE vi.bit_count := vi.bit_count + 1; END IF; ELSE IF vi.bit_count <= 0 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := TRANSFER_WIDTH-1; ELSE vi.bit_count := vi.bit_count - 1; END IF; END IF; END change_bitcount; BEGIN -- keep variables stable vi:=ri; --standard values vi.rx_done := '0'; --synchronisation vi.sync_miso_2 := vi.sync_miso_1; vi.sync_miso_1 := isl_miso; CASE vi.state IS WHEN idle => vi.mosi := '0'; vi.ss := (OTHERS => NOT SSPOL); vi.sclk := CPOL; IF isl_tx_start = '1' THEN vi.state := wait_ss_enable_setup; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); FOR i IN 0 TO NR_OF_SS-1 LOOP IF islv_ss_activ(i) = '1' THEN vi.ss(i) := SSPOL; END IF; END LOOP; END IF; WHEN wait_ss_enable_setup => vi.clk_count := vi.clk_count + 1; IF vi.clk_count >= CS_SETUP_CYLES THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.leading_edge := '1'; vi.rx_data_buf := (OTHERS => '0'); vi.state := process_data; END IF; WHEN process_data => --toggle sclk IF vi.clk_count = to_unsigned(0,CYCLE_COUNTHER_WIDTH) THEN vi.sclk := NOT vi.sclk; vi.clk_count := to_unsigned(NR_OF_TICKS_PER_SCLK_EDGE,CYCLE_COUNTHER_WIDTH); IF CPHA = '0' THEN -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. IF vi.leading_edge = '1' THEN vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; ELSE --trailing edge vi.mosi := islv_tx_data(vi.bit_count); change_bitcount; END IF; ELSE -- clock phase 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK IF vi.leading_edge = '1' THEN vi.mosi := islv_tx_data(vi.bit_count); ELSE --trailing edge vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; change_bitcount; END IF; END IF; vi.leading_edge := NOT vi.leading_edge; ELSE vi.clk_count := vi.clk_count - 1; END IF; WHEN wait_ss_disable_setup => IF vi.clk_count >= CS_SETUP_CYLES THEN vi.ss := (OTHERS => NOT SSPOL); vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := idle; vi.rx_done := '1'; ELSE vi.clk_count := vi.clk_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := idle; vi.sclk := CPOL; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.ss := (OTHERS => NOT SSPOL); IF MSBFIRST = '0' THEN vi.bit_count := 0; ELSE vi.bit_count := TRANSFER_WIDTH-1; END IF; vi.mosi := '0'; vi.leading_edge := '0'; vi.rx_data_buf := (OTHERS => '0'); vi.rx_done := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; --output assignement osl_sclk <= ri.sclk; oslv_ss <= ri.ss; osl_mosi <= ri.mosi; osl_rx_done <= ri.rx_done; oslv_rx_data <= ri.rx_data_buf; END ARCHITECTURE rtl;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE spi_master_pkg IS COMPONENT spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_Ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); isl_tx_start : IN STD_LOGIC; oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); osl_rx_done : OUT STD_LOGIC; islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END COMPONENT spi_master; END PACKAGE spi_master_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); -- data to transmit, should not be changed after tx_start is asserted till rx_done is received isl_tx_start : IN STD_LOGIC; --if this signal is set to one the transmission starts oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); --received data only valid if rx_done is high osl_rx_done : OUT STD_LOGIC; --if this signal goes high the receiving of data is finished islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); -- decides which ss line should be active always write a logic high to set the ss active. the block itselve handles the logic level of the ss depending on the sspol value osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END ENTITY spi_master; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF spi_master IS CONSTANT NR_OF_TICKS_PER_SCLK_EDGE : INTEGER := BASE_CLK/SCLK_FREQUENCY/2; CONSTANT CYCLE_COUNTHER_WIDTH : INTEGER := integer(ceil(log2(real(SCLK_FREQUENCY))))+1; TYPE t_states IS (idle,wait_ss_enable_setup,process_data,wait_ss_disable_setup); TYPE t_internal_register IS RECORD state :t_states; -- synchronize signals sync_miso_1 : STD_LOGIC; sync_miso_2 : STD_LOGIC; clk_count : UNSIGNED(CYCLE_COUNTHER_WIDTH-1 DOWNTO 0); sclk : STD_LOGIC; ss : STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); bit_count : INTEGER; mosi : STD_LOGIC; leading_edge : STD_LOGIC; rx_data_buf : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); rx_done : STD_LOGIC; END RECORD; SIGNAL ri, ri_next : t_internal_register; BEGIN -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,isl_tx_start,islv_ss_activ,islv_tx_data,isl_miso) VARIABLE vi: t_internal_register; PROCEDURE change_bitcount IS BEGIN IF MSBFIRST = '0' THEN IF vi.bit_count >= TRANSFER_WIDTH-1 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := 0; ELSE vi.bit_count := vi.bit_count + 1; END IF; ELSE IF vi.bit_count <= 0 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := TRANSFER_WIDTH-1; ELSE vi.bit_count := vi.bit_count - 1; END IF; END IF; END change_bitcount; BEGIN -- keep variables stable vi:=ri; --standard values vi.rx_done := '0'; --synchronisation vi.sync_miso_2 := vi.sync_miso_1; vi.sync_miso_1 := isl_miso; CASE vi.state IS WHEN idle => vi.mosi := '0'; vi.ss := (OTHERS => NOT SSPOL); vi.sclk := CPOL; IF isl_tx_start = '1' THEN vi.state := wait_ss_enable_setup; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); FOR i IN 0 TO NR_OF_SS-1 LOOP IF islv_ss_activ(i) = '1' THEN vi.ss(i) := SSPOL; END IF; END LOOP; END IF; WHEN wait_ss_enable_setup => vi.clk_count := vi.clk_count + 1; IF vi.clk_count >= CS_SETUP_CYLES THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.leading_edge := '1'; vi.rx_data_buf := (OTHERS => '0'); vi.state := process_data; END IF; WHEN process_data => --toggle sclk IF vi.clk_count = to_unsigned(0,CYCLE_COUNTHER_WIDTH) THEN vi.sclk := NOT vi.sclk; vi.clk_count := to_unsigned(NR_OF_TICKS_PER_SCLK_EDGE,CYCLE_COUNTHER_WIDTH); IF CPHA = '0' THEN -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. IF vi.leading_edge = '1' THEN vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; ELSE --trailing edge vi.mosi := islv_tx_data(vi.bit_count); change_bitcount; END IF; ELSE -- clock phase 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK IF vi.leading_edge = '1' THEN vi.mosi := islv_tx_data(vi.bit_count); ELSE --trailing edge vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; change_bitcount; END IF; END IF; vi.leading_edge := NOT vi.leading_edge; ELSE vi.clk_count := vi.clk_count - 1; END IF; WHEN wait_ss_disable_setup => IF vi.clk_count >= CS_SETUP_CYLES THEN vi.ss := (OTHERS => NOT SSPOL); vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := idle; vi.rx_done := '1'; ELSE vi.clk_count := vi.clk_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := idle; vi.sclk := CPOL; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.ss := (OTHERS => NOT SSPOL); IF MSBFIRST = '0' THEN vi.bit_count := 0; ELSE vi.bit_count := TRANSFER_WIDTH-1; END IF; vi.mosi := '0'; vi.leading_edge := '0'; vi.rx_data_buf := (OTHERS => '0'); vi.rx_done := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; --output assignement osl_sclk <= ri.sclk; oslv_ss <= ri.ss; osl_mosi <= ri.mosi; osl_rx_done <= ri.rx_done; oslv_rx_data <= ri.rx_data_buf; END ARCHITECTURE rtl;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE spi_master_pkg IS COMPONENT spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_Ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); isl_tx_start : IN STD_LOGIC; oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); osl_rx_done : OUT STD_LOGIC; islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END COMPONENT spi_master; END PACKAGE spi_master_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY spi_master IS GENERIC( BASE_CLK : INTEGER := 33000000; -- frequency of the isl_clk signal SCLK_FREQUENCY : INTEGER := 10000; -- frequency of the osl_sclk signal can not be bigger than BASE_CLK/2; CS_SETUP_CYLES : INTEGER := 10; -- number of isl_clk cycles till the first osl_sclk edge is coming out after oslv_ss is asserted. TRANSFER_WIDTH : INTEGER := 32; -- number of bits per transfer NR_OF_SS : INTEGER := 1; -- number of slave selects CPOL: STD_LOGIC := '0'; -- clock polarity: 0 = The inactive state of SCK is logic zero, 1 = The inactive state of SCK is logic one. CPHA: STD_LOGIC := '0'; -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK MSBFIRST: STD_LOGIC := '1'; -- msb first = 0 Data is shifted out with the lsb first, msb first = 1 data is shifted out with the msb first. SSPOL: STD_LOGIC := '0' -- slave select 0 = slave select zero active. 1 = slave select one active. ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; islv_tx_data : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); -- data to transmit, should not be changed after tx_start is asserted till rx_done is received isl_tx_start : IN STD_LOGIC; --if this signal is set to one the transmission starts oslv_rx_data : OUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); --received data only valid if rx_done is high osl_rx_done : OUT STD_LOGIC; --if this signal goes high the receiving of data is finished islv_ss_activ : IN STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); -- decides which ss line should be active always write a logic high to set the ss active. the block itselve handles the logic level of the ss depending on the sspol value osl_sclk : OUT STD_LOGIC; oslv_ss : OUT STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END ENTITY spi_master; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF spi_master IS CONSTANT NR_OF_TICKS_PER_SCLK_EDGE : INTEGER := BASE_CLK/SCLK_FREQUENCY/2; CONSTANT CYCLE_COUNTHER_WIDTH : INTEGER := integer(ceil(log2(real(SCLK_FREQUENCY))))+1; TYPE t_states IS (idle,wait_ss_enable_setup,process_data,wait_ss_disable_setup); TYPE t_internal_register IS RECORD state :t_states; -- synchronize signals sync_miso_1 : STD_LOGIC; sync_miso_2 : STD_LOGIC; clk_count : UNSIGNED(CYCLE_COUNTHER_WIDTH-1 DOWNTO 0); sclk : STD_LOGIC; ss : STD_LOGIC_VECTOR(NR_OF_SS-1 DOWNTO 0); bit_count : INTEGER; mosi : STD_LOGIC; leading_edge : STD_LOGIC; rx_data_buf : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); rx_done : STD_LOGIC; END RECORD; SIGNAL ri, ri_next : t_internal_register; BEGIN -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,isl_tx_start,islv_ss_activ,islv_tx_data,isl_miso) VARIABLE vi: t_internal_register; PROCEDURE change_bitcount IS BEGIN IF MSBFIRST = '0' THEN IF vi.bit_count >= TRANSFER_WIDTH-1 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := 0; ELSE vi.bit_count := vi.bit_count + 1; END IF; ELSE IF vi.bit_count <= 0 THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := wait_ss_disable_setup; vi.bit_count := TRANSFER_WIDTH-1; ELSE vi.bit_count := vi.bit_count - 1; END IF; END IF; END change_bitcount; BEGIN -- keep variables stable vi:=ri; --standard values vi.rx_done := '0'; --synchronisation vi.sync_miso_2 := vi.sync_miso_1; vi.sync_miso_1 := isl_miso; CASE vi.state IS WHEN idle => vi.mosi := '0'; vi.ss := (OTHERS => NOT SSPOL); vi.sclk := CPOL; IF isl_tx_start = '1' THEN vi.state := wait_ss_enable_setup; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); FOR i IN 0 TO NR_OF_SS-1 LOOP IF islv_ss_activ(i) = '1' THEN vi.ss(i) := SSPOL; END IF; END LOOP; END IF; WHEN wait_ss_enable_setup => vi.clk_count := vi.clk_count + 1; IF vi.clk_count >= CS_SETUP_CYLES THEN vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.leading_edge := '1'; vi.rx_data_buf := (OTHERS => '0'); vi.state := process_data; END IF; WHEN process_data => --toggle sclk IF vi.clk_count = to_unsigned(0,CYCLE_COUNTHER_WIDTH) THEN vi.sclk := NOT vi.sclk; vi.clk_count := to_unsigned(NR_OF_TICKS_PER_SCLK_EDGE,CYCLE_COUNTHER_WIDTH); IF CPHA = '0' THEN -- clock phase 0 = Data is captured on the leading edge of SCK and changed on the trailing edge of SCK. IF vi.leading_edge = '1' THEN vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; ELSE --trailing edge vi.mosi := islv_tx_data(vi.bit_count); change_bitcount; END IF; ELSE -- clock phase 1 = Data is changed on the leading edge of SCK and captured on the trailing edge of SCK IF vi.leading_edge = '1' THEN vi.mosi := islv_tx_data(vi.bit_count); ELSE --trailing edge vi.rx_data_buf(vi.bit_count) := vi.sync_miso_2; change_bitcount; END IF; END IF; vi.leading_edge := NOT vi.leading_edge; ELSE vi.clk_count := vi.clk_count - 1; END IF; WHEN wait_ss_disable_setup => IF vi.clk_count >= CS_SETUP_CYLES THEN vi.ss := (OTHERS => NOT SSPOL); vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.state := idle; vi.rx_done := '1'; ELSE vi.clk_count := vi.clk_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := idle; vi.sclk := CPOL; vi.clk_count := to_unsigned(0,CYCLE_COUNTHER_WIDTH); vi.ss := (OTHERS => NOT SSPOL); IF MSBFIRST = '0' THEN vi.bit_count := 0; ELSE vi.bit_count := TRANSFER_WIDTH-1; END IF; vi.mosi := '0'; vi.leading_edge := '0'; vi.rx_data_buf := (OTHERS => '0'); vi.rx_done := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; --output assignement osl_sclk <= ri.sclk; oslv_ss <= ri.ss; osl_mosi <= ri.mosi; osl_rx_done <= ri.rx_done; oslv_rx_data <= ri.rx_data_buf; END ARCHITECTURE rtl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:49:51 01/08/2014 -- Design Name: -- Module Name: contador10bits - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity contador10bits is Port ( A : in STD_LOGIC_VECTOR (9 downto 0); A_next : out STD_LOGIC_VECTOR (9 downto 0)); end contador10bits; architecture Behavioral of contador10bits is begin process(A) begin A_next(0) <= not A(0); if A(0) = '1' then A_next(1) <= not A(1); else A_next(1) <= A(1); end if; if A(1 downto 0) = "11" then A_next(2) <= not A(2); else A_next(2) <= A(2); end if; if A(2 downto 0) = "111" then A_next(3) <= not A(3); else A_next(3) <= A(3); end if; if A(3 downto 0) = "1111" then A_next(4) <= not A(4); else A_next(4) <= A(4); end if; if A(4 downto 0) = "11111" then A_next(5) <= not A(5); else A_next(5) <= A(5); end if; if A(5 downto 0) = "111111" then A_next(6) <= not A(6); else A_next(6) <= A(6); end if; if A(6 downto 0) = "1111111" then A_next(7) <= not A(7); else A_next(7) <= A(7); end if; if A(7 downto 0) = "11111111" then A_next(8) <= not A(8); else A_next(8) <= A(8); end if; if A(8 downto 0) = "111111111" then A_next(9) <= not A(9); else A_next(9) <= A(9); end if; end process; end Behavioral;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized1_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized1_0; ARCHITECTURE design_1_FIR_resized1_0_arch OF design_1_FIR_resized1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized1_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized1_0", C_COEF_FILE => "design_1_FIR_resized1_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized1_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized1_0; ARCHITECTURE design_1_FIR_resized1_0_arch OF design_1_FIR_resized1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized1_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized1_0", C_COEF_FILE => "design_1_FIR_resized1_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized1_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized1_0; ARCHITECTURE design_1_FIR_resized1_0_arch OF design_1_FIR_resized1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized1_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized1_0", C_COEF_FILE => "design_1_FIR_resized1_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized1_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized1_0; ARCHITECTURE design_1_FIR_resized1_0_arch OF design_1_FIR_resized1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized1_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized1_0", C_COEF_FILE => "design_1_FIR_resized1_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized1_0_arch;
component ghrd_10as066n2_avlmm_pr_freeze_bridge_1 is port ( clock : in std_logic := 'X'; -- clk freeze_conduit_freeze : in std_logic := 'X'; -- freeze freeze_conduit_illegal_request : out std_logic; -- illegal_request mst_bridge_to_pr_read : in std_logic := 'X'; -- read mst_bridge_to_pr_waitrequest : out std_logic; -- waitrequest mst_bridge_to_pr_write : in std_logic := 'X'; -- write mst_bridge_to_pr_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address mst_bridge_to_pr_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable mst_bridge_to_pr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata mst_bridge_to_pr_readdata : out std_logic_vector(31 downto 0); -- readdata mst_bridge_to_pr_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount mst_bridge_to_pr_readdatavalid : out std_logic; -- readdatavalid mst_bridge_to_pr_beginbursttransfer : in std_logic := 'X'; -- beginbursttransfer mst_bridge_to_pr_debugaccess : in std_logic := 'X'; -- debugaccess mst_bridge_to_pr_response : out std_logic_vector(1 downto 0); -- response mst_bridge_to_pr_lock : in std_logic := 'X'; -- lock mst_bridge_to_pr_writeresponsevalid : out std_logic; -- writeresponsevalid mst_bridge_to_sr_read : out std_logic; -- read mst_bridge_to_sr_waitrequest : in std_logic := 'X'; -- waitrequest mst_bridge_to_sr_write : out std_logic; -- write mst_bridge_to_sr_address : out std_logic_vector(31 downto 0); -- address mst_bridge_to_sr_byteenable : out std_logic_vector(3 downto 0); -- byteenable mst_bridge_to_sr_writedata : out std_logic_vector(31 downto 0); -- writedata mst_bridge_to_sr_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata mst_bridge_to_sr_burstcount : out std_logic_vector(2 downto 0); -- burstcount mst_bridge_to_sr_readdatavalid : in std_logic := 'X'; -- readdatavalid mst_bridge_to_sr_beginbursttransfer : out std_logic; -- beginbursttransfer mst_bridge_to_sr_debugaccess : out std_logic; -- debugaccess mst_bridge_to_sr_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response mst_bridge_to_sr_lock : out std_logic; -- lock mst_bridge_to_sr_writeresponsevalid : in std_logic := 'X'; -- writeresponsevalid reset_n : in std_logic := 'X' -- reset_n ); end component ghrd_10as066n2_avlmm_pr_freeze_bridge_1; u0 : component ghrd_10as066n2_avlmm_pr_freeze_bridge_1 port map ( clock => CONNECTED_TO_clock, -- clock.clk freeze_conduit_freeze => CONNECTED_TO_freeze_conduit_freeze, -- freeze_conduit.freeze freeze_conduit_illegal_request => CONNECTED_TO_freeze_conduit_illegal_request, -- .illegal_request mst_bridge_to_pr_read => CONNECTED_TO_mst_bridge_to_pr_read, -- mst_bridge_to_pr.read mst_bridge_to_pr_waitrequest => CONNECTED_TO_mst_bridge_to_pr_waitrequest, -- .waitrequest mst_bridge_to_pr_write => CONNECTED_TO_mst_bridge_to_pr_write, -- .write mst_bridge_to_pr_address => CONNECTED_TO_mst_bridge_to_pr_address, -- .address mst_bridge_to_pr_byteenable => CONNECTED_TO_mst_bridge_to_pr_byteenable, -- .byteenable mst_bridge_to_pr_writedata => CONNECTED_TO_mst_bridge_to_pr_writedata, -- .writedata mst_bridge_to_pr_readdata => CONNECTED_TO_mst_bridge_to_pr_readdata, -- .readdata mst_bridge_to_pr_burstcount => CONNECTED_TO_mst_bridge_to_pr_burstcount, -- .burstcount mst_bridge_to_pr_readdatavalid => CONNECTED_TO_mst_bridge_to_pr_readdatavalid, -- .readdatavalid mst_bridge_to_pr_beginbursttransfer => CONNECTED_TO_mst_bridge_to_pr_beginbursttransfer, -- .beginbursttransfer mst_bridge_to_pr_debugaccess => CONNECTED_TO_mst_bridge_to_pr_debugaccess, -- .debugaccess mst_bridge_to_pr_response => CONNECTED_TO_mst_bridge_to_pr_response, -- .response mst_bridge_to_pr_lock => CONNECTED_TO_mst_bridge_to_pr_lock, -- .lock mst_bridge_to_pr_writeresponsevalid => CONNECTED_TO_mst_bridge_to_pr_writeresponsevalid, -- .writeresponsevalid mst_bridge_to_sr_read => CONNECTED_TO_mst_bridge_to_sr_read, -- mst_bridge_to_sr.read mst_bridge_to_sr_waitrequest => CONNECTED_TO_mst_bridge_to_sr_waitrequest, -- .waitrequest mst_bridge_to_sr_write => CONNECTED_TO_mst_bridge_to_sr_write, -- .write mst_bridge_to_sr_address => CONNECTED_TO_mst_bridge_to_sr_address, -- .address mst_bridge_to_sr_byteenable => CONNECTED_TO_mst_bridge_to_sr_byteenable, -- .byteenable mst_bridge_to_sr_writedata => CONNECTED_TO_mst_bridge_to_sr_writedata, -- .writedata mst_bridge_to_sr_readdata => CONNECTED_TO_mst_bridge_to_sr_readdata, -- .readdata mst_bridge_to_sr_burstcount => CONNECTED_TO_mst_bridge_to_sr_burstcount, -- .burstcount mst_bridge_to_sr_readdatavalid => CONNECTED_TO_mst_bridge_to_sr_readdatavalid, -- .readdatavalid mst_bridge_to_sr_beginbursttransfer => CONNECTED_TO_mst_bridge_to_sr_beginbursttransfer, -- .beginbursttransfer mst_bridge_to_sr_debugaccess => CONNECTED_TO_mst_bridge_to_sr_debugaccess, -- .debugaccess mst_bridge_to_sr_response => CONNECTED_TO_mst_bridge_to_sr_response, -- .response mst_bridge_to_sr_lock => CONNECTED_TO_mst_bridge_to_sr_lock, -- .lock mst_bridge_to_sr_writeresponsevalid => CONNECTED_TO_mst_bridge_to_sr_writeresponsevalid, -- .writeresponsevalid reset_n => CONNECTED_TO_reset_n -- reset_n.reset_n );
architecture behav of tb is begin assert work.pkg2.get2 = 5; end behav;
architecture behav of tb is begin assert work.pkg2.get2 = 5; end behav;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity multi_oc_tb is end entity; architecture behav of multi_oc_tb is component multi_oc port( si : in std_logic_vector (3 downto 0); se : in std_logic_vector (1 downto 0); so : out std_logic ); end component; for multi_oc_0 : multi_oc use entity work.multi_oc; signal si : std_logic_vector (3 downto 0); signal se : std_logic_vector (1 downto 0); signal so : std_logic; begin multi_oc_0 : multi_oc port map (si=>si, se=>se, so=>so); process begin si<="1110"; se<="10"; wait for 5 ns; si<="1110"; se<="00"; wait for 5 ns; si<="1110"; se<="01"; wait for 5 ns; si<="1110"; se<="11"; wait for 5 ns; wait; end process; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use work.NoCPackage.all; use work.TablePackage.all; entity NOC is port( clock : in regNrot; reset : in std_logic; clock_rxLocal : in regNrot; rxLocal : in regNrot; data_inLocal : in arrayNrot_regflit; credit_oLocal : out regNrot; clock_txLocal : out regNrot; txLocal : out regNrot; data_outLocal : out arrayNrot_regflit; credit_iLocal : in regNrot); end NOC; architecture NOC of NOC is signal rx, clock_rx, credit_i, tx, clock_tx, credit_o, testLink_i, testLink_o : arrayNrot_regNport; signal data_in, data_out : matrixNrot_Nport_regflit; begin Router: FOR i IN 0 TO (NROT-1) GENERATE n : Entity work.RouterCC generic map ( address => ADDRESS_FROM_INDEX(i), ramInit => TAB(i) ) port map ( clock => clock(i), reset => reset, clock_rx => clock_rx(i), rx => rx(i), data_in => data_in(i), credit_o => credit_o(i), clock_tx => clock_tx(i), tx => tx(i), data_out => data_out(i), credit_i => credit_i(i) ); END GENERATE Router; internal_ports: FOR i IN 0 TO (NROT-1) GENERATE east: if i < NUM_Y*MAX_X GENERATE clock_rx(i)(0) <= clock_tx(i+NUM_Y)(1); rx(i)(0) <= tx(i+NUM_Y)(1); data_in(i)(0) <= data_out(i+NUM_Y)(1); credit_i(i)(0) <= credit_o(i+NUM_Y)(1); end GENERATE; west: if i >= NUM_Y GENERATE clock_rx(i)(1) <= clock_tx(i-NUM_Y)(0); rx(i)(1) <= tx(i-NUM_Y)(0); data_in(i)(1) <= data_out(i-NUM_Y)(0); credit_i(i)(1) <= credit_o(i-NUM_Y)(0); end GENERATE; north: if (i-(i/NUM_Y)*NUM_Y) < MAX_Y GENERATE clock_rx(i)(2) <= clock_tx(i+1)(3); rx(i)(2) <= tx(i+1)(3); data_in(i)(2) <= data_out(i+1)(3); credit_i(i)(2) <= credit_o(i+1)(3); end GENERATE; south: if (i-(i/NUM_Y)*NUM_Y) > MIN_Y GENERATE clock_rx(i)(3) <= clock_tx(i-1)(2); rx(i)(3)<=tx(i-1)(2); data_in(i)(3)<=data_out(i-1)(2); credit_i(i)(3)<=credit_o(i-1)(2); end GENERATE; END GENERATE; local_port : FOR i IN 0 TO (NROT-1) GENERATE clock_rx(i)(LOCAL)<= clock_rxLocal(i); data_in(i)(LOCAL)<=data_inLocal(i); credit_i(i)(LOCAL)<=credit_iLocal(i); rx(i)(LOCAL)<=rxLocal(i); clock_txLocal(i)<= clock_tx(i)(LOCAL); data_outLocal(i)<=data_out(i)(LOCAL); credit_oLocal(i)<=credit_o(i)(LOCAL); txLocal(i)<=tx(i)(LOCAL); END GENERATE; end NOC;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity contact_discovery is generic ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; contacts_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); contacts_in_V_TVALID : IN STD_LOGIC; contacts_in_V_TREADY : OUT STD_LOGIC; database_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); database_in_V_TVALID : IN STD_LOGIC; database_in_V_TREADY : OUT STD_LOGIC; matched_out_V_TDATA : OUT STD_LOGIC_VECTOR (7 downto 0); matched_out_V_TVALID : OUT STD_LOGIC; matched_out_V_TREADY : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of contact_discovery is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "contact_discovery,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.932500,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=4,HLS_SYN_DSP=0,HLS_SYN_FF=461,HLS_SYN_LUT=838}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (14 downto 0) := "000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (14 downto 0) := "000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (14 downto 0) := "000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (14 downto 0) := "000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (14 downto 0) := "000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (14 downto 0) := "000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (14 downto 0) := "000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (14 downto 0) := "001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (14 downto 0) := "010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (14 downto 0) := "100000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv7_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv8_80 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_const_lv7_40 : STD_LOGIC_VECTOR (6 downto 0) := "1000000"; constant ap_const_lv7_1 : STD_LOGIC_VECTOR (6 downto 0) := "0000001"; constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv25_0 : STD_LOGIC_VECTOR (24 downto 0) := "0000000000000000000000000"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal operation : STD_LOGIC_VECTOR (31 downto 0); signal operation_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal operation_ap_vld : STD_LOGIC; signal operation_ap_vld_preg : STD_LOGIC := '0'; signal operation_ap_vld_in_sig : STD_LOGIC; signal matched_out_V_1_data_out : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_vld_in : STD_LOGIC; signal matched_out_V_1_vld_out : STD_LOGIC; signal matched_out_V_1_ack_in : STD_LOGIC; signal matched_out_V_1_ack_out : STD_LOGIC; signal matched_out_V_1_payload_A : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_payload_B : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_sel_rd : STD_LOGIC := '0'; signal matched_out_V_1_sel_wr : STD_LOGIC := '0'; signal matched_out_V_1_sel : STD_LOGIC; signal matched_out_V_1_load_A : STD_LOGIC; signal matched_out_V_1_load_B : STD_LOGIC; signal matched_out_V_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00"; signal matched_out_V_1_state_cmp_full : STD_LOGIC; signal matched_finished_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal matched_finished_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal matched_finished_1_vld_reg : STD_LOGIC := '0'; signal matched_finished_1_vld_in : STD_LOGIC; signal matched_finished_1_ack_in : STD_LOGIC; signal error_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal error_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_vld_reg : STD_LOGIC := '0'; signal error_out_1_vld_in : STD_LOGIC; signal error_out_1_ack_in : STD_LOGIC; signal contacts_size_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_size_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_out_1_vld_reg : STD_LOGIC := '0'; signal contacts_size_out_1_vld_in : STD_LOGIC; signal contacts_size_out_1_ack_in : STD_LOGIC; signal contacts_size : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_address0 : STD_LOGIC_VECTOR (12 downto 0); signal contacts_ce0 : STD_LOGIC; signal contacts_we0 : STD_LOGIC; signal contacts_d0 : STD_LOGIC_VECTOR (7 downto 0); signal contacts_q0 : STD_LOGIC_VECTOR (7 downto 0); signal current_database_ite_address0 : STD_LOGIC_VECTOR (5 downto 0); signal current_database_ite_ce0 : STD_LOGIC; signal current_database_ite_we0 : STD_LOGIC; signal current_database_ite_q0 : STD_LOGIC_VECTOR (7 downto 0); signal operation_blk_n : STD_LOGIC; signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal contacts_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal ap_CS_fsm_state13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none"; signal exitcond9_fu_444_p2 : STD_LOGIC_VECTOR (0 downto 0); signal database_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal exitcond8_fu_329_p2 : STD_LOGIC_VECTOR (0 downto 0); signal matched_out_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal exitcond7_fu_346_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal grp_read_fu_98_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_fu_318_p2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal i_2_fu_335_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state6 : BOOLEAN; signal i_5_fu_352_p2 : STD_LOGIC_VECTOR (7 downto 0); signal i_5_reg_512 : STD_LOGIC_VECTOR (7 downto 0); signal ap_block_state7_io : BOOLEAN; signal tmp_i_fu_362_p3 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_i_reg_517 : STD_LOGIC_VECTOR (12 downto 0); signal cast_fu_370_p1 : STD_LOGIC_VECTOR (7 downto 0); signal i_6_fu_385_p2 : STD_LOGIC_VECTOR (6 downto 0); signal i_6_reg_530 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal exitcond_i_fu_379_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_fu_406_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_1_fu_418_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal icmp_fu_434_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_nbreadreq_fu_151_p3 : STD_LOGIC_VECTOR (0 downto 0); signal i_4_fu_450_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state13 : BOOLEAN; signal tmp_9_fu_473_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_3_reg_217 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal exitcond_fu_312_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_1_reg_228 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_1_nbreadreq_fu_129_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_10_reg_239 : STD_LOGIC_VECTOR (0 downto 0); signal contact_index_assign_reg_251 : STD_LOGIC_VECTOR (7 downto 0); signal i_i_reg_262 : STD_LOGIC_VECTOR (6 downto 0); signal comp_reg_273 : STD_LOGIC_VECTOR (0 downto 0); signal i1_reg_285 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_3_fu_324_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_341_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_i_7_fu_391_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_13_i_fu_401_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_s_fu_468_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_11_fu_358_p1 : STD_LOGIC_VECTOR (6 downto 0); signal i_i_cast7_fu_375_p1 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_12_i_fu_396_p2 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_14_i_fu_412_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_fu_424_p4 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_6_fu_456_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i1_cast_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_fu_462_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal ap_block_state11 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (14 downto 0); component contact_discoverybkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (12 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discoverycud IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (5 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discovery_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; operation : OUT STD_LOGIC_VECTOR (31 downto 0); operation_ap_vld : OUT STD_LOGIC; matched_finished : IN STD_LOGIC_VECTOR (31 downto 0); error_out : IN STD_LOGIC_VECTOR (31 downto 0); contacts_size_out : IN STD_LOGIC_VECTOR (31 downto 0) ); end component; begin contacts_U : component contact_discoverybkb generic map ( DataWidth => 8, AddressRange => 8192, AddressWidth => 13) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => contacts_address0, ce0 => contacts_ce0, we0 => contacts_we0, d0 => contacts_d0, q0 => contacts_q0); current_database_ite_U : component contact_discoverycud generic map ( DataWidth => 8, AddressRange => 64, AddressWidth => 6) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => current_database_ite_address0, ce0 => current_database_ite_ce0, we0 => current_database_ite_we0, d0 => database_in_V_TDATA, q0 => current_database_ite_q0); contact_discovery_AXILiteS_s_axi_U : component contact_discovery_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, operation => operation, operation_ap_vld => operation_ap_vld, matched_finished => matched_finished_1_data_reg, error_out => error_out_1_data_reg, contacts_size_out => contacts_size_out_1_data_reg); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; matched_out_V_1_sel_rd_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_rd <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_ack_out) and (ap_const_logic_1 = matched_out_V_1_vld_out))) then matched_out_V_1_sel_rd <= not(matched_out_V_1_sel_rd); end if; end if; end if; end process; matched_out_V_1_sel_wr_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_wr <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_in))) then matched_out_V_1_sel_wr <= not(matched_out_V_1_sel_wr); end if; end if; end if; end process; matched_out_V_1_state_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_state <= ap_const_lv2_0; else if ((((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)))) then matched_out_V_1_state <= ap_const_lv2_2; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)))) then matched_out_V_1_state <= ap_const_lv2_1; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)) or ((ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)) or ((matched_out_V_1_state = ap_const_lv2_3) and not(((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out))) and not(((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out)))))) then matched_out_V_1_state <= ap_const_lv2_3; else matched_out_V_1_state <= ap_const_lv2_2; end if; end if; end if; end process; operation_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_ap_vld_preg <= ap_const_logic_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_ap_vld_preg <= operation_ap_vld; elsif (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then operation_ap_vld_preg <= ap_const_logic_0; end if; end if; end if; end process; operation_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_preg <= operation; end if; end if; end if; end process; comp_reg_273_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then comp_reg_273 <= found_1_fu_418_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then comp_reg_273 <= ap_const_lv1_1; end if; end if; end process; contact_index_assign_reg_251_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then contact_index_assign_reg_251 <= ap_const_lv8_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then contact_index_assign_reg_251 <= i_5_reg_512; end if; end if; end process; contacts_size_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size <= tmp_9_fu_473_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size <= ap_const_lv32_0; end if; end if; end process; contacts_size_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; error_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; i1_reg_285_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then i1_reg_285 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then i1_reg_285 <= i_4_fu_450_p2; end if; end if; end process; i_1_reg_228_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then i_1_reg_228 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then i_1_reg_228 <= i_2_fu_335_p2; end if; end if; end process; i_3_reg_217_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2))) then i_3_reg_217 <= i_fu_318_p2; elsif (((grp_read_fu_98_p2 = ap_const_lv32_2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then i_3_reg_217 <= ap_const_lv8_0; end if; end if; end process; i_i_reg_262_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then i_i_reg_262 <= i_6_reg_530; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then i_i_reg_262 <= ap_const_lv7_0; end if; end if; end process; matched_finished_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; tmp_10_reg_239_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then tmp_10_reg_239 <= ap_const_lv1_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then tmp_10_reg_239 <= found_fu_406_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_0 = contacts_size_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_data_reg <= contacts_size_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_0 = error_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_data_reg <= error_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0))) then i_5_reg_512 <= i_5_fu_352_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then i_6_reg_530 <= i_6_fu_385_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_0 = matched_finished_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_data_reg <= matched_finished_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_A)) then matched_out_V_1_payload_A <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_B)) then matched_out_V_1_payload_B <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then tmp_i_reg_517(12 downto 6) <= tmp_i_fu_362_p3(12 downto 6); end if; end if; end process; tmp_i_reg_517(5 downto 0) <= "000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, operation_ap_vld_in_sig, contacts_in_V_TVALID, database_in_V_TVALID, matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state2, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10, grp_read_fu_98_p2, ap_CS_fsm_state4, ap_block_state7_io, ap_CS_fsm_state8, exitcond_i_fu_379_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3, ap_CS_fsm_state3, exitcond_fu_312_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3, ap_CS_fsm_state11) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state2; end if; when ap_ST_fsm_state3 => if ((not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_lv32_0 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state12; elsif (((ap_const_lv32_1 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = exitcond_fu_312_p2))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then ap_NS_fsm <= ap_ST_fsm_state8; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then ap_NS_fsm <= ap_ST_fsm_state10; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state8 => if (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state9; end if; when ap_ST_fsm_state9 => ap_NS_fsm <= ap_ST_fsm_state8; when ap_ST_fsm_state10 => if (((ap_const_logic_1 = ap_CS_fsm_state10) and (matched_out_V_1_ack_in = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state11 => if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state12 => if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_0 = tmp_nbreadreq_fu_151_p3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then ap_NS_fsm <= ap_ST_fsm_state13; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when ap_ST_fsm_state13 => if (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state13; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state13; end if; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state15 => if (((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state13 <= ap_CS_fsm(12); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_block_state11_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in) begin ap_block_state11 <= ((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in)); end process; ap_block_state13_assign_proc : process(contacts_in_V_TVALID, exitcond9_fu_444_p2) begin ap_block_state13 <= ((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)); end process; ap_block_state6_assign_proc : process(database_in_V_TVALID, exitcond8_fu_329_p2) begin ap_block_state6 <= ((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)); end process; ap_block_state7_io_assign_proc : process(matched_out_V_1_ack_in, exitcond7_fu_346_p2) begin ap_block_state7_io <= ((ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_const_logic_0 = matched_out_V_1_ack_in)); end process; ap_done_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; cast_fu_370_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_10_reg_239),8)); contacts_address0_assign_proc : process(ap_CS_fsm_state13, ap_CS_fsm_state4, ap_CS_fsm_state8, tmp_3_fu_324_p1, tmp_13_i_fu_401_p1, tmp_s_fu_468_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_address0 <= tmp_s_fu_468_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state8)) then contacts_address0 <= tmp_13_i_fu_401_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_address0 <= tmp_3_fu_324_p1(13 - 1 downto 0); else contacts_address0 <= "XXXXXXXXXXXXX"; end if; end process; contacts_ce0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, ap_CS_fsm_state8) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or (ap_const_logic_1 = ap_CS_fsm_state8) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_ce0 <= ap_const_logic_1; else contacts_ce0 <= ap_const_logic_0; end if; end process; contacts_d0_assign_proc : process(contacts_in_V_TDATA, ap_CS_fsm_state13, ap_CS_fsm_state4) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_d0 <= contacts_in_V_TDATA; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_d0 <= ap_const_lv8_0; else contacts_d0 <= "XXXXXXXX"; end if; end process; contacts_in_V_TDATA_blk_n_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2)))) then contacts_in_V_TDATA_blk_n <= contacts_in_V_TVALID; else contacts_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; contacts_in_V_TREADY_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))) or ((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1)))) then contacts_in_V_TREADY <= ap_const_logic_1; else contacts_in_V_TREADY <= ap_const_logic_0; end if; end process; contacts_size_out_1_ack_in_assign_proc : process(contacts_size_out_1_vld_reg) begin if (((ap_const_logic_0 = contacts_size_out_1_vld_reg) or ((ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_ack_in <= ap_const_logic_1; else contacts_size_out_1_ack_in <= ap_const_logic_0; end if; end process; contacts_size_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, contacts_size, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, tmp_9_fu_473_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size_out_1_data_in <= tmp_9_fu_473_p2; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))))) then contacts_size_out_1_data_in <= contacts_size; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size_out_1_data_in <= ap_const_lv32_0; else contacts_size_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; contacts_size_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then contacts_size_out_1_vld_in <= ap_const_logic_1; else contacts_size_out_1_vld_in <= ap_const_logic_0; end if; end process; contacts_we0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, exitcond_fu_312_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_we0 <= ap_const_logic_1; else contacts_we0 <= ap_const_logic_0; end if; end process; current_database_ite_address0_assign_proc : process(ap_CS_fsm_state6, ap_CS_fsm_state8, tmp_7_fu_341_p1, tmp_i_7_fu_391_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then current_database_ite_address0 <= tmp_i_7_fu_391_p1(6 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state6)) then current_database_ite_address0 <= tmp_7_fu_341_p1(6 - 1 downto 0); else current_database_ite_address0 <= "XXXXXX"; end if; end process; current_database_ite_ce0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state8) begin if ((((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)))) or (ap_const_logic_1 = ap_CS_fsm_state8))) then current_database_ite_ce0 <= ap_const_logic_1; else current_database_ite_ce0 <= ap_const_logic_0; end if; end process; current_database_ite_we0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then current_database_ite_we0 <= ap_const_logic_1; else current_database_ite_we0 <= ap_const_logic_0; end if; end process; database_in_V_TDATA_blk_n_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2))) then database_in_V_TDATA_blk_n <= database_in_V_TVALID; else database_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; database_in_V_TREADY_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then database_in_V_TREADY <= ap_const_logic_1; else database_in_V_TREADY <= ap_const_logic_0; end if; end process; error_out_1_ack_in_assign_proc : process(error_out_1_vld_reg) begin if (((ap_const_logic_0 = error_out_1_vld_reg) or ((ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_ack_in <= ap_const_logic_1; else error_out_1_ack_in <= ap_const_logic_0; end if; end process; error_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2))) then error_out_1_data_in <= ap_const_lv32_1; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_3; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_0; else error_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; error_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2)))) then error_out_1_vld_in <= ap_const_logic_1; else error_out_1_vld_in <= ap_const_logic_0; end if; end process; exitcond7_fu_346_p2 <= "1" when (contact_index_assign_reg_251 = ap_const_lv8_80) else "0"; exitcond8_fu_329_p2 <= "1" when (i_1_reg_228 = ap_const_lv7_40) else "0"; exitcond9_fu_444_p2 <= "1" when (i1_reg_285 = ap_const_lv7_40) else "0"; exitcond_fu_312_p2 <= "1" when (i_3_reg_217 = ap_const_lv8_80) else "0"; exitcond_i_fu_379_p2 <= "1" when (i_i_reg_262 = ap_const_lv7_40) else "0"; found_1_fu_418_p2 <= (tmp_14_i_fu_412_p2 and comp_reg_273); found_fu_406_p2 <= (comp_reg_273 or tmp_10_reg_239); grp_read_fu_98_p2 <= operation_preg; i1_cast_fu_440_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i1_reg_285),32)); i_2_fu_335_p2 <= std_logic_vector(unsigned(i_1_reg_228) + unsigned(ap_const_lv7_1)); i_4_fu_450_p2 <= std_logic_vector(unsigned(i1_reg_285) + unsigned(ap_const_lv7_1)); i_5_fu_352_p2 <= std_logic_vector(unsigned(contact_index_assign_reg_251) + unsigned(ap_const_lv8_1)); i_6_fu_385_p2 <= std_logic_vector(unsigned(i_i_reg_262) + unsigned(ap_const_lv7_1)); i_fu_318_p2 <= std_logic_vector(unsigned(i_3_reg_217) + unsigned(ap_const_lv8_1)); i_i_cast7_fu_375_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),13)); icmp_fu_434_p2 <= "1" when (signed(tmp_2_fu_424_p4) > signed(ap_const_lv25_0)) else "0"; matched_finished_1_ack_in_assign_proc : process(matched_finished_1_vld_reg) begin if (((ap_const_logic_0 = matched_finished_1_vld_reg) or ((ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_ack_in <= ap_const_logic_1; else matched_finished_1_ack_in <= ap_const_logic_0; end if; end process; matched_finished_1_data_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3))) then matched_finished_1_data_in <= ap_const_lv32_1; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then matched_finished_1_data_in <= ap_const_lv32_0; else matched_finished_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; matched_finished_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3)))) then matched_finished_1_vld_in <= ap_const_logic_1; else matched_finished_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_ack_in <= matched_out_V_1_state(1); matched_out_V_1_ack_out <= matched_out_V_TREADY; matched_out_V_1_data_out_assign_proc : process(matched_out_V_1_payload_A, matched_out_V_1_payload_B, matched_out_V_1_sel) begin if ((ap_const_logic_1 = matched_out_V_1_sel)) then matched_out_V_1_data_out <= matched_out_V_1_payload_B; else matched_out_V_1_data_out <= matched_out_V_1_payload_A; end if; end process; matched_out_V_1_load_A <= (matched_out_V_1_state_cmp_full and not(matched_out_V_1_sel_wr)); matched_out_V_1_load_B <= (matched_out_V_1_sel_wr and matched_out_V_1_state_cmp_full); matched_out_V_1_sel <= matched_out_V_1_sel_rd; matched_out_V_1_state_cmp_full <= '0' when (matched_out_V_1_state = ap_const_lv2_1) else '1'; matched_out_V_1_vld_in_assign_proc : process(ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_block_state7_io) begin if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then matched_out_V_1_vld_in <= ap_const_logic_1; else matched_out_V_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_vld_out <= matched_out_V_1_state(0); matched_out_V_TDATA <= matched_out_V_1_data_out; matched_out_V_TDATA_blk_n_assign_proc : process(matched_out_V_1_state, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10) begin if ((((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2)) or (ap_const_logic_1 = ap_CS_fsm_state10))) then matched_out_V_TDATA_blk_n <= matched_out_V_1_state(1); else matched_out_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; matched_out_V_TVALID <= matched_out_V_1_state(0); operation_ap_vld_in_sig <= operation_ap_vld_preg; operation_blk_n_assign_proc : process(ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then operation_blk_n <= ap_const_logic_0; else operation_blk_n <= ap_const_logic_1; end if; end process; tmp_11_fu_358_p1 <= contact_index_assign_reg_251(7 - 1 downto 0); tmp_12_i_fu_396_p2 <= std_logic_vector(unsigned(i_i_cast7_fu_375_p1) + unsigned(tmp_i_reg_517)); tmp_13_i_fu_401_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_12_i_fu_396_p2),64)); tmp_14_i_fu_412_p2 <= "1" when (current_database_ite_q0 = contacts_q0) else "0"; tmp_1_nbreadreq_fu_129_p3 <= (0=>database_in_V_TVALID, others=>'-'); tmp_2_fu_424_p4 <= contacts_size(31 downto 7); tmp_3_fu_324_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_3_reg_217),64)); tmp_6_fu_456_p2 <= std_logic_vector(shift_left(unsigned(contacts_size),to_integer(unsigned('0' & ap_const_lv32_6(31-1 downto 0))))); tmp_7_fu_341_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_228),64)); tmp_8_fu_462_p2 <= std_logic_vector(unsigned(tmp_6_fu_456_p2) + unsigned(i1_cast_fu_440_p1)); tmp_9_fu_473_p2 <= std_logic_vector(unsigned(contacts_size) + unsigned(ap_const_lv32_1)); tmp_i_7_fu_391_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),64)); tmp_i_fu_362_p3 <= (tmp_11_fu_358_p1 & ap_const_lv6_0); tmp_nbreadreq_fu_151_p3 <= (0=>contacts_in_V_TVALID, others=>'-'); tmp_s_fu_468_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_462_p2),64)); end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity contact_discovery is generic ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; contacts_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); contacts_in_V_TVALID : IN STD_LOGIC; contacts_in_V_TREADY : OUT STD_LOGIC; database_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); database_in_V_TVALID : IN STD_LOGIC; database_in_V_TREADY : OUT STD_LOGIC; matched_out_V_TDATA : OUT STD_LOGIC_VECTOR (7 downto 0); matched_out_V_TVALID : OUT STD_LOGIC; matched_out_V_TREADY : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of contact_discovery is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "contact_discovery,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.932500,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=4,HLS_SYN_DSP=0,HLS_SYN_FF=461,HLS_SYN_LUT=838}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (14 downto 0) := "000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (14 downto 0) := "000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (14 downto 0) := "000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (14 downto 0) := "000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (14 downto 0) := "000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (14 downto 0) := "000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (14 downto 0) := "000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (14 downto 0) := "001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (14 downto 0) := "010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (14 downto 0) := "100000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv7_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv8_80 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_const_lv7_40 : STD_LOGIC_VECTOR (6 downto 0) := "1000000"; constant ap_const_lv7_1 : STD_LOGIC_VECTOR (6 downto 0) := "0000001"; constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv25_0 : STD_LOGIC_VECTOR (24 downto 0) := "0000000000000000000000000"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal operation : STD_LOGIC_VECTOR (31 downto 0); signal operation_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal operation_ap_vld : STD_LOGIC; signal operation_ap_vld_preg : STD_LOGIC := '0'; signal operation_ap_vld_in_sig : STD_LOGIC; signal matched_out_V_1_data_out : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_vld_in : STD_LOGIC; signal matched_out_V_1_vld_out : STD_LOGIC; signal matched_out_V_1_ack_in : STD_LOGIC; signal matched_out_V_1_ack_out : STD_LOGIC; signal matched_out_V_1_payload_A : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_payload_B : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_sel_rd : STD_LOGIC := '0'; signal matched_out_V_1_sel_wr : STD_LOGIC := '0'; signal matched_out_V_1_sel : STD_LOGIC; signal matched_out_V_1_load_A : STD_LOGIC; signal matched_out_V_1_load_B : STD_LOGIC; signal matched_out_V_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00"; signal matched_out_V_1_state_cmp_full : STD_LOGIC; signal matched_finished_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal matched_finished_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal matched_finished_1_vld_reg : STD_LOGIC := '0'; signal matched_finished_1_vld_in : STD_LOGIC; signal matched_finished_1_ack_in : STD_LOGIC; signal error_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal error_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_vld_reg : STD_LOGIC := '0'; signal error_out_1_vld_in : STD_LOGIC; signal error_out_1_ack_in : STD_LOGIC; signal contacts_size_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_size_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_out_1_vld_reg : STD_LOGIC := '0'; signal contacts_size_out_1_vld_in : STD_LOGIC; signal contacts_size_out_1_ack_in : STD_LOGIC; signal contacts_size : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_address0 : STD_LOGIC_VECTOR (12 downto 0); signal contacts_ce0 : STD_LOGIC; signal contacts_we0 : STD_LOGIC; signal contacts_d0 : STD_LOGIC_VECTOR (7 downto 0); signal contacts_q0 : STD_LOGIC_VECTOR (7 downto 0); signal current_database_ite_address0 : STD_LOGIC_VECTOR (5 downto 0); signal current_database_ite_ce0 : STD_LOGIC; signal current_database_ite_we0 : STD_LOGIC; signal current_database_ite_q0 : STD_LOGIC_VECTOR (7 downto 0); signal operation_blk_n : STD_LOGIC; signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal contacts_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal ap_CS_fsm_state13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none"; signal exitcond9_fu_444_p2 : STD_LOGIC_VECTOR (0 downto 0); signal database_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal exitcond8_fu_329_p2 : STD_LOGIC_VECTOR (0 downto 0); signal matched_out_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal exitcond7_fu_346_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal grp_read_fu_98_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_fu_318_p2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal i_2_fu_335_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state6 : BOOLEAN; signal i_5_fu_352_p2 : STD_LOGIC_VECTOR (7 downto 0); signal i_5_reg_512 : STD_LOGIC_VECTOR (7 downto 0); signal ap_block_state7_io : BOOLEAN; signal tmp_i_fu_362_p3 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_i_reg_517 : STD_LOGIC_VECTOR (12 downto 0); signal cast_fu_370_p1 : STD_LOGIC_VECTOR (7 downto 0); signal i_6_fu_385_p2 : STD_LOGIC_VECTOR (6 downto 0); signal i_6_reg_530 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal exitcond_i_fu_379_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_fu_406_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_1_fu_418_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal icmp_fu_434_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_nbreadreq_fu_151_p3 : STD_LOGIC_VECTOR (0 downto 0); signal i_4_fu_450_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state13 : BOOLEAN; signal tmp_9_fu_473_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_3_reg_217 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal exitcond_fu_312_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_1_reg_228 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_1_nbreadreq_fu_129_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_10_reg_239 : STD_LOGIC_VECTOR (0 downto 0); signal contact_index_assign_reg_251 : STD_LOGIC_VECTOR (7 downto 0); signal i_i_reg_262 : STD_LOGIC_VECTOR (6 downto 0); signal comp_reg_273 : STD_LOGIC_VECTOR (0 downto 0); signal i1_reg_285 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_3_fu_324_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_341_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_i_7_fu_391_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_13_i_fu_401_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_s_fu_468_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_11_fu_358_p1 : STD_LOGIC_VECTOR (6 downto 0); signal i_i_cast7_fu_375_p1 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_12_i_fu_396_p2 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_14_i_fu_412_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_fu_424_p4 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_6_fu_456_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i1_cast_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_fu_462_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal ap_block_state11 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (14 downto 0); component contact_discoverybkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (12 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discoverycud IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (5 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discovery_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; operation : OUT STD_LOGIC_VECTOR (31 downto 0); operation_ap_vld : OUT STD_LOGIC; matched_finished : IN STD_LOGIC_VECTOR (31 downto 0); error_out : IN STD_LOGIC_VECTOR (31 downto 0); contacts_size_out : IN STD_LOGIC_VECTOR (31 downto 0) ); end component; begin contacts_U : component contact_discoverybkb generic map ( DataWidth => 8, AddressRange => 8192, AddressWidth => 13) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => contacts_address0, ce0 => contacts_ce0, we0 => contacts_we0, d0 => contacts_d0, q0 => contacts_q0); current_database_ite_U : component contact_discoverycud generic map ( DataWidth => 8, AddressRange => 64, AddressWidth => 6) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => current_database_ite_address0, ce0 => current_database_ite_ce0, we0 => current_database_ite_we0, d0 => database_in_V_TDATA, q0 => current_database_ite_q0); contact_discovery_AXILiteS_s_axi_U : component contact_discovery_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, operation => operation, operation_ap_vld => operation_ap_vld, matched_finished => matched_finished_1_data_reg, error_out => error_out_1_data_reg, contacts_size_out => contacts_size_out_1_data_reg); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; matched_out_V_1_sel_rd_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_rd <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_ack_out) and (ap_const_logic_1 = matched_out_V_1_vld_out))) then matched_out_V_1_sel_rd <= not(matched_out_V_1_sel_rd); end if; end if; end if; end process; matched_out_V_1_sel_wr_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_wr <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_in))) then matched_out_V_1_sel_wr <= not(matched_out_V_1_sel_wr); end if; end if; end if; end process; matched_out_V_1_state_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_state <= ap_const_lv2_0; else if ((((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)))) then matched_out_V_1_state <= ap_const_lv2_2; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)))) then matched_out_V_1_state <= ap_const_lv2_1; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)) or ((ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)) or ((matched_out_V_1_state = ap_const_lv2_3) and not(((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out))) and not(((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out)))))) then matched_out_V_1_state <= ap_const_lv2_3; else matched_out_V_1_state <= ap_const_lv2_2; end if; end if; end if; end process; operation_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_ap_vld_preg <= ap_const_logic_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_ap_vld_preg <= operation_ap_vld; elsif (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then operation_ap_vld_preg <= ap_const_logic_0; end if; end if; end if; end process; operation_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_preg <= operation; end if; end if; end if; end process; comp_reg_273_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then comp_reg_273 <= found_1_fu_418_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then comp_reg_273 <= ap_const_lv1_1; end if; end if; end process; contact_index_assign_reg_251_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then contact_index_assign_reg_251 <= ap_const_lv8_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then contact_index_assign_reg_251 <= i_5_reg_512; end if; end if; end process; contacts_size_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size <= tmp_9_fu_473_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size <= ap_const_lv32_0; end if; end if; end process; contacts_size_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; error_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; i1_reg_285_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then i1_reg_285 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then i1_reg_285 <= i_4_fu_450_p2; end if; end if; end process; i_1_reg_228_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then i_1_reg_228 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then i_1_reg_228 <= i_2_fu_335_p2; end if; end if; end process; i_3_reg_217_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2))) then i_3_reg_217 <= i_fu_318_p2; elsif (((grp_read_fu_98_p2 = ap_const_lv32_2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then i_3_reg_217 <= ap_const_lv8_0; end if; end if; end process; i_i_reg_262_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then i_i_reg_262 <= i_6_reg_530; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then i_i_reg_262 <= ap_const_lv7_0; end if; end if; end process; matched_finished_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; tmp_10_reg_239_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then tmp_10_reg_239 <= ap_const_lv1_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then tmp_10_reg_239 <= found_fu_406_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_0 = contacts_size_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_data_reg <= contacts_size_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_0 = error_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_data_reg <= error_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0))) then i_5_reg_512 <= i_5_fu_352_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then i_6_reg_530 <= i_6_fu_385_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_0 = matched_finished_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_data_reg <= matched_finished_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_A)) then matched_out_V_1_payload_A <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_B)) then matched_out_V_1_payload_B <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then tmp_i_reg_517(12 downto 6) <= tmp_i_fu_362_p3(12 downto 6); end if; end if; end process; tmp_i_reg_517(5 downto 0) <= "000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, operation_ap_vld_in_sig, contacts_in_V_TVALID, database_in_V_TVALID, matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state2, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10, grp_read_fu_98_p2, ap_CS_fsm_state4, ap_block_state7_io, ap_CS_fsm_state8, exitcond_i_fu_379_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3, ap_CS_fsm_state3, exitcond_fu_312_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3, ap_CS_fsm_state11) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state2; end if; when ap_ST_fsm_state3 => if ((not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_lv32_0 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state12; elsif (((ap_const_lv32_1 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = exitcond_fu_312_p2))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then ap_NS_fsm <= ap_ST_fsm_state8; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then ap_NS_fsm <= ap_ST_fsm_state10; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state8 => if (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state9; end if; when ap_ST_fsm_state9 => ap_NS_fsm <= ap_ST_fsm_state8; when ap_ST_fsm_state10 => if (((ap_const_logic_1 = ap_CS_fsm_state10) and (matched_out_V_1_ack_in = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state11 => if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state12 => if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_0 = tmp_nbreadreq_fu_151_p3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then ap_NS_fsm <= ap_ST_fsm_state13; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when ap_ST_fsm_state13 => if (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state13; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state13; end if; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state15 => if (((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state13 <= ap_CS_fsm(12); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_block_state11_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in) begin ap_block_state11 <= ((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in)); end process; ap_block_state13_assign_proc : process(contacts_in_V_TVALID, exitcond9_fu_444_p2) begin ap_block_state13 <= ((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)); end process; ap_block_state6_assign_proc : process(database_in_V_TVALID, exitcond8_fu_329_p2) begin ap_block_state6 <= ((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)); end process; ap_block_state7_io_assign_proc : process(matched_out_V_1_ack_in, exitcond7_fu_346_p2) begin ap_block_state7_io <= ((ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_const_logic_0 = matched_out_V_1_ack_in)); end process; ap_done_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; cast_fu_370_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_10_reg_239),8)); contacts_address0_assign_proc : process(ap_CS_fsm_state13, ap_CS_fsm_state4, ap_CS_fsm_state8, tmp_3_fu_324_p1, tmp_13_i_fu_401_p1, tmp_s_fu_468_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_address0 <= tmp_s_fu_468_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state8)) then contacts_address0 <= tmp_13_i_fu_401_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_address0 <= tmp_3_fu_324_p1(13 - 1 downto 0); else contacts_address0 <= "XXXXXXXXXXXXX"; end if; end process; contacts_ce0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, ap_CS_fsm_state8) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or (ap_const_logic_1 = ap_CS_fsm_state8) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_ce0 <= ap_const_logic_1; else contacts_ce0 <= ap_const_logic_0; end if; end process; contacts_d0_assign_proc : process(contacts_in_V_TDATA, ap_CS_fsm_state13, ap_CS_fsm_state4) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_d0 <= contacts_in_V_TDATA; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_d0 <= ap_const_lv8_0; else contacts_d0 <= "XXXXXXXX"; end if; end process; contacts_in_V_TDATA_blk_n_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2)))) then contacts_in_V_TDATA_blk_n <= contacts_in_V_TVALID; else contacts_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; contacts_in_V_TREADY_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))) or ((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1)))) then contacts_in_V_TREADY <= ap_const_logic_1; else contacts_in_V_TREADY <= ap_const_logic_0; end if; end process; contacts_size_out_1_ack_in_assign_proc : process(contacts_size_out_1_vld_reg) begin if (((ap_const_logic_0 = contacts_size_out_1_vld_reg) or ((ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_ack_in <= ap_const_logic_1; else contacts_size_out_1_ack_in <= ap_const_logic_0; end if; end process; contacts_size_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, contacts_size, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, tmp_9_fu_473_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size_out_1_data_in <= tmp_9_fu_473_p2; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))))) then contacts_size_out_1_data_in <= contacts_size; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size_out_1_data_in <= ap_const_lv32_0; else contacts_size_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; contacts_size_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then contacts_size_out_1_vld_in <= ap_const_logic_1; else contacts_size_out_1_vld_in <= ap_const_logic_0; end if; end process; contacts_we0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, exitcond_fu_312_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_we0 <= ap_const_logic_1; else contacts_we0 <= ap_const_logic_0; end if; end process; current_database_ite_address0_assign_proc : process(ap_CS_fsm_state6, ap_CS_fsm_state8, tmp_7_fu_341_p1, tmp_i_7_fu_391_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then current_database_ite_address0 <= tmp_i_7_fu_391_p1(6 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state6)) then current_database_ite_address0 <= tmp_7_fu_341_p1(6 - 1 downto 0); else current_database_ite_address0 <= "XXXXXX"; end if; end process; current_database_ite_ce0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state8) begin if ((((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)))) or (ap_const_logic_1 = ap_CS_fsm_state8))) then current_database_ite_ce0 <= ap_const_logic_1; else current_database_ite_ce0 <= ap_const_logic_0; end if; end process; current_database_ite_we0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then current_database_ite_we0 <= ap_const_logic_1; else current_database_ite_we0 <= ap_const_logic_0; end if; end process; database_in_V_TDATA_blk_n_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2))) then database_in_V_TDATA_blk_n <= database_in_V_TVALID; else database_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; database_in_V_TREADY_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then database_in_V_TREADY <= ap_const_logic_1; else database_in_V_TREADY <= ap_const_logic_0; end if; end process; error_out_1_ack_in_assign_proc : process(error_out_1_vld_reg) begin if (((ap_const_logic_0 = error_out_1_vld_reg) or ((ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_ack_in <= ap_const_logic_1; else error_out_1_ack_in <= ap_const_logic_0; end if; end process; error_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2))) then error_out_1_data_in <= ap_const_lv32_1; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_3; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_0; else error_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; error_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2)))) then error_out_1_vld_in <= ap_const_logic_1; else error_out_1_vld_in <= ap_const_logic_0; end if; end process; exitcond7_fu_346_p2 <= "1" when (contact_index_assign_reg_251 = ap_const_lv8_80) else "0"; exitcond8_fu_329_p2 <= "1" when (i_1_reg_228 = ap_const_lv7_40) else "0"; exitcond9_fu_444_p2 <= "1" when (i1_reg_285 = ap_const_lv7_40) else "0"; exitcond_fu_312_p2 <= "1" when (i_3_reg_217 = ap_const_lv8_80) else "0"; exitcond_i_fu_379_p2 <= "1" when (i_i_reg_262 = ap_const_lv7_40) else "0"; found_1_fu_418_p2 <= (tmp_14_i_fu_412_p2 and comp_reg_273); found_fu_406_p2 <= (comp_reg_273 or tmp_10_reg_239); grp_read_fu_98_p2 <= operation_preg; i1_cast_fu_440_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i1_reg_285),32)); i_2_fu_335_p2 <= std_logic_vector(unsigned(i_1_reg_228) + unsigned(ap_const_lv7_1)); i_4_fu_450_p2 <= std_logic_vector(unsigned(i1_reg_285) + unsigned(ap_const_lv7_1)); i_5_fu_352_p2 <= std_logic_vector(unsigned(contact_index_assign_reg_251) + unsigned(ap_const_lv8_1)); i_6_fu_385_p2 <= std_logic_vector(unsigned(i_i_reg_262) + unsigned(ap_const_lv7_1)); i_fu_318_p2 <= std_logic_vector(unsigned(i_3_reg_217) + unsigned(ap_const_lv8_1)); i_i_cast7_fu_375_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),13)); icmp_fu_434_p2 <= "1" when (signed(tmp_2_fu_424_p4) > signed(ap_const_lv25_0)) else "0"; matched_finished_1_ack_in_assign_proc : process(matched_finished_1_vld_reg) begin if (((ap_const_logic_0 = matched_finished_1_vld_reg) or ((ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_ack_in <= ap_const_logic_1; else matched_finished_1_ack_in <= ap_const_logic_0; end if; end process; matched_finished_1_data_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3))) then matched_finished_1_data_in <= ap_const_lv32_1; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then matched_finished_1_data_in <= ap_const_lv32_0; else matched_finished_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; matched_finished_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3)))) then matched_finished_1_vld_in <= ap_const_logic_1; else matched_finished_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_ack_in <= matched_out_V_1_state(1); matched_out_V_1_ack_out <= matched_out_V_TREADY; matched_out_V_1_data_out_assign_proc : process(matched_out_V_1_payload_A, matched_out_V_1_payload_B, matched_out_V_1_sel) begin if ((ap_const_logic_1 = matched_out_V_1_sel)) then matched_out_V_1_data_out <= matched_out_V_1_payload_B; else matched_out_V_1_data_out <= matched_out_V_1_payload_A; end if; end process; matched_out_V_1_load_A <= (matched_out_V_1_state_cmp_full and not(matched_out_V_1_sel_wr)); matched_out_V_1_load_B <= (matched_out_V_1_sel_wr and matched_out_V_1_state_cmp_full); matched_out_V_1_sel <= matched_out_V_1_sel_rd; matched_out_V_1_state_cmp_full <= '0' when (matched_out_V_1_state = ap_const_lv2_1) else '1'; matched_out_V_1_vld_in_assign_proc : process(ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_block_state7_io) begin if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then matched_out_V_1_vld_in <= ap_const_logic_1; else matched_out_V_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_vld_out <= matched_out_V_1_state(0); matched_out_V_TDATA <= matched_out_V_1_data_out; matched_out_V_TDATA_blk_n_assign_proc : process(matched_out_V_1_state, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10) begin if ((((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2)) or (ap_const_logic_1 = ap_CS_fsm_state10))) then matched_out_V_TDATA_blk_n <= matched_out_V_1_state(1); else matched_out_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; matched_out_V_TVALID <= matched_out_V_1_state(0); operation_ap_vld_in_sig <= operation_ap_vld_preg; operation_blk_n_assign_proc : process(ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then operation_blk_n <= ap_const_logic_0; else operation_blk_n <= ap_const_logic_1; end if; end process; tmp_11_fu_358_p1 <= contact_index_assign_reg_251(7 - 1 downto 0); tmp_12_i_fu_396_p2 <= std_logic_vector(unsigned(i_i_cast7_fu_375_p1) + unsigned(tmp_i_reg_517)); tmp_13_i_fu_401_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_12_i_fu_396_p2),64)); tmp_14_i_fu_412_p2 <= "1" when (current_database_ite_q0 = contacts_q0) else "0"; tmp_1_nbreadreq_fu_129_p3 <= (0=>database_in_V_TVALID, others=>'-'); tmp_2_fu_424_p4 <= contacts_size(31 downto 7); tmp_3_fu_324_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_3_reg_217),64)); tmp_6_fu_456_p2 <= std_logic_vector(shift_left(unsigned(contacts_size),to_integer(unsigned('0' & ap_const_lv32_6(31-1 downto 0))))); tmp_7_fu_341_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_228),64)); tmp_8_fu_462_p2 <= std_logic_vector(unsigned(tmp_6_fu_456_p2) + unsigned(i1_cast_fu_440_p1)); tmp_9_fu_473_p2 <= std_logic_vector(unsigned(contacts_size) + unsigned(ap_const_lv32_1)); tmp_i_7_fu_391_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),64)); tmp_i_fu_362_p3 <= (tmp_11_fu_358_p1 & ap_const_lv6_0); tmp_nbreadreq_fu_151_p3 <= (0=>contacts_in_V_TVALID, others=>'-'); tmp_s_fu_468_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_462_p2),64)); end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity contact_discovery is generic ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; contacts_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); contacts_in_V_TVALID : IN STD_LOGIC; contacts_in_V_TREADY : OUT STD_LOGIC; database_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); database_in_V_TVALID : IN STD_LOGIC; database_in_V_TREADY : OUT STD_LOGIC; matched_out_V_TDATA : OUT STD_LOGIC_VECTOR (7 downto 0); matched_out_V_TVALID : OUT STD_LOGIC; matched_out_V_TREADY : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of contact_discovery is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "contact_discovery,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.932500,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=4,HLS_SYN_DSP=0,HLS_SYN_FF=461,HLS_SYN_LUT=838}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (14 downto 0) := "000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (14 downto 0) := "000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (14 downto 0) := "000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (14 downto 0) := "000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (14 downto 0) := "000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (14 downto 0) := "000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (14 downto 0) := "000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (14 downto 0) := "001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (14 downto 0) := "010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (14 downto 0) := "100000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv7_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv8_80 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_const_lv7_40 : STD_LOGIC_VECTOR (6 downto 0) := "1000000"; constant ap_const_lv7_1 : STD_LOGIC_VECTOR (6 downto 0) := "0000001"; constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv25_0 : STD_LOGIC_VECTOR (24 downto 0) := "0000000000000000000000000"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal operation : STD_LOGIC_VECTOR (31 downto 0); signal operation_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal operation_ap_vld : STD_LOGIC; signal operation_ap_vld_preg : STD_LOGIC := '0'; signal operation_ap_vld_in_sig : STD_LOGIC; signal matched_out_V_1_data_out : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_vld_in : STD_LOGIC; signal matched_out_V_1_vld_out : STD_LOGIC; signal matched_out_V_1_ack_in : STD_LOGIC; signal matched_out_V_1_ack_out : STD_LOGIC; signal matched_out_V_1_payload_A : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_payload_B : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_sel_rd : STD_LOGIC := '0'; signal matched_out_V_1_sel_wr : STD_LOGIC := '0'; signal matched_out_V_1_sel : STD_LOGIC; signal matched_out_V_1_load_A : STD_LOGIC; signal matched_out_V_1_load_B : STD_LOGIC; signal matched_out_V_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00"; signal matched_out_V_1_state_cmp_full : STD_LOGIC; signal matched_finished_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal matched_finished_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal matched_finished_1_vld_reg : STD_LOGIC := '0'; signal matched_finished_1_vld_in : STD_LOGIC; signal matched_finished_1_ack_in : STD_LOGIC; signal error_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal error_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_vld_reg : STD_LOGIC := '0'; signal error_out_1_vld_in : STD_LOGIC; signal error_out_1_ack_in : STD_LOGIC; signal contacts_size_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_size_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_out_1_vld_reg : STD_LOGIC := '0'; signal contacts_size_out_1_vld_in : STD_LOGIC; signal contacts_size_out_1_ack_in : STD_LOGIC; signal contacts_size : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_address0 : STD_LOGIC_VECTOR (12 downto 0); signal contacts_ce0 : STD_LOGIC; signal contacts_we0 : STD_LOGIC; signal contacts_d0 : STD_LOGIC_VECTOR (7 downto 0); signal contacts_q0 : STD_LOGIC_VECTOR (7 downto 0); signal current_database_ite_address0 : STD_LOGIC_VECTOR (5 downto 0); signal current_database_ite_ce0 : STD_LOGIC; signal current_database_ite_we0 : STD_LOGIC; signal current_database_ite_q0 : STD_LOGIC_VECTOR (7 downto 0); signal operation_blk_n : STD_LOGIC; signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal contacts_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal ap_CS_fsm_state13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none"; signal exitcond9_fu_444_p2 : STD_LOGIC_VECTOR (0 downto 0); signal database_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal exitcond8_fu_329_p2 : STD_LOGIC_VECTOR (0 downto 0); signal matched_out_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal exitcond7_fu_346_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal grp_read_fu_98_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_fu_318_p2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal i_2_fu_335_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state6 : BOOLEAN; signal i_5_fu_352_p2 : STD_LOGIC_VECTOR (7 downto 0); signal i_5_reg_512 : STD_LOGIC_VECTOR (7 downto 0); signal ap_block_state7_io : BOOLEAN; signal tmp_i_fu_362_p3 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_i_reg_517 : STD_LOGIC_VECTOR (12 downto 0); signal cast_fu_370_p1 : STD_LOGIC_VECTOR (7 downto 0); signal i_6_fu_385_p2 : STD_LOGIC_VECTOR (6 downto 0); signal i_6_reg_530 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal exitcond_i_fu_379_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_fu_406_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_1_fu_418_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal icmp_fu_434_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_nbreadreq_fu_151_p3 : STD_LOGIC_VECTOR (0 downto 0); signal i_4_fu_450_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state13 : BOOLEAN; signal tmp_9_fu_473_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_3_reg_217 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal exitcond_fu_312_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_1_reg_228 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_1_nbreadreq_fu_129_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_10_reg_239 : STD_LOGIC_VECTOR (0 downto 0); signal contact_index_assign_reg_251 : STD_LOGIC_VECTOR (7 downto 0); signal i_i_reg_262 : STD_LOGIC_VECTOR (6 downto 0); signal comp_reg_273 : STD_LOGIC_VECTOR (0 downto 0); signal i1_reg_285 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_3_fu_324_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_341_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_i_7_fu_391_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_13_i_fu_401_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_s_fu_468_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_11_fu_358_p1 : STD_LOGIC_VECTOR (6 downto 0); signal i_i_cast7_fu_375_p1 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_12_i_fu_396_p2 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_14_i_fu_412_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_fu_424_p4 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_6_fu_456_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i1_cast_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_fu_462_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal ap_block_state11 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (14 downto 0); component contact_discoverybkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (12 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discoverycud IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (5 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discovery_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; operation : OUT STD_LOGIC_VECTOR (31 downto 0); operation_ap_vld : OUT STD_LOGIC; matched_finished : IN STD_LOGIC_VECTOR (31 downto 0); error_out : IN STD_LOGIC_VECTOR (31 downto 0); contacts_size_out : IN STD_LOGIC_VECTOR (31 downto 0) ); end component; begin contacts_U : component contact_discoverybkb generic map ( DataWidth => 8, AddressRange => 8192, AddressWidth => 13) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => contacts_address0, ce0 => contacts_ce0, we0 => contacts_we0, d0 => contacts_d0, q0 => contacts_q0); current_database_ite_U : component contact_discoverycud generic map ( DataWidth => 8, AddressRange => 64, AddressWidth => 6) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => current_database_ite_address0, ce0 => current_database_ite_ce0, we0 => current_database_ite_we0, d0 => database_in_V_TDATA, q0 => current_database_ite_q0); contact_discovery_AXILiteS_s_axi_U : component contact_discovery_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, operation => operation, operation_ap_vld => operation_ap_vld, matched_finished => matched_finished_1_data_reg, error_out => error_out_1_data_reg, contacts_size_out => contacts_size_out_1_data_reg); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; matched_out_V_1_sel_rd_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_rd <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_ack_out) and (ap_const_logic_1 = matched_out_V_1_vld_out))) then matched_out_V_1_sel_rd <= not(matched_out_V_1_sel_rd); end if; end if; end if; end process; matched_out_V_1_sel_wr_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_wr <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_in))) then matched_out_V_1_sel_wr <= not(matched_out_V_1_sel_wr); end if; end if; end if; end process; matched_out_V_1_state_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_state <= ap_const_lv2_0; else if ((((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)))) then matched_out_V_1_state <= ap_const_lv2_2; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)))) then matched_out_V_1_state <= ap_const_lv2_1; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)) or ((ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)) or ((matched_out_V_1_state = ap_const_lv2_3) and not(((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out))) and not(((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out)))))) then matched_out_V_1_state <= ap_const_lv2_3; else matched_out_V_1_state <= ap_const_lv2_2; end if; end if; end if; end process; operation_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_ap_vld_preg <= ap_const_logic_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_ap_vld_preg <= operation_ap_vld; elsif (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then operation_ap_vld_preg <= ap_const_logic_0; end if; end if; end if; end process; operation_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_preg <= operation; end if; end if; end if; end process; comp_reg_273_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then comp_reg_273 <= found_1_fu_418_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then comp_reg_273 <= ap_const_lv1_1; end if; end if; end process; contact_index_assign_reg_251_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then contact_index_assign_reg_251 <= ap_const_lv8_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then contact_index_assign_reg_251 <= i_5_reg_512; end if; end if; end process; contacts_size_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size <= tmp_9_fu_473_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size <= ap_const_lv32_0; end if; end if; end process; contacts_size_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; error_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; i1_reg_285_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then i1_reg_285 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then i1_reg_285 <= i_4_fu_450_p2; end if; end if; end process; i_1_reg_228_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then i_1_reg_228 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then i_1_reg_228 <= i_2_fu_335_p2; end if; end if; end process; i_3_reg_217_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2))) then i_3_reg_217 <= i_fu_318_p2; elsif (((grp_read_fu_98_p2 = ap_const_lv32_2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then i_3_reg_217 <= ap_const_lv8_0; end if; end if; end process; i_i_reg_262_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then i_i_reg_262 <= i_6_reg_530; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then i_i_reg_262 <= ap_const_lv7_0; end if; end if; end process; matched_finished_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; tmp_10_reg_239_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then tmp_10_reg_239 <= ap_const_lv1_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then tmp_10_reg_239 <= found_fu_406_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_0 = contacts_size_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_data_reg <= contacts_size_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_0 = error_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_data_reg <= error_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0))) then i_5_reg_512 <= i_5_fu_352_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then i_6_reg_530 <= i_6_fu_385_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_0 = matched_finished_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_data_reg <= matched_finished_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_A)) then matched_out_V_1_payload_A <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_B)) then matched_out_V_1_payload_B <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then tmp_i_reg_517(12 downto 6) <= tmp_i_fu_362_p3(12 downto 6); end if; end if; end process; tmp_i_reg_517(5 downto 0) <= "000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, operation_ap_vld_in_sig, contacts_in_V_TVALID, database_in_V_TVALID, matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state2, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10, grp_read_fu_98_p2, ap_CS_fsm_state4, ap_block_state7_io, ap_CS_fsm_state8, exitcond_i_fu_379_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3, ap_CS_fsm_state3, exitcond_fu_312_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3, ap_CS_fsm_state11) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state2; end if; when ap_ST_fsm_state3 => if ((not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_lv32_0 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state12; elsif (((ap_const_lv32_1 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = exitcond_fu_312_p2))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then ap_NS_fsm <= ap_ST_fsm_state8; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then ap_NS_fsm <= ap_ST_fsm_state10; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state8 => if (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state9; end if; when ap_ST_fsm_state9 => ap_NS_fsm <= ap_ST_fsm_state8; when ap_ST_fsm_state10 => if (((ap_const_logic_1 = ap_CS_fsm_state10) and (matched_out_V_1_ack_in = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state11 => if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state12 => if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_0 = tmp_nbreadreq_fu_151_p3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then ap_NS_fsm <= ap_ST_fsm_state13; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when ap_ST_fsm_state13 => if (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state13; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state13; end if; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state15 => if (((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state13 <= ap_CS_fsm(12); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_block_state11_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in) begin ap_block_state11 <= ((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in)); end process; ap_block_state13_assign_proc : process(contacts_in_V_TVALID, exitcond9_fu_444_p2) begin ap_block_state13 <= ((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)); end process; ap_block_state6_assign_proc : process(database_in_V_TVALID, exitcond8_fu_329_p2) begin ap_block_state6 <= ((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)); end process; ap_block_state7_io_assign_proc : process(matched_out_V_1_ack_in, exitcond7_fu_346_p2) begin ap_block_state7_io <= ((ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_const_logic_0 = matched_out_V_1_ack_in)); end process; ap_done_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; cast_fu_370_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_10_reg_239),8)); contacts_address0_assign_proc : process(ap_CS_fsm_state13, ap_CS_fsm_state4, ap_CS_fsm_state8, tmp_3_fu_324_p1, tmp_13_i_fu_401_p1, tmp_s_fu_468_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_address0 <= tmp_s_fu_468_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state8)) then contacts_address0 <= tmp_13_i_fu_401_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_address0 <= tmp_3_fu_324_p1(13 - 1 downto 0); else contacts_address0 <= "XXXXXXXXXXXXX"; end if; end process; contacts_ce0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, ap_CS_fsm_state8) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or (ap_const_logic_1 = ap_CS_fsm_state8) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_ce0 <= ap_const_logic_1; else contacts_ce0 <= ap_const_logic_0; end if; end process; contacts_d0_assign_proc : process(contacts_in_V_TDATA, ap_CS_fsm_state13, ap_CS_fsm_state4) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_d0 <= contacts_in_V_TDATA; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_d0 <= ap_const_lv8_0; else contacts_d0 <= "XXXXXXXX"; end if; end process; contacts_in_V_TDATA_blk_n_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2)))) then contacts_in_V_TDATA_blk_n <= contacts_in_V_TVALID; else contacts_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; contacts_in_V_TREADY_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))) or ((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1)))) then contacts_in_V_TREADY <= ap_const_logic_1; else contacts_in_V_TREADY <= ap_const_logic_0; end if; end process; contacts_size_out_1_ack_in_assign_proc : process(contacts_size_out_1_vld_reg) begin if (((ap_const_logic_0 = contacts_size_out_1_vld_reg) or ((ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_ack_in <= ap_const_logic_1; else contacts_size_out_1_ack_in <= ap_const_logic_0; end if; end process; contacts_size_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, contacts_size, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, tmp_9_fu_473_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size_out_1_data_in <= tmp_9_fu_473_p2; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))))) then contacts_size_out_1_data_in <= contacts_size; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size_out_1_data_in <= ap_const_lv32_0; else contacts_size_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; contacts_size_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then contacts_size_out_1_vld_in <= ap_const_logic_1; else contacts_size_out_1_vld_in <= ap_const_logic_0; end if; end process; contacts_we0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, exitcond_fu_312_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_we0 <= ap_const_logic_1; else contacts_we0 <= ap_const_logic_0; end if; end process; current_database_ite_address0_assign_proc : process(ap_CS_fsm_state6, ap_CS_fsm_state8, tmp_7_fu_341_p1, tmp_i_7_fu_391_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then current_database_ite_address0 <= tmp_i_7_fu_391_p1(6 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state6)) then current_database_ite_address0 <= tmp_7_fu_341_p1(6 - 1 downto 0); else current_database_ite_address0 <= "XXXXXX"; end if; end process; current_database_ite_ce0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state8) begin if ((((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)))) or (ap_const_logic_1 = ap_CS_fsm_state8))) then current_database_ite_ce0 <= ap_const_logic_1; else current_database_ite_ce0 <= ap_const_logic_0; end if; end process; current_database_ite_we0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then current_database_ite_we0 <= ap_const_logic_1; else current_database_ite_we0 <= ap_const_logic_0; end if; end process; database_in_V_TDATA_blk_n_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2))) then database_in_V_TDATA_blk_n <= database_in_V_TVALID; else database_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; database_in_V_TREADY_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then database_in_V_TREADY <= ap_const_logic_1; else database_in_V_TREADY <= ap_const_logic_0; end if; end process; error_out_1_ack_in_assign_proc : process(error_out_1_vld_reg) begin if (((ap_const_logic_0 = error_out_1_vld_reg) or ((ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_ack_in <= ap_const_logic_1; else error_out_1_ack_in <= ap_const_logic_0; end if; end process; error_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2))) then error_out_1_data_in <= ap_const_lv32_1; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_3; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_0; else error_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; error_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2)))) then error_out_1_vld_in <= ap_const_logic_1; else error_out_1_vld_in <= ap_const_logic_0; end if; end process; exitcond7_fu_346_p2 <= "1" when (contact_index_assign_reg_251 = ap_const_lv8_80) else "0"; exitcond8_fu_329_p2 <= "1" when (i_1_reg_228 = ap_const_lv7_40) else "0"; exitcond9_fu_444_p2 <= "1" when (i1_reg_285 = ap_const_lv7_40) else "0"; exitcond_fu_312_p2 <= "1" when (i_3_reg_217 = ap_const_lv8_80) else "0"; exitcond_i_fu_379_p2 <= "1" when (i_i_reg_262 = ap_const_lv7_40) else "0"; found_1_fu_418_p2 <= (tmp_14_i_fu_412_p2 and comp_reg_273); found_fu_406_p2 <= (comp_reg_273 or tmp_10_reg_239); grp_read_fu_98_p2 <= operation_preg; i1_cast_fu_440_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i1_reg_285),32)); i_2_fu_335_p2 <= std_logic_vector(unsigned(i_1_reg_228) + unsigned(ap_const_lv7_1)); i_4_fu_450_p2 <= std_logic_vector(unsigned(i1_reg_285) + unsigned(ap_const_lv7_1)); i_5_fu_352_p2 <= std_logic_vector(unsigned(contact_index_assign_reg_251) + unsigned(ap_const_lv8_1)); i_6_fu_385_p2 <= std_logic_vector(unsigned(i_i_reg_262) + unsigned(ap_const_lv7_1)); i_fu_318_p2 <= std_logic_vector(unsigned(i_3_reg_217) + unsigned(ap_const_lv8_1)); i_i_cast7_fu_375_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),13)); icmp_fu_434_p2 <= "1" when (signed(tmp_2_fu_424_p4) > signed(ap_const_lv25_0)) else "0"; matched_finished_1_ack_in_assign_proc : process(matched_finished_1_vld_reg) begin if (((ap_const_logic_0 = matched_finished_1_vld_reg) or ((ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_ack_in <= ap_const_logic_1; else matched_finished_1_ack_in <= ap_const_logic_0; end if; end process; matched_finished_1_data_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3))) then matched_finished_1_data_in <= ap_const_lv32_1; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then matched_finished_1_data_in <= ap_const_lv32_0; else matched_finished_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; matched_finished_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3)))) then matched_finished_1_vld_in <= ap_const_logic_1; else matched_finished_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_ack_in <= matched_out_V_1_state(1); matched_out_V_1_ack_out <= matched_out_V_TREADY; matched_out_V_1_data_out_assign_proc : process(matched_out_V_1_payload_A, matched_out_V_1_payload_B, matched_out_V_1_sel) begin if ((ap_const_logic_1 = matched_out_V_1_sel)) then matched_out_V_1_data_out <= matched_out_V_1_payload_B; else matched_out_V_1_data_out <= matched_out_V_1_payload_A; end if; end process; matched_out_V_1_load_A <= (matched_out_V_1_state_cmp_full and not(matched_out_V_1_sel_wr)); matched_out_V_1_load_B <= (matched_out_V_1_sel_wr and matched_out_V_1_state_cmp_full); matched_out_V_1_sel <= matched_out_V_1_sel_rd; matched_out_V_1_state_cmp_full <= '0' when (matched_out_V_1_state = ap_const_lv2_1) else '1'; matched_out_V_1_vld_in_assign_proc : process(ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_block_state7_io) begin if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then matched_out_V_1_vld_in <= ap_const_logic_1; else matched_out_V_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_vld_out <= matched_out_V_1_state(0); matched_out_V_TDATA <= matched_out_V_1_data_out; matched_out_V_TDATA_blk_n_assign_proc : process(matched_out_V_1_state, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10) begin if ((((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2)) or (ap_const_logic_1 = ap_CS_fsm_state10))) then matched_out_V_TDATA_blk_n <= matched_out_V_1_state(1); else matched_out_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; matched_out_V_TVALID <= matched_out_V_1_state(0); operation_ap_vld_in_sig <= operation_ap_vld_preg; operation_blk_n_assign_proc : process(ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then operation_blk_n <= ap_const_logic_0; else operation_blk_n <= ap_const_logic_1; end if; end process; tmp_11_fu_358_p1 <= contact_index_assign_reg_251(7 - 1 downto 0); tmp_12_i_fu_396_p2 <= std_logic_vector(unsigned(i_i_cast7_fu_375_p1) + unsigned(tmp_i_reg_517)); tmp_13_i_fu_401_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_12_i_fu_396_p2),64)); tmp_14_i_fu_412_p2 <= "1" when (current_database_ite_q0 = contacts_q0) else "0"; tmp_1_nbreadreq_fu_129_p3 <= (0=>database_in_V_TVALID, others=>'-'); tmp_2_fu_424_p4 <= contacts_size(31 downto 7); tmp_3_fu_324_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_3_reg_217),64)); tmp_6_fu_456_p2 <= std_logic_vector(shift_left(unsigned(contacts_size),to_integer(unsigned('0' & ap_const_lv32_6(31-1 downto 0))))); tmp_7_fu_341_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_228),64)); tmp_8_fu_462_p2 <= std_logic_vector(unsigned(tmp_6_fu_456_p2) + unsigned(i1_cast_fu_440_p1)); tmp_9_fu_473_p2 <= std_logic_vector(unsigned(contacts_size) + unsigned(ap_const_lv32_1)); tmp_i_7_fu_391_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),64)); tmp_i_fu_362_p3 <= (tmp_11_fu_358_p1 & ap_const_lv6_0); tmp_nbreadreq_fu_151_p3 <= (0=>contacts_in_V_TVALID, others=>'-'); tmp_s_fu_468_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_462_p2),64)); end behav;
LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_signed.all; -- Entity Declaration ENTITY pid_controller IS PORT ( CLK : IN STD_LOGIC; en : IN STD_LOGIC; init : IN STD_LOGIC; error : IN STD_LOGIC_VECTOR(15 downto 0); init_val : IN STD_LOGIC_VECTOR(15 downto 0); kp : IN STD_LOGIC_VECTOR(15 downto 0); ki : IN STD_LOGIC_VECTOR(15 downto 0); output : OUT std_logic_vector(15 downto 0) ); END pid_controller; ARCHITECTURE arch OF pid_controller IS signal integrall : std_logic_vector(15 downto 0); BEGIN process (clk, init, init_val) variable kperror : integer range -2147483647 to 2147483647; variable kierror : integer range -2147483647 to 2147483647; begin if (init = '1') then integrall <= init_val; output <= init_val; else if (clk'event and clk = '1') then if (en = '1') then kperror := conv_integer(kp) * conv_integer(error); kierror := conv_integer(ki) * conv_integer(error); if (conv_integer(integrall) < 65000) then -- 65000 integrall <= integrall + conv_std_logic_vector(kierror, 32)(31 downto 16); end if; output <= conv_std_logic_vector(kperror, 32)(31 downto 16) + integrall; end if; end if; end if; end process; end architecture;
library verilog; use verilog.vl_types.all; entity test is port( clk : in vl_logic; sda : in vl_logic; \out\ : out vl_logic ); end test;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity keyboard is port( CLK : in std_logic; RESET : in std_logic; PS2_CLK : in std_logic; PS2_DATA : in std_logic; KEYB_ADDR : in std_logic_vector(7 downto 0); KEYB_DATA : out std_logic_vector(4 downto 0); RESET_TICK : out std_logic; NMI_TICK : out std_logic ); end keyboard; architecture rtl of keyboard is signal CODE : std_logic_vector(7 downto 0); -- Scancode recieved from keyboard signal DONE : std_logic; -- Current scancode valid signal ERROR : std_logic; -- Current scancode corrupted signal LOOKUP : std_logic_vector(7 downto 0); -- bits 7-5 - A8..A15, bits 4-0 - D4..D0 signal RELEASED_KEY : std_logic; signal EXTENDED_KEY : std_logic; type MATRIX_IMAGE is array (natural range <>) of std_logic_vector(4 downto 0); signal MATRIX : MATRIX_IMAGE(0 to 7); -- Speccy keyboard matrix begin u_PS2 : entity work.ps2 port map( CLK => CLK, RESET => RESET, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, CODE => CODE, DONE => DONE, ERROR => ERROR ); decoder : process (CODE) begin case CODE is when X"12" => LOOKUP <= "00000001"; -- left/caps shift when X"1a" => LOOKUP <= "00000010"; -- z when X"22" => LOOKUP <= "00000100"; -- x when X"21" => LOOKUP <= "00001000"; -- c when X"2a" => LOOKUP <= "00010000"; -- v when X"1c" => LOOKUP <= "00100001"; -- a when X"1b" => LOOKUP <= "00100010"; -- s when X"23" => LOOKUP <= "00100100"; -- d when X"2b" => LOOKUP <= "00101000"; -- f when X"34" => LOOKUP <= "00110000"; -- g when X"15" => LOOKUP <= "01000001"; -- q when X"1d" => LOOKUP <= "01000010"; -- w when X"24" => LOOKUP <= "01000100"; -- e when X"2d" => LOOKUP <= "01001000"; -- r when X"2c" => LOOKUP <= "01010000"; -- t when X"16" => LOOKUP <= "01100001"; -- 1 when X"69" => LOOKUP <= "01100001"; -- 1 when X"1e" => LOOKUP <= "01100010"; -- 2 when X"72" => LOOKUP <= "01100010"; -- 2 when X"26" => LOOKUP <= "01100100"; -- 3 when X"7a" => LOOKUP <= "01100100"; -- 3 when X"25" => LOOKUP <= "01101000"; -- 4 when X"6b" => LOOKUP <= "01101000"; -- 4 when X"2e" => LOOKUP <= "01110000"; -- 5 when X"73" => LOOKUP <= "01110000"; -- 5 when X"45" => LOOKUP <= "10000001"; -- 0 when X"70" => LOOKUP <= "10000001"; -- 0 when X"46" => LOOKUP <= "10000010"; -- 9 when X"7d" => LOOKUP <= "10000010"; -- 9 when X"3e" => LOOKUP <= "10000100"; -- 8 when X"75" => LOOKUP <= "10000100"; -- 8 when X"3d" => LOOKUP <= "10001000"; -- 7 when X"6c" => LOOKUP <= "10001000"; -- 7 when X"36" => LOOKUP <= "10010000"; -- 6 when X"74" => LOOKUP <= "10010000"; -- 6 when X"4d" => LOOKUP <= "10100001"; -- p when X"44" => LOOKUP <= "10100010"; -- o when X"43" => LOOKUP <= "10100100"; -- i when X"3c" => LOOKUP <= "10101000"; -- u when X"35" => LOOKUP <= "10110000"; -- y when X"5a" => LOOKUP <= "11000001"; -- return when X"4b" => LOOKUP <= "11000010"; -- l when X"42" => LOOKUP <= "11000100"; -- k when X"3b" => LOOKUP <= "11001000"; -- j when X"33" => LOOKUP <= "11010000"; -- h when X"29" => LOOKUP <= "11100001"; -- Space when X"59" => LOOKUP <= "11100010"; -- right/symbol shift when X"3a" => LOOKUP <= "11100100"; -- m when X"31" => LOOKUP <= "11101000"; -- n when X"32" => LOOKUP <= "11110000"; -- b when others => LOOKUP <= "00000000"; end case; end process; main : process(CLK) begin if rising_edge(CLK) then if RESET = '1' then MATRIX <= (others => (others => '0')); RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; RESET_TICK <= '0'; NMI_TICK <= '0'; else RESET_TICK <= '0'; NMI_TICK <= '0'; if ERROR = '1' then MATRIX <= (others => (others => '0')); RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; elsif DONE = '1' then if CODE = X"F0" then RELEASED_KEY <= '1'; elsif CODE = X"E0" then EXTENDED_KEY <= '1'; elsif CODE = X"07" and RELEASED_KEY = '1' then RESET_TICK <= '1'; elsif CODE = X"78" and RELEASED_KEY = '1' then NMI_TICK <= '1'; else RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; -- if LOOKUP /= "00000000" then if RELEASED_KEY = '0' then MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) <= MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) or std_logic_vector(unsigned(LOOKUP(4 downto 0))); else MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) <= MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) and std_logic_vector(not unsigned(LOOKUP(4 downto 0))); end if; -- end if; end if; end if; end if; end if; end process; keyboard_output : for i in 0 to 4 generate KEYB_DATA(i) <= not ((MATRIX(0)(i) and not KEYB_ADDR(0)) or (MATRIX(1)(i) and not KEYB_ADDR(1)) or (MATRIX(2)(i) and not KEYB_ADDR(2)) or (MATRIX(3)(i) and not KEYB_ADDR(3)) or (MATRIX(4)(i) and not KEYB_ADDR(4)) or (MATRIX(5)(i) and not KEYB_ADDR(5)) or (MATRIX(6)(i) and not KEYB_ADDR(6)) or (MATRIX(7)(i) and not KEYB_ADDR(7)) ); end generate; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h3ETKHrWsjGzbmg6oxtLMnsg+K9TbGp0Krk5IN/TS/2TR91aTsK2/JChB9wa8eZP3QJSzF41UxHt a5JeGMIAvQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A5MxF3L77y8SaBIyqLGkTeS7GetOFp2T5HtKSKasI1NO891BBoUa74YQAHxLPoYXCiRHCOeLl82I CPufCEwkFvm0Eo4xuZHqEg2O67DflEipUlH05uNxjzz3q+N/sE9YtML3mtcDV/0W/VqbZGXLu22B 5Nv1a6D998lFw5QKGXM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q3cfsppP5jEvD1ylOoHHJfHkwd0nPmyaDJAPg7IPVfacGSp2wcAfsMeTfofpXwd7E5FpWiRhTudn Z0aZnoHGv9kWzuUc93XQr9rIsvApcl8o9PIYaN/A8XxziuPSTnENyr8tDX0UlUCH2p/vFFbXAcMx i1ruX7xkfgsSGhBHqjV++o4cZFjECkyfOk6tBSiUX5zif5AP+IyfmV0msqP+XxULsDD72oVYIVi7 bsC2ULaRvkPPDWhUSFY5TmrcnRFZlaLZOLBvuD07XtkUvyX64lWZH0QHVh1Ot66PU9Qejlb1c71x oUHPlYzlwalqCS+mgb8WUx5RG4hXbNbJZHzllg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rWxkGub7ndtUEUp0ta3P/fKpo2q/VhbA7yeLIbfbGlcJGWp1+OSN2zfYr0mT1hU9eKToiWNwndFv 5yXBwmp+XWp1Kbt6EpNW6Nm1cp5tmm2llMpwhQ6Vg1Dsmo01JSXVMlvqnEclkVC6Bb+upuGKtFvh ObXhN+6bFwIjOBcWLZU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bztcNs1EYgKMz4dpOC/ZcP0e2Ol44psVkkRQa+i/v0I3DrFeUQPfzECXnCYb1TPqJHfyZsZ21RA4 yxp3F1YJy/ZEiCi7G2Hq4LmU3Vi7jketdhchFbhQp0oz0loyE+2uSGcC5j/vk5qzaI3UnUDZx9aV eSA4TpsJJoC2yPFvXMkOraSFAaDLgnV4H85D2yg8lHfwxtYMzijdokSQ+uqPOYoLvxUs/6G1GtFA 3lr3C9hQczT/+XweZgCcarFAOYf6ot75iRG+aVrhjJd88OIZPbyvrnLd/Cbd2Tf0x9g4BAQeFnon C0IQ/W0uxAI+L8m/fnGiXxWm85Smo8l5IdJuog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6752) `protect data_block /n2K3olwDR5kSgMgwpIpTp/4FNwVybwKwczCvuVSrY4VTK+HZ8muWju/cupgFYjJQgKKlsVV4kBd 7lzAXHrHdpld83BDMYgFMjw7tmdxnhRRmLNhXJp/N87Fw+TZZc/OQYDW9oAzoe+3FDSQ62321tbo Qdsj9ByO1i4bY/KmVYa5tPm/TQWDMVgshjQe2LamRMyyQba0yo8+idH8oTKRkZR65TUK7jVHYeMH 7xP+TUtcje7DuGI9jCWkwErrk4S8aw71f92zsO9wbZ7D/LIZdaQeBed6Jp/OYDOAqyIWCqrJ4YtA uBSrQowZl1AaXmqKVQGZ2ng43yiwiq90zaiir6RqN2cqSNCn9eDVesQaTNKm6LQrMad5/qHzQYi3 vbIOgnKuDVD2qbPuMFRoZCBSMiS/Ju40dvZXmw9m1pHz3CQMrGdLaBmLgX/OanMy/Qr81jTQ4Yzj ebyOrFHNKy1Uv8V4t8ioQ0oALItIaIH9URVoh4v9uFKxkappARkrkHvfpmt3srUv0yR9+4mEsC7w niiSd86hq5FpcHA5pls1XllFXwwBHP8FgciSdR2JXb42yJtDrLsAMbzkooxcovROQUpw7GAbVQRx q3lAFrQzLT7zRoCuGrmyPlSUqbLzC6pGBCSV1qFLlOaaKAoZLNC1+3L3ovmUm4Od2akOr01Tiis5 JMaQ9/gVUKuWC9MxheoZmQnVfgRbTqSofUDV0kZl8CLDDa0S/TYNF0bWlIsJvWr33CcnQ2E2UYRE zzPWMIRtSmunelBbpCWjfp7AiIANNEC810616wyEI15SwryvnjTO7PrPjcxt+HgG/iXbWPzLSNVa tBXPYe5LplMjKIzBxC1vVL0FvVNWUwiaWjLyyD25K4jQHNYhA2nkXzcfJ5eyGpfKxk7GxKfR6RFu G6txSBjz11mKgRcIHSxRR6AV+sgCB5ZS4m8bwTK8UNkrucqocj3BEG1Ry0+8OB2n5Z+IlO6AFUGN YchDglUb9nWv49DIjLKP5i5zo1fRMsKSjLAan2CxfjvA/pMqTJI+1MRPD4nOodQkiucaLtnyNEx+ 6U74RH0HePBciGTzJtxkzrNgF9vPsWjM6ZqAk15yWNyaqgZ3yj0bOdHWRRJLZtYVNs7P3BuIXMsZ 4A9wAQZn58JS/4gONVR/NK6Ukrh8cJYSsCToDSueDIMvjDFw+TxSaueKDPMrOa/8eEc/Y7iZvbBQ mZNv7/Ve1s5PGAlikUbXx/N5JD5mLJnuekZOGQ/pLaM3Ag6xKpOWpM/rMa/XNTfwfmq+gfzbLrIr ayHH3z3JQtFFBCueTxxk32Bc8Y9c0qcmMl01lFJrn6d4ICRTd46wmWum8HUBBzkVyp22jjp3y6J5 DFBBxcoAaIucIMoNE+w5Ebi8Zd99t5Ovmy+uiqbnGcfssYd2Z9lRx+IOXxOtZ/Ms/QvNz9Vo0jGL unnMJUViRqUimZIPC4gHF+Xl2oF44TrvdGQFI59eYIxQ4L4DH+6k5hjcu7UIoIA1H8z7lZaECC9a Zg+pomVRfvrMSsj+yBFppZ3N6qNWRdMLczSOwiVil67bMn/IWcWhPgkcW5hDt0aVUaJHcudb6SrC nbAlMWs4MEQMhHhcTFYrC5k/faUfY7279XV1vkFwrCzMCp5GykMoTHS3ZtrO6G0TYh3fA0lRly9y MOWZ1fqdUMt5j7f7MKBbN6hM8GZDbatZ2e3yrw1c0Gbi+2tOGMS+EC4/EEqcHPaLrxZI1diFipqB yZcIyi9Rfd8KvqQXRXyExXv9ufQu+QBqIQ+FbFEz0SYCQpcQ5EVZ5kcIzqrkaZ5vVBEzZCVLCp3U QCoMng26HHUEKZzAEAkWGVoA7SpHZylXTDs34sjRE/LOdjrv+9ndk7OFZ6gWTGH/j9ooyb3we5PU 0mK4pe9SprraLGwBLJ25x1hMRyPJ4InxhCqGhljCTYmkedo8qbkGUmM/wlGJe2ub21NVeGQrE+q+ MFvDmuBCsReYfD+A9GffLiuPT/2iyrxETPx76gDWOuWhmCr58WMSSRe6Vy7ybJ/XFeWMgG9q7Qkp PB742rVN5RjFD+96iXAj5WfAr6NHnF4gsj0KpkC97aBbwjqyhCxFO/nQwl1vAj8zWMQeC11vVZ/y Hsqas4fKlgpTbFOJJ/6LAIt20qI4cXy1EUQ7nlllnRcHO1q0csmPwlYEgvnnEXtcQfkcd1ihlGod xixdFTmMo+j6EcpnsR//hFilKNbscPk7Y5p90RvevyHOCzFWi/BLq18ByDqUhQEEaVv4D6Cd21Hi 34uHt1z4+rcvQWJUKfm/MWOGsnAgUTj+AKjMD7d1VUWxz4G7JOFsWs/1eSsepqYmqn5qbwl9zuEL fjMRiXCyZqZw8oKUu8lSiRYRp+2LCrPOChAvf91b/BHjFjJI1Q9BQfkSxL/30bkkQGIxzCmNuq4w dO4hu9+PsBq6nV7laqqoevGzYQ7NFTRjPeGpptN8IH+Kh4NYvzf47wg9ycofSi4qmdG7s2HDveMr hU2gxRTdU4xZjwA0DK3r+QzFW9PY6epXfntBt5e2ZEcZh2GCSMh6xWoGMPZU9RGh70UKcI+naDNG e2yjYHUA8JltSJYZcijxgOiaLz5dmrqgW/uwIjHtL6AgI2bUvwHKUS0YWlXbILbzovUGXCCexbR6 WFpHRJmxvsBTEJY/RjYaeIPFOk2bPwMvz5NAjxr0QV68NorxwOCJGauJpnfsQg5fTlVRiNjcDjxh lFat3BvldU3AJSIaSfBlgwdsBNiobeg7x7OGoTn8I2Jc4e7jOaivyel2Ff4BKtGwc1QD6jfhGl+u 9i87018SIx7sU4DtdIki6DBAntMLpkBuFiZzLGtoTZp9I/AeKKL64yvZBHJHYv1I9+aabLjFwp4A Uq3jTYmFn2eu77/7RdDodsDz85+/dmTpu3WmH7QRJ5WhL2Iw+Em7LVtqmeZN25atOQpwA2lJJGvo zNeOo6NL2RkUwnOiiGEXM6xZ4k0XPQ5EqPrCj9HZeNb+ifMZoRdINLTLFJtmhGzIcOFUtUJIe4dR EXsanXwPLsC8bl+XJWG/IClZaVsRxX0VJdrqzb8UJosfUbsNCiN8NA5VRvn8BJ9NiuSI/EpwdMIS nzz9aICUUJ52XSFKBQ+a6u4A20DMcd5VNzoVcdfZbcweECXf/+hEWPkPxV3KPMalITXiQbNwPFDg 4rTBG0EI+0b4Chs8+xPagFETaB+iJwMhqMXL/DCa2zB6AgW8pVkzObsFKjLbgjF39o8SQxUXMsQ2 HZfPFlX3QEGuF/9R8ja28bgh7NXZjbndIlDygw+u6bzKrjokZCFDmRqlrzKPHaUJ2JJ1AXwODgZY cd3R6Jpkif46JIgKfO9Up/zX1l34rDoonEthq8Saghq0TkgEPoZNm+OSRZjaA09P8lUU9HzMMJp0 /U0Sf5oJEbOtKQNiU6jDTzglZPO5Kc8w7sN1F2GdTawLyaEkJuFR00vuS3334mja8Y+/P3OESefe zrpevMy7VH0ZBW4hPdCulxsoFd94StTJybQhA+6SpgKdG4u3WV1XunWR4xprAsx3tAG24NILdPb8 TJqEoUSSAEF70ZBoK9vFlf2/aPeQxjjt5zKv2uz1COHTYONQpH4hSUk5XcfI9tvgDHt72lFJABj9 87lkWrweit6i0xHN3D0FP8Q1jsKkUUt+SgRDINxO8/jhe/J6CKzym/GPzZqPu+x8sGr1xacXjm+z DtSiztSiGWOlESx94CIJI/0LI9QxL+JZlbZWB/RvLnq9rqE05gAERCGQ7wh/tiDL/GhDTl/nu3RI CRSxWDcqWXzHD/J+EEhYh3gJ+mo8g1hNlTwFuPx87gbzKisTZA5jthVBwLI56YkewC1VDBohWt9W hed79b29opr1VFAKE73OibhCaPc2YKZP44JxRcKA2Rpt/viGMas37dKd6QSaOiYsYyHxQ2aMEw8F sZy01TlbhPKxTtPYVMq3ct1GfujJlLAsqIjZlkSbYbvmFCxudMKarwecaCf9sE1LnVjRmnhCw9BY Uw2axRaTVZsl33Kr0Qt8IvkIkhecYeW7pNINWRl3TrtysFMiY6P0QBZFoxcSbBHR2svhrrbZARzN k9Kv/GTul23OCcV4mUbFCUuzcIVGhXvi8CoF+PzHMzhJSsp7l8L1f7eKWsE260G3Vp8kfQrUsYW3 43gslO7iDXddFsRNKke9JxsN+k12+REJKiRBEM9PiEB+1VeOBGrM62nS9As8LkOLmkuKlB1eCs8p 1DsYHFM5YPwg2mPfVFhVp3Bo7scbj99YZICl4O/v/iT8jzAFLHLYjdj0SNqwJiivX8bOufIGunHc mRz3UVFTP7Fcy1cSxPfzJJd6R2a3Ns7eOVA9+kFgzW+RQC3O1ukz7JnAWMj/RqSK9TyAggU5uK3m d2vnuGhJGa/r+PZsq5rbQ4ZfuI3ioPN/TM/aywZJjrbIBpEWY3kyOj7eHBC/2vOVlypOJa7T1et2 gG8nmzLTZ9d9T8inAkEqZ+AQaFyS+WRTVzm82+hWGTYrPXxfQSh7gSl284mGOwBso93ON+aYDAZc siISYZWkKZbt6X+zD8vlzpxnaxZNz4f4h4IVgdYVA2ObDttBtzpXx1QuGrmVEsd5IPrbkl7IDj8m sNM5POAErfE6w9LmZetFQkAvv8kHYXW1j0GLa+cs9SzFropsKxFHsd621VlkfwWaA30iIU9n4S2X /97Qizk4mAE+Ys5crxKijbo3qgcqegx+ppBJXV6Af7uuV4GIvN3tZOswdxqiiVwslXgEFpPa8rID kpryhf0UhGUxaejAvrYFKmqSSqHZDTFp6l5GrPAYzkKpm3cvTxEz6xA3Dz/oT0kZIMh+SlFDFYVb HBcI+D4vt8hxp8lMh33DaSBBMoHcYSsOhPu9ey3cbqw2AaO5GiPWLScnUgerCIzGRIb9pkxrGFfP 8Fx2Qq9xdMLNFOdlfsl8urIAesa7g0wGAPQxIQXl6HFVaf0tgrL9808F1AncMYKzUlR0K1akziZy ZlEXEph3LZNsCBEZXFnuwCF8YxolFl6Qkb8Lv4GQIefZSOoeHMNM9+JeK4ulRQNFtfPZTeydKft8 P5M994TSrgljvcHvNmmllqdLEorJNOF+Egu4BSrUo7t/kcz+RVW2cp9U8anvss/lrHgyeI84f1W/ b6aQEnc7wthkvho8uhli9kuHQssYdhqf5aZiajXVjEa+GzXBEX5NF8QXw9uAwz/yqwerP9/RxJWY S1kMGeLX0STQB0w6BKRoYcZyAaTzspEEeYOl/D6n0noGDDlRYyTdqslsNEyFCdwdiMRpf4gKleG1 lj2UE5XherDhkoTdm9Orjkn3UzjGH4T406h7M5O1ru4n5em6vYxspykdr9pokFOuC/fYRcnyBatd a8OQFwMsnFAYHLHFbdhoeUS/lXD4fpHA8Vp37vN34SH2LzVj4yzz/1eoyCSC5pI16wpY+Cd4vO5t RafbWfKJSpuTENZFZBASB0LfGRXupCRrvOeCvBgHGsVH1mMPBQXx3kXBo0oCnMD4KIWsuvYkOkXv 3sEUBK0XzwLr6LYKKoEYl/A27YT7mlPYvYnZkQSihNEFzPxA3X9fG2Tzp3EG7G4r1IVuHLsDa6GK 883wDG4FDr8nWUWpU0Ye/4sOauRtDl+fNA26QMVilrRm58QaQMJD+5hQaJkXSKsZf0pCcmzuP2py 13O6IaKQttQ6HBtThDRfp3Q89OgyVTwlW8VfbBTOO2AGsaRL388XAlz51JfIIYGFQTldZ8CC/KA6 w+wp8bQ6Xe7AjNzaEDdtx7VwP4+FCnSfj4Apzo5V5+hsxjeJDbmYp7sEYlIUOsqPstpTFpoedzyN WlykDe4gRK0wbKYYk3OOVjZEeJL+ShN3yzNfL8T4ESF40bm3s/ElE7PDtqr6LVXrDL4V7M0i9Ett bmLAk6yDs0xtxctpthy/UZ/S2gcHJW6XpJiA+pM6GAqpV2B/JQlup0ChHsCOAF8+stClRmYc2HF1 x6InSBm6LKvQ2Nqc9SCaLuGqDADHFN8Uzs94av+tBBuVqxQyoG4sk1u5Bm0HZmi3lh4z8/GHnr0x ZmmPBvzFh44pY7FA0K4P7PIXY9tuKhGlSK6TRanTrz6r3A7mz5b+o99KKMHaCPxcIZqrVLIqim3V mUYo+CeaOygp3UxYtTJgrsXmeNtGd2oOefGd3R+ucTqF0UzunB2c3+4GO/GohMRgR91hha5X6rCm 4ssMzJLXG8NFZp4LlM3W+lqaVhOH45GuWKyBunaqet7f0RRV3lP5kP3BxblZCZ73a6pCYp1I5G3A Xr4n3fzoWObJUWVr7MdVTJFlUW974TdtIYE3nVZdu8vGnZVHGiJ/q6qWcZjYq2ZkP3pEWUMcQx9S KffTMqLvuN4z/5fImYUthqrEcTh6lGYLFsTXeZRQAF5cGv8eJZpXcw5wzjpiqYSBZacoObj8u1QF bUl5LBrJvlrjFpdnctPJkwW7azVhN7OAkR9OeWWSHmBcH0C96HxNSTprDxf3CnorEw3cvdpA5H83 xQayFcCrMW48fi+grPnUhYy88SrFMNY1czmXAvgN6Q79LgEAQ+UDyRGuHpbeyjWaOJTtaM593DKi Nip+ks31YktSQMduG4r3OyLPR0iiGlng0SklWPR6TxHEq7nZyHUKUYpscbjlHVVdJAd1Xv1pKVOS 3R4d0CODHMGQEzk0mk4sMNqGAdlhZys+NwfXsuETCjRO2nlMR7nF2XkxuAiStLz6aEPcQTGLtbmK WFIZ3IArqJWpv3jBmz4jmPKRCrDflz+FeFhpNRHF7c0aGsgeZ+baWFOV86G0KCMmKXUU/lJEQP0P mz/kNZekbwsUlX5UQCxVSJRljxfgJ5ExkLtXx8ekWXa5Rso+9O2rT6Af9Kls8bbElhQM9O793Kh0 ++ZM2RFi5IedXPT8gFMZBHLY3OCijqqDGYrtBhaRU00j+cQi2za26PFpsF/bZ8XCOnT76QarJTio WSXbgct0Frjv7zDWXkljIJNZyXMrN0Aec1u5luGWFzc3j9kG4+OGYvZGhQeV2Q0UBPZZs3o5EK+y xdhCeGmS9n0NJ9ZMQjf1QPFiReNR0XN8LQBMEiJMSEGv3XSQaE+8I3fgwfGBkWBor8qOmTzfkbqJ 9Sual9CWDo4yFsBZWVsCUvS0Rdy8v+K04Uns7R13NBkLX223hv7fl9UZ6zF8f7OZED1PplcpB4db x2C8KdnjL69d8Y8npKcjo8e/S5nJuYMDl/lZknEinQnOA9f7mroQ7ly3+iO3cWzJzS1clBMsPcKU 6BfvMyxqvkRTuQuNPXEgfuiZSPYAE2hNHPZVr3Wg47374Ahe0ttWUgnNbuUxetBMQD5MgJ4mXbwL qqFCFUhaVp5NX73BImaLgX46YLcMr8OyAxzgmeIyaM3DmE2fu3wOg4/Q2E4vzG9ndZJpFruyzEc9 NN6uVVf1RtfKrMLiSz9olRqUKav79wBa5gF6/Sh+dZI2ZKg1Mjz2VcivvufpXYnxsJFNXHg8ePv5 hHM4ejzywIKlt7A3nloNAcFwSbTQtzI0xJtNt1Kg3KvC32pz/BaTaEdiB8/GND8c+BQUudhXNEQB rUrpeuYaKNWLcQMGEBs4CcmlznK+4hBrlcs55teAPpQGTlsIAgPgNGxD0K8WVN0W8a3JrH2L+kDJ LOJ7VM9vw1b8NeIBlSWj43kk12ULezAba8s1L9vAOaYhOnn/jcKxOC3RCO/JDnwKoD7sdeeqYhj6 71pCtJQKEgYFVoy8vQ5akDj3KQdFblB3vQcE6msKu8+CE8KG9Y94nYfnrCv9kVDzubo+O84C8nAl g6N0N11n/xORqQrW08rZ/GlQ9xddYhB64/LyZ4MOtFoW6myhLQ0R9Q2cl5SIsxKk9Qlz3yRTIvCG 3fY/sgx61COImxalq0mRz9pAUJABVHG1099eVsElGQ8AXh7EcuEd3g7rqdy70D/JlmEyxze5oAMH +DznT16dxHdoXzynCj4F6KLVj8qipBD01O/tAPLeo27Bxci9IM5uSgzLqFDqGHudMcLh+Mx/ga2p EAYrhOkMW8DYtByvnRY6w+3AJoXYSJetSx1TRYQk0iUtM6dXhtSJXZ2JhsgXh00Ek0eh6sIgOClH fffub/UIrFs9rZMzFMgrSNn7qYAuR+4BoQvfwFAXChXG8BWxi0PL9Iq/DikA/mORfVq6w5yOkXQS Pa2pE2ukLL06l/OQZ0OI/F9nQJcUFOzDDfhRHlieFASPI14WYUQ6x4jqt6hEkS8aZEjIcGH/ZVht T1//KeeuKKBsYOa1pgpWxtx8ViiBDC6uboy5PaKgyuyrCeRG2hHN1zg60cchivZQ8IyqVDJazPad 891k/WJCQALUUE+yhWJzk8appdNjMAzgAa6PGz2WC354yUBLZuiYKPbt+nEZyt44SXK9e3Khy9zl 33v3JJB3l8TlbD5BTaS1PoO+kHUOStCHg7DE+M2/ffdNfm2ONuGMkM+Qe7N5cZn8jELlQpyjM2cY ljalnhj+Y7M6l3uAqySFxvVX96we8GB9pf5Jm6xcFPQY8HGSOVuKPOplBR+p3fvhIqjV49fXgoin MFg6g1EdDs79xVaJxZn8R7s6/Bq1pZozagxj2awIalXgKJwk/pHCIY20sRrJcod+/P4TKTkqMPAC +CfHNgF1RnDJJqgUxJ07y8d8qqyPEeKUq2LIyxcrIEHTCq+n1CU90EGxFtPDbca8t+hc181emurV /bJ07IFz/DcYD7lnpEBYsfZfqrNos71WVLg/cXNCv9tW3NcddsLCLNr8y32FsXvTx/WBGycYcRQO R3K9LZJFjKYw2knLXzWwkydzxWBtSI1W0VySCRxPKY4WJ9eJO3QYUzyJHx0Nt8yVg6OJVvjutsh0 ks0dFREbMXgV1nY0VxzVdoLr7U5CanrJ0aEslQLfFzkzbe9brMXSpvj++qoTRDOyACLdEllVYR4d RoauPbeq/Yc+nOtt8hHMAHV2pQHsKO9bVXQ= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h3ETKHrWsjGzbmg6oxtLMnsg+K9TbGp0Krk5IN/TS/2TR91aTsK2/JChB9wa8eZP3QJSzF41UxHt a5JeGMIAvQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A5MxF3L77y8SaBIyqLGkTeS7GetOFp2T5HtKSKasI1NO891BBoUa74YQAHxLPoYXCiRHCOeLl82I CPufCEwkFvm0Eo4xuZHqEg2O67DflEipUlH05uNxjzz3q+N/sE9YtML3mtcDV/0W/VqbZGXLu22B 5Nv1a6D998lFw5QKGXM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q3cfsppP5jEvD1ylOoHHJfHkwd0nPmyaDJAPg7IPVfacGSp2wcAfsMeTfofpXwd7E5FpWiRhTudn Z0aZnoHGv9kWzuUc93XQr9rIsvApcl8o9PIYaN/A8XxziuPSTnENyr8tDX0UlUCH2p/vFFbXAcMx i1ruX7xkfgsSGhBHqjV++o4cZFjECkyfOk6tBSiUX5zif5AP+IyfmV0msqP+XxULsDD72oVYIVi7 bsC2ULaRvkPPDWhUSFY5TmrcnRFZlaLZOLBvuD07XtkUvyX64lWZH0QHVh1Ot66PU9Qejlb1c71x oUHPlYzlwalqCS+mgb8WUx5RG4hXbNbJZHzllg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rWxkGub7ndtUEUp0ta3P/fKpo2q/VhbA7yeLIbfbGlcJGWp1+OSN2zfYr0mT1hU9eKToiWNwndFv 5yXBwmp+XWp1Kbt6EpNW6Nm1cp5tmm2llMpwhQ6Vg1Dsmo01JSXVMlvqnEclkVC6Bb+upuGKtFvh ObXhN+6bFwIjOBcWLZU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bztcNs1EYgKMz4dpOC/ZcP0e2Ol44psVkkRQa+i/v0I3DrFeUQPfzECXnCYb1TPqJHfyZsZ21RA4 yxp3F1YJy/ZEiCi7G2Hq4LmU3Vi7jketdhchFbhQp0oz0loyE+2uSGcC5j/vk5qzaI3UnUDZx9aV eSA4TpsJJoC2yPFvXMkOraSFAaDLgnV4H85D2yg8lHfwxtYMzijdokSQ+uqPOYoLvxUs/6G1GtFA 3lr3C9hQczT/+XweZgCcarFAOYf6ot75iRG+aVrhjJd88OIZPbyvrnLd/Cbd2Tf0x9g4BAQeFnon C0IQ/W0uxAI+L8m/fnGiXxWm85Smo8l5IdJuog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6752) `protect data_block /n2K3olwDR5kSgMgwpIpTp/4FNwVybwKwczCvuVSrY4VTK+HZ8muWju/cupgFYjJQgKKlsVV4kBd 7lzAXHrHdpld83BDMYgFMjw7tmdxnhRRmLNhXJp/N87Fw+TZZc/OQYDW9oAzoe+3FDSQ62321tbo Qdsj9ByO1i4bY/KmVYa5tPm/TQWDMVgshjQe2LamRMyyQba0yo8+idH8oTKRkZR65TUK7jVHYeMH 7xP+TUtcje7DuGI9jCWkwErrk4S8aw71f92zsO9wbZ7D/LIZdaQeBed6Jp/OYDOAqyIWCqrJ4YtA uBSrQowZl1AaXmqKVQGZ2ng43yiwiq90zaiir6RqN2cqSNCn9eDVesQaTNKm6LQrMad5/qHzQYi3 vbIOgnKuDVD2qbPuMFRoZCBSMiS/Ju40dvZXmw9m1pHz3CQMrGdLaBmLgX/OanMy/Qr81jTQ4Yzj ebyOrFHNKy1Uv8V4t8ioQ0oALItIaIH9URVoh4v9uFKxkappARkrkHvfpmt3srUv0yR9+4mEsC7w niiSd86hq5FpcHA5pls1XllFXwwBHP8FgciSdR2JXb42yJtDrLsAMbzkooxcovROQUpw7GAbVQRx q3lAFrQzLT7zRoCuGrmyPlSUqbLzC6pGBCSV1qFLlOaaKAoZLNC1+3L3ovmUm4Od2akOr01Tiis5 JMaQ9/gVUKuWC9MxheoZmQnVfgRbTqSofUDV0kZl8CLDDa0S/TYNF0bWlIsJvWr33CcnQ2E2UYRE zzPWMIRtSmunelBbpCWjfp7AiIANNEC810616wyEI15SwryvnjTO7PrPjcxt+HgG/iXbWPzLSNVa tBXPYe5LplMjKIzBxC1vVL0FvVNWUwiaWjLyyD25K4jQHNYhA2nkXzcfJ5eyGpfKxk7GxKfR6RFu G6txSBjz11mKgRcIHSxRR6AV+sgCB5ZS4m8bwTK8UNkrucqocj3BEG1Ry0+8OB2n5Z+IlO6AFUGN YchDglUb9nWv49DIjLKP5i5zo1fRMsKSjLAan2CxfjvA/pMqTJI+1MRPD4nOodQkiucaLtnyNEx+ 6U74RH0HePBciGTzJtxkzrNgF9vPsWjM6ZqAk15yWNyaqgZ3yj0bOdHWRRJLZtYVNs7P3BuIXMsZ 4A9wAQZn58JS/4gONVR/NK6Ukrh8cJYSsCToDSueDIMvjDFw+TxSaueKDPMrOa/8eEc/Y7iZvbBQ mZNv7/Ve1s5PGAlikUbXx/N5JD5mLJnuekZOGQ/pLaM3Ag6xKpOWpM/rMa/XNTfwfmq+gfzbLrIr ayHH3z3JQtFFBCueTxxk32Bc8Y9c0qcmMl01lFJrn6d4ICRTd46wmWum8HUBBzkVyp22jjp3y6J5 DFBBxcoAaIucIMoNE+w5Ebi8Zd99t5Ovmy+uiqbnGcfssYd2Z9lRx+IOXxOtZ/Ms/QvNz9Vo0jGL unnMJUViRqUimZIPC4gHF+Xl2oF44TrvdGQFI59eYIxQ4L4DH+6k5hjcu7UIoIA1H8z7lZaECC9a Zg+pomVRfvrMSsj+yBFppZ3N6qNWRdMLczSOwiVil67bMn/IWcWhPgkcW5hDt0aVUaJHcudb6SrC nbAlMWs4MEQMhHhcTFYrC5k/faUfY7279XV1vkFwrCzMCp5GykMoTHS3ZtrO6G0TYh3fA0lRly9y MOWZ1fqdUMt5j7f7MKBbN6hM8GZDbatZ2e3yrw1c0Gbi+2tOGMS+EC4/EEqcHPaLrxZI1diFipqB yZcIyi9Rfd8KvqQXRXyExXv9ufQu+QBqIQ+FbFEz0SYCQpcQ5EVZ5kcIzqrkaZ5vVBEzZCVLCp3U QCoMng26HHUEKZzAEAkWGVoA7SpHZylXTDs34sjRE/LOdjrv+9ndk7OFZ6gWTGH/j9ooyb3we5PU 0mK4pe9SprraLGwBLJ25x1hMRyPJ4InxhCqGhljCTYmkedo8qbkGUmM/wlGJe2ub21NVeGQrE+q+ MFvDmuBCsReYfD+A9GffLiuPT/2iyrxETPx76gDWOuWhmCr58WMSSRe6Vy7ybJ/XFeWMgG9q7Qkp PB742rVN5RjFD+96iXAj5WfAr6NHnF4gsj0KpkC97aBbwjqyhCxFO/nQwl1vAj8zWMQeC11vVZ/y Hsqas4fKlgpTbFOJJ/6LAIt20qI4cXy1EUQ7nlllnRcHO1q0csmPwlYEgvnnEXtcQfkcd1ihlGod xixdFTmMo+j6EcpnsR//hFilKNbscPk7Y5p90RvevyHOCzFWi/BLq18ByDqUhQEEaVv4D6Cd21Hi 34uHt1z4+rcvQWJUKfm/MWOGsnAgUTj+AKjMD7d1VUWxz4G7JOFsWs/1eSsepqYmqn5qbwl9zuEL fjMRiXCyZqZw8oKUu8lSiRYRp+2LCrPOChAvf91b/BHjFjJI1Q9BQfkSxL/30bkkQGIxzCmNuq4w dO4hu9+PsBq6nV7laqqoevGzYQ7NFTRjPeGpptN8IH+Kh4NYvzf47wg9ycofSi4qmdG7s2HDveMr hU2gxRTdU4xZjwA0DK3r+QzFW9PY6epXfntBt5e2ZEcZh2GCSMh6xWoGMPZU9RGh70UKcI+naDNG e2yjYHUA8JltSJYZcijxgOiaLz5dmrqgW/uwIjHtL6AgI2bUvwHKUS0YWlXbILbzovUGXCCexbR6 WFpHRJmxvsBTEJY/RjYaeIPFOk2bPwMvz5NAjxr0QV68NorxwOCJGauJpnfsQg5fTlVRiNjcDjxh lFat3BvldU3AJSIaSfBlgwdsBNiobeg7x7OGoTn8I2Jc4e7jOaivyel2Ff4BKtGwc1QD6jfhGl+u 9i87018SIx7sU4DtdIki6DBAntMLpkBuFiZzLGtoTZp9I/AeKKL64yvZBHJHYv1I9+aabLjFwp4A Uq3jTYmFn2eu77/7RdDodsDz85+/dmTpu3WmH7QRJ5WhL2Iw+Em7LVtqmeZN25atOQpwA2lJJGvo zNeOo6NL2RkUwnOiiGEXM6xZ4k0XPQ5EqPrCj9HZeNb+ifMZoRdINLTLFJtmhGzIcOFUtUJIe4dR EXsanXwPLsC8bl+XJWG/IClZaVsRxX0VJdrqzb8UJosfUbsNCiN8NA5VRvn8BJ9NiuSI/EpwdMIS nzz9aICUUJ52XSFKBQ+a6u4A20DMcd5VNzoVcdfZbcweECXf/+hEWPkPxV3KPMalITXiQbNwPFDg 4rTBG0EI+0b4Chs8+xPagFETaB+iJwMhqMXL/DCa2zB6AgW8pVkzObsFKjLbgjF39o8SQxUXMsQ2 HZfPFlX3QEGuF/9R8ja28bgh7NXZjbndIlDygw+u6bzKrjokZCFDmRqlrzKPHaUJ2JJ1AXwODgZY cd3R6Jpkif46JIgKfO9Up/zX1l34rDoonEthq8Saghq0TkgEPoZNm+OSRZjaA09P8lUU9HzMMJp0 /U0Sf5oJEbOtKQNiU6jDTzglZPO5Kc8w7sN1F2GdTawLyaEkJuFR00vuS3334mja8Y+/P3OESefe zrpevMy7VH0ZBW4hPdCulxsoFd94StTJybQhA+6SpgKdG4u3WV1XunWR4xprAsx3tAG24NILdPb8 TJqEoUSSAEF70ZBoK9vFlf2/aPeQxjjt5zKv2uz1COHTYONQpH4hSUk5XcfI9tvgDHt72lFJABj9 87lkWrweit6i0xHN3D0FP8Q1jsKkUUt+SgRDINxO8/jhe/J6CKzym/GPzZqPu+x8sGr1xacXjm+z DtSiztSiGWOlESx94CIJI/0LI9QxL+JZlbZWB/RvLnq9rqE05gAERCGQ7wh/tiDL/GhDTl/nu3RI CRSxWDcqWXzHD/J+EEhYh3gJ+mo8g1hNlTwFuPx87gbzKisTZA5jthVBwLI56YkewC1VDBohWt9W hed79b29opr1VFAKE73OibhCaPc2YKZP44JxRcKA2Rpt/viGMas37dKd6QSaOiYsYyHxQ2aMEw8F sZy01TlbhPKxTtPYVMq3ct1GfujJlLAsqIjZlkSbYbvmFCxudMKarwecaCf9sE1LnVjRmnhCw9BY Uw2axRaTVZsl33Kr0Qt8IvkIkhecYeW7pNINWRl3TrtysFMiY6P0QBZFoxcSbBHR2svhrrbZARzN k9Kv/GTul23OCcV4mUbFCUuzcIVGhXvi8CoF+PzHMzhJSsp7l8L1f7eKWsE260G3Vp8kfQrUsYW3 43gslO7iDXddFsRNKke9JxsN+k12+REJKiRBEM9PiEB+1VeOBGrM62nS9As8LkOLmkuKlB1eCs8p 1DsYHFM5YPwg2mPfVFhVp3Bo7scbj99YZICl4O/v/iT8jzAFLHLYjdj0SNqwJiivX8bOufIGunHc mRz3UVFTP7Fcy1cSxPfzJJd6R2a3Ns7eOVA9+kFgzW+RQC3O1ukz7JnAWMj/RqSK9TyAggU5uK3m d2vnuGhJGa/r+PZsq5rbQ4ZfuI3ioPN/TM/aywZJjrbIBpEWY3kyOj7eHBC/2vOVlypOJa7T1et2 gG8nmzLTZ9d9T8inAkEqZ+AQaFyS+WRTVzm82+hWGTYrPXxfQSh7gSl284mGOwBso93ON+aYDAZc siISYZWkKZbt6X+zD8vlzpxnaxZNz4f4h4IVgdYVA2ObDttBtzpXx1QuGrmVEsd5IPrbkl7IDj8m sNM5POAErfE6w9LmZetFQkAvv8kHYXW1j0GLa+cs9SzFropsKxFHsd621VlkfwWaA30iIU9n4S2X /97Qizk4mAE+Ys5crxKijbo3qgcqegx+ppBJXV6Af7uuV4GIvN3tZOswdxqiiVwslXgEFpPa8rID kpryhf0UhGUxaejAvrYFKmqSSqHZDTFp6l5GrPAYzkKpm3cvTxEz6xA3Dz/oT0kZIMh+SlFDFYVb HBcI+D4vt8hxp8lMh33DaSBBMoHcYSsOhPu9ey3cbqw2AaO5GiPWLScnUgerCIzGRIb9pkxrGFfP 8Fx2Qq9xdMLNFOdlfsl8urIAesa7g0wGAPQxIQXl6HFVaf0tgrL9808F1AncMYKzUlR0K1akziZy ZlEXEph3LZNsCBEZXFnuwCF8YxolFl6Qkb8Lv4GQIefZSOoeHMNM9+JeK4ulRQNFtfPZTeydKft8 P5M994TSrgljvcHvNmmllqdLEorJNOF+Egu4BSrUo7t/kcz+RVW2cp9U8anvss/lrHgyeI84f1W/ b6aQEnc7wthkvho8uhli9kuHQssYdhqf5aZiajXVjEa+GzXBEX5NF8QXw9uAwz/yqwerP9/RxJWY S1kMGeLX0STQB0w6BKRoYcZyAaTzspEEeYOl/D6n0noGDDlRYyTdqslsNEyFCdwdiMRpf4gKleG1 lj2UE5XherDhkoTdm9Orjkn3UzjGH4T406h7M5O1ru4n5em6vYxspykdr9pokFOuC/fYRcnyBatd a8OQFwMsnFAYHLHFbdhoeUS/lXD4fpHA8Vp37vN34SH2LzVj4yzz/1eoyCSC5pI16wpY+Cd4vO5t RafbWfKJSpuTENZFZBASB0LfGRXupCRrvOeCvBgHGsVH1mMPBQXx3kXBo0oCnMD4KIWsuvYkOkXv 3sEUBK0XzwLr6LYKKoEYl/A27YT7mlPYvYnZkQSihNEFzPxA3X9fG2Tzp3EG7G4r1IVuHLsDa6GK 883wDG4FDr8nWUWpU0Ye/4sOauRtDl+fNA26QMVilrRm58QaQMJD+5hQaJkXSKsZf0pCcmzuP2py 13O6IaKQttQ6HBtThDRfp3Q89OgyVTwlW8VfbBTOO2AGsaRL388XAlz51JfIIYGFQTldZ8CC/KA6 w+wp8bQ6Xe7AjNzaEDdtx7VwP4+FCnSfj4Apzo5V5+hsxjeJDbmYp7sEYlIUOsqPstpTFpoedzyN WlykDe4gRK0wbKYYk3OOVjZEeJL+ShN3yzNfL8T4ESF40bm3s/ElE7PDtqr6LVXrDL4V7M0i9Ett bmLAk6yDs0xtxctpthy/UZ/S2gcHJW6XpJiA+pM6GAqpV2B/JQlup0ChHsCOAF8+stClRmYc2HF1 x6InSBm6LKvQ2Nqc9SCaLuGqDADHFN8Uzs94av+tBBuVqxQyoG4sk1u5Bm0HZmi3lh4z8/GHnr0x ZmmPBvzFh44pY7FA0K4P7PIXY9tuKhGlSK6TRanTrz6r3A7mz5b+o99KKMHaCPxcIZqrVLIqim3V mUYo+CeaOygp3UxYtTJgrsXmeNtGd2oOefGd3R+ucTqF0UzunB2c3+4GO/GohMRgR91hha5X6rCm 4ssMzJLXG8NFZp4LlM3W+lqaVhOH45GuWKyBunaqet7f0RRV3lP5kP3BxblZCZ73a6pCYp1I5G3A Xr4n3fzoWObJUWVr7MdVTJFlUW974TdtIYE3nVZdu8vGnZVHGiJ/q6qWcZjYq2ZkP3pEWUMcQx9S KffTMqLvuN4z/5fImYUthqrEcTh6lGYLFsTXeZRQAF5cGv8eJZpXcw5wzjpiqYSBZacoObj8u1QF bUl5LBrJvlrjFpdnctPJkwW7azVhN7OAkR9OeWWSHmBcH0C96HxNSTprDxf3CnorEw3cvdpA5H83 xQayFcCrMW48fi+grPnUhYy88SrFMNY1czmXAvgN6Q79LgEAQ+UDyRGuHpbeyjWaOJTtaM593DKi Nip+ks31YktSQMduG4r3OyLPR0iiGlng0SklWPR6TxHEq7nZyHUKUYpscbjlHVVdJAd1Xv1pKVOS 3R4d0CODHMGQEzk0mk4sMNqGAdlhZys+NwfXsuETCjRO2nlMR7nF2XkxuAiStLz6aEPcQTGLtbmK WFIZ3IArqJWpv3jBmz4jmPKRCrDflz+FeFhpNRHF7c0aGsgeZ+baWFOV86G0KCMmKXUU/lJEQP0P mz/kNZekbwsUlX5UQCxVSJRljxfgJ5ExkLtXx8ekWXa5Rso+9O2rT6Af9Kls8bbElhQM9O793Kh0 ++ZM2RFi5IedXPT8gFMZBHLY3OCijqqDGYrtBhaRU00j+cQi2za26PFpsF/bZ8XCOnT76QarJTio WSXbgct0Frjv7zDWXkljIJNZyXMrN0Aec1u5luGWFzc3j9kG4+OGYvZGhQeV2Q0UBPZZs3o5EK+y xdhCeGmS9n0NJ9ZMQjf1QPFiReNR0XN8LQBMEiJMSEGv3XSQaE+8I3fgwfGBkWBor8qOmTzfkbqJ 9Sual9CWDo4yFsBZWVsCUvS0Rdy8v+K04Uns7R13NBkLX223hv7fl9UZ6zF8f7OZED1PplcpB4db x2C8KdnjL69d8Y8npKcjo8e/S5nJuYMDl/lZknEinQnOA9f7mroQ7ly3+iO3cWzJzS1clBMsPcKU 6BfvMyxqvkRTuQuNPXEgfuiZSPYAE2hNHPZVr3Wg47374Ahe0ttWUgnNbuUxetBMQD5MgJ4mXbwL qqFCFUhaVp5NX73BImaLgX46YLcMr8OyAxzgmeIyaM3DmE2fu3wOg4/Q2E4vzG9ndZJpFruyzEc9 NN6uVVf1RtfKrMLiSz9olRqUKav79wBa5gF6/Sh+dZI2ZKg1Mjz2VcivvufpXYnxsJFNXHg8ePv5 hHM4ejzywIKlt7A3nloNAcFwSbTQtzI0xJtNt1Kg3KvC32pz/BaTaEdiB8/GND8c+BQUudhXNEQB rUrpeuYaKNWLcQMGEBs4CcmlznK+4hBrlcs55teAPpQGTlsIAgPgNGxD0K8WVN0W8a3JrH2L+kDJ LOJ7VM9vw1b8NeIBlSWj43kk12ULezAba8s1L9vAOaYhOnn/jcKxOC3RCO/JDnwKoD7sdeeqYhj6 71pCtJQKEgYFVoy8vQ5akDj3KQdFblB3vQcE6msKu8+CE8KG9Y94nYfnrCv9kVDzubo+O84C8nAl g6N0N11n/xORqQrW08rZ/GlQ9xddYhB64/LyZ4MOtFoW6myhLQ0R9Q2cl5SIsxKk9Qlz3yRTIvCG 3fY/sgx61COImxalq0mRz9pAUJABVHG1099eVsElGQ8AXh7EcuEd3g7rqdy70D/JlmEyxze5oAMH +DznT16dxHdoXzynCj4F6KLVj8qipBD01O/tAPLeo27Bxci9IM5uSgzLqFDqGHudMcLh+Mx/ga2p EAYrhOkMW8DYtByvnRY6w+3AJoXYSJetSx1TRYQk0iUtM6dXhtSJXZ2JhsgXh00Ek0eh6sIgOClH fffub/UIrFs9rZMzFMgrSNn7qYAuR+4BoQvfwFAXChXG8BWxi0PL9Iq/DikA/mORfVq6w5yOkXQS Pa2pE2ukLL06l/OQZ0OI/F9nQJcUFOzDDfhRHlieFASPI14WYUQ6x4jqt6hEkS8aZEjIcGH/ZVht T1//KeeuKKBsYOa1pgpWxtx8ViiBDC6uboy5PaKgyuyrCeRG2hHN1zg60cchivZQ8IyqVDJazPad 891k/WJCQALUUE+yhWJzk8appdNjMAzgAa6PGz2WC354yUBLZuiYKPbt+nEZyt44SXK9e3Khy9zl 33v3JJB3l8TlbD5BTaS1PoO+kHUOStCHg7DE+M2/ffdNfm2ONuGMkM+Qe7N5cZn8jELlQpyjM2cY ljalnhj+Y7M6l3uAqySFxvVX96we8GB9pf5Jm6xcFPQY8HGSOVuKPOplBR+p3fvhIqjV49fXgoin MFg6g1EdDs79xVaJxZn8R7s6/Bq1pZozagxj2awIalXgKJwk/pHCIY20sRrJcod+/P4TKTkqMPAC +CfHNgF1RnDJJqgUxJ07y8d8qqyPEeKUq2LIyxcrIEHTCq+n1CU90EGxFtPDbca8t+hc181emurV /bJ07IFz/DcYD7lnpEBYsfZfqrNos71WVLg/cXNCv9tW3NcddsLCLNr8y32FsXvTx/WBGycYcRQO R3K9LZJFjKYw2knLXzWwkydzxWBtSI1W0VySCRxPKY4WJ9eJO3QYUzyJHx0Nt8yVg6OJVvjutsh0 ks0dFREbMXgV1nY0VxzVdoLr7U5CanrJ0aEslQLfFzkzbe9brMXSpvj++qoTRDOyACLdEllVYR4d RoauPbeq/Yc+nOtt8hHMAHV2pQHsKO9bVXQ= `protect end_protected
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity state_machine is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; state_input : in STD_LOGIC; tc : out STD_LOGIC); end state_machine; architecture architecture_state_machine of state_machine is type T_etat is (idle,edge,one); signal next_state, state_reg : T_etat; begin state_reg_process: process(clk) begin if rising_edge(clk) then if reset = '1' then state_reg <= idle; else state_reg <= next_state; end if; end if; end process state_reg_process; tc <= '1' when state_reg = edge else '0'; next_state_process: process(state_reg, state_input) begin next_state <= state_reg; case state_reg is when idle => if state_input = '1' then next_state <= edge; end if; when edge => next_state <= one; when one => if state_input = '0' then next_state <= idle; end if; end case; end process next_state_process; end architecture_state_machine;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_fg_05_01.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- -- not in book library ieee; use ieee.std_logic_1164.all; -- end not in book entity program_ROM is port ( address : in std_ulogic_vector(14 downto 0); data : out std_ulogic_vector(7 downto 0); enable : in std_ulogic ); subtype instruction_byte is bit_vector(7 downto 0); type program_array is array (0 to 2**14 - 1) of instruction_byte; constant program : program_array := ( X"32", X"3F", X"03", -- LDA $3F03 X"71", X"23", -- BLT $23 -- not in book others => X"00" -- end not in book -- . . . ); end entity program_ROM;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_fg_05_01.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- -- not in book library ieee; use ieee.std_logic_1164.all; -- end not in book entity program_ROM is port ( address : in std_ulogic_vector(14 downto 0); data : out std_ulogic_vector(7 downto 0); enable : in std_ulogic ); subtype instruction_byte is bit_vector(7 downto 0); type program_array is array (0 to 2**14 - 1) of instruction_byte; constant program : program_array := ( X"32", X"3F", X"03", -- LDA $3F03 X"71", X"23", -- BLT $23 -- not in book others => X"00" -- end not in book -- . . . ); end entity program_ROM;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_fg_05_01.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- -- not in book library ieee; use ieee.std_logic_1164.all; -- end not in book entity program_ROM is port ( address : in std_ulogic_vector(14 downto 0); data : out std_ulogic_vector(7 downto 0); enable : in std_ulogic ); subtype instruction_byte is bit_vector(7 downto 0); type program_array is array (0 to 2**14 - 1) of instruction_byte; constant program : program_array := ( X"32", X"3F", X"03", -- LDA $3F03 X"71", X"23", -- BLT $23 -- not in book others => X"00" -- end not in book -- . . . ); end entity program_ROM;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; -- -- .. hwt-autodoc:: -- ENTITY SimpleIfStatementPartialOverrideNopVal IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; c : IN STD_LOGIC; clk : IN STD_LOGIC; e : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE rtl OF SimpleIfStatementPartialOverrideNopVal IS SIGNAL d : STD_LOGIC; SIGNAL d_next : STD_LOGIC; BEGIN assig_process_d: PROCESS(clk) BEGIN IF RISING_EDGE(clk) THEN d <= d_next; END IF; END PROCESS; assig_process_d_next: PROCESS(a, b, c, d) BEGIN IF a = '1' THEN IF b = '1' THEN d_next <= '1'; ELSE d_next <= d; END IF; IF c = '1' THEN d_next <= '0'; END IF; ELSE d_next <= d; END IF; END PROCESS; e <= d; END ARCHITECTURE;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity DCM0 is generic ( ClkMult : integer := 10; -- default value correct for GODIL ClkDiv : integer := 31; -- default value correct for GODIL ClkPer : real := 20.345 -- default value correct for GODIL ); port (CLKIN_IN : in std_logic; CLKFX_OUT : out std_logic); end DCM0; architecture BEHAVIORAL of DCM0 is signal CLK0 : std_logic; signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLKFX_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "1X", CLKDV_DIVIDE => 4.0, -- 15.855 =49.152 * 10 / 31 CLKFX_DIVIDE => ClkDiv, CLKFX_MULTIPLY => ClkMult, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => ClkPer, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => CLK0, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => GND_BIT, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => CLK0, CLK2X => open, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => open, PSDONE => open, STATUS => open); end BEHAVIORAL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity DCM0 is generic ( ClkMult : integer := 10; -- default value correct for GODIL ClkDiv : integer := 31; -- default value correct for GODIL ClkPer : real := 20.345 -- default value correct for GODIL ); port (CLKIN_IN : in std_logic; CLKFX_OUT : out std_logic); end DCM0; architecture BEHAVIORAL of DCM0 is signal CLK0 : std_logic; signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLKFX_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "1X", CLKDV_DIVIDE => 4.0, -- 15.855 =49.152 * 10 / 31 CLKFX_DIVIDE => ClkDiv, CLKFX_MULTIPLY => ClkMult, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => ClkPer, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => CLK0, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => GND_BIT, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => CLK0, CLK2X => open, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => open, PSDONE => open, STATUS => open); end BEHAVIORAL;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rom_memory_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY rom_memory_exdes IS PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); CLKA : IN STD_LOGIC ); END rom_memory_exdes; ARCHITECTURE xilinx OF rom_memory_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT rom_memory IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : rom_memory PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc716.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b00x00p02n01i00716ent IS END c01s01b00x00p02n01i00716ent; ARCHITECTURE c01s01b00x00p02n01i00716arch OF c01s01b00x00p02n01i00716ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b00x00p02n01i00716 - Missing is in entity declaration." severity ERROR; wait; END PROCESS TESTING; END c01s01b00x00p02n01i00716arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc716.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b00x00p02n01i00716ent IS END c01s01b00x00p02n01i00716ent; ARCHITECTURE c01s01b00x00p02n01i00716arch OF c01s01b00x00p02n01i00716ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b00x00p02n01i00716 - Missing is in entity declaration." severity ERROR; wait; END PROCESS TESTING; END c01s01b00x00p02n01i00716arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc716.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s01b00x00p02n01i00716ent IS END c01s01b00x00p02n01i00716ent; ARCHITECTURE c01s01b00x00p02n01i00716arch OF c01s01b00x00p02n01i00716ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s01b00x00p02n01i00716 - Missing is in entity declaration." severity ERROR; wait; END PROCESS TESTING; END c01s01b00x00p02n01i00716arch;
-- author: Antonio Gutierrez -- date: 03/10/13 -- description: shift register -------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity shift_register is generic (N: integer := 4;); ---- number of stages port ( din, clk, rst: in std_logic; dout: out std_logic); end entity shift_register; -------------------------------------- architecture shift_register of shift_register is --signals and declarations begin main: process (clk, rst) variable q: std_logic_vector(0 to N-1); begin if (rst = '1') then q := (others => '0'); elsif (clk'event and clk = '1') then q := din & q(0 to N-2); ---- concatenate din to three least significant bits of q end if; dout <= q(N-1); -- dout is the msb of q end process main; end architecture shift_register;