patent_id
stringlengths 7
8
| description
stringlengths 125
2.47M
| length
int64 125
2.47M
|
---|---|---|
11859297 | DETAILED DESCRIPTION OF THE CERTAIN EMBODIMENTS OF THE INVENTION Although the invention is illustrated and described herein with reference to specific embodiments, the invention is not intended to be limited to the details shown. Rather, various modifications may be made in the details within the scope and range of equivalents of the claims and without departing from the invention. The invention, according to one aspect, makes it possible to provide a unique gas diffusion electrode that can use ambient undried, humid air as a source of oxygen. This gas diffusion electrode, according to one embodiment, is used only at the cathode of the membrane electrolysis cells as disclosed herein. According to additional aspects of this invention, the invention makes it possible to improve Li extraction from various sources, including brine sources or lithium ore sources where hydrochloric acid, sodium hydroxide, and/or sulfuric acid may be required; eliminate the need to outsource the acid and base feed materials by utilizing the already available brine onsite, whether derived from salar brine or a brine solution that is produced in the lithium ore refining process or a brine produced during a lithium ion battery recycling process; directly convert lithium containing salts, e.g. lithium chloride or lithium sulfate, to higher value lithium hydroxide product; provide a gas diffusion electrode configured for use in a membrane electrolysis cell and a method of producing the gas diffusion electrode; provide a membrane electrolysis cell for processing a salt-containing solution; and/or provide a process for purifying or concentrating or producing LiOH using a membrane electrolysis cell. As used herein the term, “hydrophobic” means lacking an affinity for, repelling, or failing to adsorb or absorb water. In particular, a hydrophobic substance is one which has a contact angle greater than 90° when a droplet of water is placed on it. As used herein the term, “hydrophilic” means having an affinity for and being capable of adsorbing or absorbing water. In particular, a hydrophilic material is one where the contact angle between a droplet of water and the material is less than 90°. As used herein, the terms “oxygen depolarized cathode” or “ODC,” and “gas diffusion cathode” or “GDC,” may be used interchangeably and/or refer to the same structure, which is used for example, as the cathode in a membrane electrolysis cell. Lithium Extraction from Brines An increasing demand for the lithium requires matching production levels. In its free metallic form, lithium is extremely reactive. Hence, it is usually found in the form of mineral compounds comprising about 0.007% of the earth's crust as the primary source of lithium. Natural sources of lithium include igneous rocks, springs, sea and ocean water, as well as salar brines, which are underground reservoirs that contain high concentrations of dissolved salts, such as lithium, potassium, and sodium. These are generally found below the surface of dried lakebeds, known as salars. Most lithium products are from brine sources, and most lithium is recovered from the salar brines or salt lake brines that occur in the Earth's crust and which contain lithium. The concentration of lithium in sea water is about 0.17 mg/L. Geothermal water and oil-well brines are also another source of recoverable lithium. Lithium salt-containing brines that may be produced during lithium ion battery recycling processes are also a source of recoverable lithium. Lithium recovery from these brines can be less expensive than mining the lithium from the mineral rocks. While the following disclosure presents embodiments directed to recovering lithium from salar brines, or from lithium brines produced during processes to recover lithium from lithium ores, lithium salt solutions, also referred to herein as “brine,” may also be sourced from lithium ion battery recycling processes. The first attempt at commercial extraction of lithium from salt lake was reported in 1936 at the Searles Lake in the US. An ever-increasing growth in the extraction of lithium from the salt lakes has occurred since then. The brine concentration, pond accessibility and locality for solar evaporation, ratio of alkaline earth and alkali metal to lithium and the complexity of the chemistry are important factors when considering a brine as a Li recovery source. The recovery of lithium from brine on an industrial scale can commence with a series of multiple stages of continuous solar pond evaporation of water from the brine. The lithium concentration of major brine extraction operations in the world ranges from 0.06 to 0.15 wt. % of the element lithium. This concentration reaches about 6 wt. % at the end of the evaporation cycle when it is ready for lithium carbonate (Li2CO3) production, or the production of LiOH as the desired end product. During the evaporation stage, salts with a lower solubility limit than the lithium salts precipitate out of the brine. Halite salts such as (NaCl) is the first to precipitate followed by sylvite (KCl), sylvanite (NaCl·KCl) and other salts. KCl is the main by-product in most brine recovery operations. Some salar brines which contain higher Mg, Ca, and B content, require additional processing steps to remove these elements. Magnesium and calcium are preferably removed in order for the final product to be utilized in battery applications. Boron contamination is also harmful to the quality of the end product and has to be removed during the extraction process to achieve LIB quality requirement. During the evaporation stage, some compounds of Mg such as carnallite (KCl·MgCl2·6H2O) and bischoffite (MgCl2·6H2O) precipitate at around 4.4 wt. % elemental Li concentration in the brine. After further evaporation to reach 5-6 wt. % Li, the co-precipitation of compounds such as lithium carnallite (LiCl·MgCl2·6H2O) along with lithium carbonate or lithium chloride results leaves the final product with Mg contamination. To obtain a pure lithium carbonate or chloride product, these contaminants are preferably removed. The removal process of Ca, Mg and B from the brine involves solvent extraction and precipitation stages. For example, boron can be removed by a solvent extraction process or the precipitation of boric acid. In the solvent extraction method, extractants such as high aliphatic alcohols or other organic solvents are used in an acidic environment to remove boron from the brine. Under acidic conditions, boron compounds form boric acid which precipitates out of the brine. Numerous systems have been reported on development of boron removal process from lithium-containing brine. For instance, U.S. Pat. No. 3,855,392 describes the use of high aliphatic alcohol for extraction of boron from magnesium brine. Similarly, U.S. Pat. No. 4,980,136 describes the use of high aliphatic alcohol with 6 to 16 carbon atoms dissolved in kerosene at pH levels of 1 to 2 for extracting the boron from brine. U.S. Pat. Nos. 3,424,563 and 5,939,038 describe the use of diols which are organic molecules containing two OH groups in their structures as extractants for boron removal. U.S. Pat. No. 4,261,960 discloses a boron, magnesium and calcium removal process involving the use of slaked lime (Ca(OH)2) and calcium chloride solution to precipitate calcium borate hydrate as well as magnesium hydroxide and calcium sulfate dihydrate from the brine. Magnesium and calcium can be removed from the brine through several precipitation processes. Converting the dissolved magnesium salt into magnesium hydroxide removes magnesium from the brine. Calcium hydroxide, lime (CaO) or slaked lime (Ca(OH)2) is added to the brine to increase the pH and form Mg(OH)2which has limited solubility in water. During this process, sulfate ions also precipitate in the form of CaSO4and boron precipitates in the form of calcium borate hydrate. The precipitation of Mg(OH)2is also beneficial in further removal of boron though surface adsorption mechanism. The adjustment of pH can be effected through different mediums. U.S. Pat. Nos. 4,036,713 and 4,207,297, disclose using the lithium hydroxide product form the recovery product to raise the pH of the initial brine and thereby precipitating the magnesium hydroxide. These patents also disclose the use of other basic solutions including sodium hydroxide or calcium hydroxide. U.S. Pat. No. 6,143,260 discloses the use of limed mother liquor from a previous lithium precipitation stage to remove Mg by precipitating the Mg(OH)2. U.S. Pat. No. 6,048,507 discloses a bicarbonation process comprising mixing the impure lithium carbonate brine with CO2gas under pressure to precipitate unwanted species of iron, magnesium and calcium. Further removal of Fe, Mg and Ca ions is carried out by selective ion exchange process. U.S. Pat. No. 5,993,759 describes a multistage process for purifying the lithium carbonate brine of high Mg content using soda ash, slaked lime and organic extractant. The process starts with an acidification step using hydrochloric acid (HCl) to maintain a pH level of 0 to 4 in order to produce boric acid (H3BO3) and which is removed through crystallization. The resulting boron-depleted brine is further purified using organic solvent extractant to achieve boron-free brine. The resulting brine diluted by a mother liquor is then treated by addition of sodium carbonate (soda ash) yielding magnesium carbonate as the solid precipitate. The rest of magnesium in the brine is further precipitated using calcium hydroxide and so forms Mg(OH)2. During this stage, any calcium introduced to the brine also precipitates in the form of calcium carbonate due to the presence of sodium carbonate in the brine. The addition of soda ash at the last step results in the precipitation of lithium carbonate. U.S. Pat. No. 8,691,169 discloses that the sequence of adding these compounds to the brine plays an important role in producing high purity lithium carbonate. The disclosure shows that the addition of calcium hydroxide before the brine removal step can remove all soluble magnesium as well as some boron and surface ions in the post-evaporation brine. The rest of boron in the brine is removed via solvent extraction. The resulting magnesium and boron-free brine is then carbonized by soda ash to obtain high purity lithium carbonate precipitates. The obtained Li2CO3was further purified by adding carbonic acid, forming lithium bicarbonate and then precipitation of pure lithium carbonate is effected by heating the bicarbonate-containing brine. The last stage is disclosed to remove all sodium and calcium impurities from the final precipitate product. The final lithium product is produced from the resulting brine from which most of Mg, B, Ca, and other species have been removed. Soda ash (sodium carbonate, i.e. Na2CO3) is a reagent for converting the solute Li ions into Li2CO3precipitate. This precipitate can be used in technical or high purity grade. Depending on the nature of the process, the initial composition of the brine and the customer demand, the Li recovery process can be designed and modified. For technical grade lithium carbonate, the common recovery process of pond evaporation and concentration, selective removal of contaminants and carbonation is sufficient. For high purity and battery grade product, several extra processing stages have been proposed. For instance, U.S. Pat. No. 9,169,125 B2 discloses the use of spray drying, washing and carbonation after the contaminant removal to obtain purified lithium carbonate product. Bicarbonation of the lithium carbonate can be used for purifying the final product. U.S. Pat. No. 8,691,169 B2 discloses the use of carbonic acid to form lithium bicarbonate from the lithium carbonate. The resulting bicarbonate is then decomposed to purified carbonate upon exposure to heat at 50 to 95° C. Another method for further purification of the brine prior to lithium carbonate precipitation is the implementation of ion exchange resins. Lithium carbonate products with purity as high as 99.9% can be achieved by using this method in the process. WO Pat. Appln. Publ. No. 2013/036983 discloses the use of ion exchange resins throughout the recovery process. Also disclosed therein is an ion exchange resin for boron removal, which replaces the solvent extraction method. An ion exchange resin to remove the trace soluble divalent and trivalent species containing Mg, Ca and Fe from the brine during the final stages of the recovery is also disclosed. U.S. Pat. No. 8,641,992 discloses ion exchange resin by which Mg ions are selectively removed from the brine. Generally, a process for the recovery of lithium from brine can be illustrated as shown inFIG.1. The first stage of recovery consists of multiple pond evaporative concentration steps that remove high levels of sodium and potassium salts for instance, NaCl and KCl and possibly others by precipitation, since these are less soluble than the desired lithium salts. The evaporation thus increases the lithium concentration in the brine. Some of the magnesium in the form of precipitated MgCl2is also removed during this evaporative stage. Next stages involve the removal of boron, calcium, magnesium as the main sources of impurity in the brine. Removal of the B, Ca and Mg ions is carried out using repeated pH adjustment, solvent extraction and precipitation steps to ensure maximum ion removal. Ion exchange removal of leftover trace monovalent, divalent and trivalent ionic species other than the lithium further purifies the brine. Introduction of soda ash, Na2CO3, to convert the dissolved lithium salts to lithium carbonate, Li2CO3, is the last major step to produce technical and high purity lithium carbonate. As expected, almost every brine recovery operation, no matter the source of the brine heavily relies on the use of reagents throughout the process stages. Generally, more than 50% of the overall operating costs in lithium production usually stems from the cost of these reagents. The major reagents contributing to the recovery cost are soda ash (Na2CO3), lime (primarily CaCO3) and slaked lime (Ca(OH)2), caustic soda (NaOH), hydrochloric acid (HCl), extractants such as high aliphatic alcohols or other organic solvents, and sulfuric acid (H2SO4). Soda ash or sodium carbonate (Na2CO3) is the major component of a lithium recovery process due to its importance for various lithium recovery stages. It is used to remove calcium from the brine through precipitation of CaCO3. It is also the sole reagent used for producing lithium carbonate. Slaked lime or calcium hydroxide (Ca(OH)2) produced from heating and then hydrating the lime (primarily CaCO3), can be used for the removal of magnesium and some sulfate ions. Different grades of lime could be used based in the use of lime in the initial evaporation pond or the lithium carbonate processing plant. For instance, the removal of magnesium chloride and sulfate and other sulfate ions from the brine using the slaked lime occurs according to the following reactions: MgCl2(aq.)+Ca(OH)2(aq.)⇔Mg(OH)2(s)+CaCl2)(aq.) 1) MgSO4(aq.)+Ca(OH)2(aq.)⇔Mg(OH)2(s)+CaSO4(s) 2) Na2SO4(aq.)+Ca(OH)2(aq.)⇔CaSO4(s)+NaOH(aq.) 3) Caustic soda or sodium hydroxide (NaOH) is another chemical reagent that can be used in different stages of the processing plant. It can be used as the stripping agent to regenerate the solvent after the boron removal using the organic solvent extraction. It is also the most suitable alkali metal hydroxide for the removal of magnesium because it produces very high purity Mg(OH)2as a by-product. Another important use of the NaOH is during the water treatment process for pH adjustment, as well as for ion exchange resin regeneration. Similar to caustic, hydrochloric acid (HCl) can be used as a reagent in a variety of the process steps throughout the recovery process. It can be used as a pH modifier during the boron solvent extraction step since the initial stage of solvent extraction normally requires an acidic environment. Another use of hydrochloric acid is in the concentration ponds (also called evaporation ponds) where adding it prevents the unwanted precipitation of lithium carbonate. HCl is also the main reagent for transformation of lithium carbonate into lithium chloride when needed. Hydrochloric acid is also used to regenerate the acid exchange resins which are used for selective ion removal from brine. Sulfuric acid (H2SO4) can be stored in the concentrated 98% form and can be used for de-scaling and cleaning of the lithium carbonate processing plant. The major constituents of the reagent costs are soda ash, contributing to almost 50%, lime with around 15%, caustic soda (NaOH) with about 7% and hydrochloric acid with 1% of the total reagents costs. In some cases where the ratio of Mg:Li is high, this contribution of the soda ash can be as much as 80% of the total reagent costs. The on-site production of these chemicals can be very beneficial toward reducing operating costs. Disclosed herein, as an aspect of this invention, is an electrodialysis multi-compartment system which allows for the on-site production of caustic soda (NaOH) and hydrochloric acid (HCL) from the existing brine in the evaporation ponds. The caustic soda is then transformed into sodium carbonate (soda ash) and sodium bicarbonate (NaHCO3) using the readily available carbon dioxide (CO2) that is used on-site. The system is fully controllable and allows for the desired concentration of product. The capability to tune the concentration of NaOH and HCl mitigates the operating costs associated with shipping and storing concentrated solutions. Lithium Extraction from Rock Mining Several minerals contain Li in their structure. For example, at least four minerals have found interest as viable Li sources. These are Lepidolite (K(Li,Al,Rb)2(Al,Si)4O10(F,OH)2), Spodumene (LiAl(SiO3)2), Petalite (LiAlSi4O10), and Amblygonite ((Li,Na)AlPO4(F,OH)). Among these, Spodumene is usually the most important ore for commercial Li production. (Helvaci, C., 2003. Presence and distribution of lithium in borate deposits and some recent lake waters of West-Central Turkey. Int. Geol. Rev. 45 (2), 1-14.). Expected growth in demand over the coming century for lithium batteries used in power hybrid and fully electric automobiles has raised interest in lithium production (Tahil, 2007, 2008; Bradbury, 2008). Various methods have been developed to obtain lithium from lithium ores (Victor, K. A., 1953. Method of recovering lithium compounds from lithium minerals. U.S. Pat. No. 2,793,933; Walter, R., Bichowsky, Francis R., 1935. Method of recovering lithium from its ores. U.S. Pat. No. 2,020,854.; Robinson, G. P., 1961. Recovery of lithium from ore. U.S. Pat. No. 2,983,576; Moon, K. S., Fuerstenau, D. W., 2003. Surface crystal chemistry in selective flotation of spodumene (LiAl[SiO3]2) from other aluminosilicates. Int. J. Miner. Process. 72 (1-4), 11-24; Saeki, S., Lee, J., Zhang, Q., Saito, F., 2004. Co-grinding LiCoO2with PVC and water leaching of metal chlorides formed in ground product. Int. J. Miner. Process. 74 (Supplement 1), S373-S378; Buyükburç, A., Maras, I., Ioglu, D., Bilici, M. S. U., Köksal, G., 2006. Extraction of lithium from boron clays by using natural and waste materials and statistical modelling to achieve cost reduction. Miner. Eng. 19 (5), 515-517; Jandová, J., Dvorák, P., Vu, H. N., 2010. Processing of zinnwaldite waste to obtain Li2CO3. Hydrometallurgy 103 (1-4), 12-18; Brandt, F., Haus, R., 2010. New concepts for lithium minerals processing. Miner. Eng. 23 (8), 659-661; Chen, Y., Tian, Q., Chen, B., Shi, X., Liao, T., 2011. Preparation of lithium carbonate from spodumene by a sodium carbonate autoclave process. Hydrometallurgy 109 (1-2), 43-46). Lithium can be extracted from lepidolite using the sulfate acid and the lime methods (Distin, P. A., Phillips, C. V., 1982. The acid extraction of lithium from the granites of South West England. Hydrometallurgy 9 (1), 1-14). However, the extraction of lithium by the sulfate acid method often uses high concentration acid and the purification procedure can be complex. The lime process uses limestone and can require a large amount of energy. Wadman and von Girsewalt (Ellestad, Reuben B., Clarke, Fremont F., 1955. Extraction of lithium from its ores. Min. Eng. 7, 1045) ground lithium silicate ore (Lepidolite (K(Li,Al,Rb)2(Al,Si)4O10(F,OH)2), Spodumene (LiAl(SiO3)2)) with an excess of alkali sulfate (usually K2SO4) in at least a 1 to 1 proportion and heated the mixture to a relatively high temperature. At elevated temperature ion exchange occurred forming lithium sulfate which, together with the excess potassium sulfate, was dissolved by leaching with water. Successful operation of this process required thorough mixing and careful temperature control. In addition, high consumption of K2SO4may add cost. A mixture of alkali sulfates and alkali oxide was used as reactant and the results demonstrated that an advantageous effect was obtained when the lepidolite was roasted with a mixture of Na2SO4, K2SO4and CaO. In order to process spodumene as described in U.S. Pat. No. 2,516,109, α-spodumene raw material is first converted to β-phase by roasting at 1100-1300° C. A typical lithium extraction from spodumene mineral is shown inFIG.2. Tahil (Tahil, W., 2010. How much lithium does a Li ion EV battery really need? Meridian Int. Res., (Mar. 5, 2010).) reported the roasting of spodumene in a kiln at ˜1100° C. The calcine was mixed with sulfuric acid and roasted at 250° C. and subsequently leached in water to yield an aqueous solution of lithium sulfate. Reaction of β-spodumene with H2SO4is shown as reaction 4). (Mcketta, J. J., 1988. Lithium and lithium compounds. Encyclopedia of Chemical Processing and Design vol. 28. Marcel Dekker) Li2O·Al2O3·4SiO2(s)+H2SO4(conc.)→Li2SO4(s)+Al2O3·4SiO2(s) 4) Lithium carbonate can be recovered by the addition of sodium carbonate to the solution after pH adjustment, purification and evaporation, shown in reaction 5. Li2SO4(aq.)+Na2CO3(s)→Li2CO3(s)+Na2SO4(aq.) 5) The world's first continuous plant to convert spodumene concentrate to lithium carbonate by calcination, roasting of calcine with H2SO4and subsequent water leaching, was commissioned in 2012 by Galaxy Resources in China (Clarke, G. M., 2013. Lithium-ion batteries: raw material considerations. Am. Inst. Chem. Eng. 44-52). One of the drawbacks of the sulfuric acid method to treat lepidolite, petalite and zinnwaldite is the requirement of a high concentration of acid and complex purification processes, whereas spodumene needs to be converted to the more leachable β-phase at higher temperature. Overall, the cost of reagents during the rock mining process also contributes to 45% of the overall operation costs. Implementing methods to reduce such costs is the next big step in lithium recovery process. Due to the intense use of chemical reagents during these processes, the most logical approach is to recycle them after the process. Electrochemical techniques provide flexible solutions for recycling chemicals. Electrochemical Processes for Onsite Reagent Recovery The use of membrane electrolysis cells (also referred to as electrodialysis cells) have been successfully implemented as described herein to generate chemicals from a brackish water or brine stream. The process involves the use of a series of ion exchange membranes stacked in an order specific to the components of the brine stream being processed as well as the desired outputs. The membranes are designed to allow specific charged ionic species permeate through. Cation exchange membranes transfer cationic species while anion exchange membrane only allow anions transport through the membrane structure. Bipolar membranes are another type which split water molecules into the H+ and OH− components. The movement of ions is enabled by applying an external voltage using a cathode and anode electrode. Under applied voltage, anions travels toward the positively charged anode while cations travel towards the negatively charged cathode. Through careful placement of membranes, desired chemicals such acids, bases, and salts can be produced. During an electrolytic process with aqueous catholyte and anolyte, gaseous species such as H2and O2may be generated on the electrodes, due to the electrolysis of water. Electrochemical desalination techniques rely on the above-mentioned principles of membrane electrolysis. A stream of concentrated brine or salt passes through the separation device. An electrical current (DC) applied between anode and cathode generates ionic species. The anions from the input salt solution migrate through anion exchange membrane(s) and combine with H+ ions generated on the anode and produce acid. Cations from the input salt solution likewise migrate through cation exchange membrane(s) and combine with OH− ions generated on the cathode and produce base. An example of salt splitting process is disclosed in U.S. Pat. No. 2,829,095, where a combination of anion and cation exchange membrane was used to dissociate NaCl salt into Na+ and Cl− ions. Sodium ions were then combined with OH− from the cathode and produced NaOH. On the other hand, chloride ions combined with H+ generated on the anode and produce HCl. The overall voltage required to achieve the splitting include the potential for water decomposition and potential drop across the membranes and electrolyte solutions. Another example of this process is the conversion of brine (NaCl) into NaOH and chlorine gas during the chlor-alkali process described in U.S. Pat. No. 4,217,186. During this process, the NaCl brine is fed into the anolyte compartment while water (or NaOH) is fed into the catholyte compartment. Upon the application of voltage, sodium ions migrate through a cation exchange membrane toward the cathode where they combine with OH− ions produced by the electrolytic splitting of water on the cathode which forms NaOH. Chlorine gas is evolved in the anode compartment according to reaction 6) while hydrogen gas is produced on the cathode. 4Cl−→2Cl2+4e−E0=1.36V 6) The chlor-alkali process can be modified to reduce the overall cell voltage and thereby reduce energy consumption. With the purpose of eliminating the H2generation on the cathode and reducing overall cell energy consumption, an oxygen depolarized cathode (ODC) has shown reduction in the required cell voltage in the chlor-alkali process. An example of such an application is disclosed in U.S. Pat. No. 4,191,618. The depolarization of the cathode by oxygen gas results in the formation of only hydroxyl ions thereby preventing the formation of hydrogen gas. In a hydrogen evolving scenario, the cathodic reaction in the chlor-alkali process is as follows: 4H2O+4e−→2H2+4OH−E0=−0.83V 7) Using the oxygen depolarized cathode, where O2gas is applied to the cathode, the cathodic reaction changes to a hydroxyl formation reaction according to the reaction: 2H2O+O2+4e−→4OH−E0=0.401 V 8) Overall, the use of ODC and the application of pure oxygen at the cathode in the chlor-alkali process means that the overall cell voltage is approximately 1V less out of 3.3V in chlor-alkali cell. In most cases of ODCs, pure O2is required to operate the cell. As will be described in detail below, the novel gas diffusion electrode disclosed herein, permits the operation of a five-compartment membrane electrolysis cell with an air stream, rather than pure oxygen applied at the cathode. In the instant case, the anode reaction is not the chlorine evolution because there is no chloride solution there. Instead oxygen is evolved in the water oxidation reaction: 2H2O(l)→O2(g)+4H+(aq.)+4e−9) WIPO Pat. Appln. Publ. No. WO 2015/149185 A1 describes a membrane electrolysis cell assembled using a combination of anion and cation exchange membranes. The cell was utilized to convert carbon dioxide gas and a saline brine stream into carbonate salt, hydrochloric acid and desalinated water. The present disclosure is related to the in situ process of generating reagent chemicals useful during the regular lithium extraction processes from salar brines or other lithium-containing brines such as those arising from lithium ion battery recycling operations, and from rock minerals. The process describes an electrochemical method for converting waste chemical streams into valuable reagent chemicals required during the lithium extraction operation. The disclosed electrochemical method involves a multi-compartment membrane electrolysis cell which may be incorporated into current lithium extraction processes from salar brine and from lithium-containing ore without disturbing the process flow of either type of recovery process. The disclosed process is unique in that it allows the attachment of the unique membrane electrolysis cell feed and product streams onto the commonly practiced lithium extraction processes, as well as the particular design of the gas diffusion electrode (GDE) which is used as the cathode and optionally may also be used as the anode of the cell. In the following description of the embodiments of the invention, the membrane electrolysis cell will be described, and then the details of the gas diffusion electrode that is used as the oxygen depolarized cathode will be discussed in detail. Finally, particular uses of the membrane electrolysis cell that comprises the GDE as the cathode in the production of lithium will be described. Membrane Electrolysis Cell A schematic diagram of feed and product streams for a generalized inventive membrane electrolysis cell is illustrated inFIG.3. A solution being treated, also referred to herein as the brine feed, is fed into the depletion (also referred to as the salt depletion chamber or compartment) chamber and cations and anions migrate from the solution in the depletion chamber to adjacent product chambers (which may be referred to herein also as acid build up compartment or base build up compartment), thereby reducing the ion concentration of the solution. The solution may be any saltwater solution such as brine, seawater or wastewater, or any solution being treated to reduce the concentration of ions therein, for example industrial waste solutions from oil and gas, mining, forestry, lithium ion battery recycling processes, etc. Any type of aqueous or non-aqueous stream consisting of ions or non-ionic species that could be made into ions by the addition of other chemicals or by processing could potentially be utilized as the brine feed. As alluded to above, in electrodialysis, an electric potential gradient may be generated between an anode and cathode. In an aqueous setting, the anode and the cathode generally undergo the following half-cell reactions respectively: H2O(l)→2H+(aq.)+½O2(g)+2e−(anodic reaction) 10) 2H+(aq.)+2e−→H2(g)(cathodic reaction) 11) The dialysis cell, also referred to as the membrane electrolysis cell of the disclosed embodiments includes a plurality of compartments, creating a “stack” of compartments, and the walls of the compartments comprise ion exchange barriers separating the chambers. Ion exchange barriers (also referred to herein as membranes) in the membrane electrolysis cell generally do not require regeneration, thereby reducing the need for chemical inputs over ion exchange processes. Inorganic scaling of ion exchange barriers and ion exchange barrier fouling can be managed through polarity reversal, periodic flushes and/or acid washes, as necessary. The ion exchange barriers of the membrane electrolysis cell include cation exchange barriers which selectively allow migration of cations, and anion exchange barriers which selectively allow migration of anions. The ion exchange barriers may be water permeable. The ion exchange barriers may be ion exchange membranes and may include, but are not limited to, commercially available bi-polar membranes and membranes with chemical modifications. Non-limiting examples of such modifications are: (i) perfluorinated films with fixed pyridine or sulfonic groups; (ii) polyetherketones; (iii) polysulfonones; (iv) polyphenylene oxides; (v) polystyrene; (vi) styrene-divinyl benzene; (vii) polystyrene/acrylic based fabrics with sulfonate and quaternary ammonium cations; (viii) polyfluorinated sulfuric acid polymers; or (ix) resin-polyvinylidenedifluoride fabrics. In alternative embodiments, other ion exchange barriers such as are known in the art may be utilized. The membrane electrolysis cells (also referred to as electrodialysis cells) of the described embodiments generally include a cathode and anode, which may be constructed of conductive porous or non-porous substrates, and coated with a catalyst or catalysts. The ion exchange barrier (such as an ion exchange membrane) may alternatively or additionally be coated with a catalyst or catalyst. These catalysts may enhance the rate of reactions in the electrolysis cell. Suitable catalysts include, but are not limited to, precious or non-precious transition metals and their compounds (e.g. oxides, nitrides, etc.). The catalysts could be supported onto for example metal, metal oxides, metal nitrides, etc. or unsupported. A mixture of one or more catalysts, optional binder and other optional additives (for example hydrophilic and/or hydrophobic additives to control liquid and gas bubble removal), may be applied to the either or both of the cathode and anode electrodes and/or ion exchange barrier by a variety of techniques known in the art, such as spraying, sputtering, screen printing and the like. Fluids can flow in the cell via flow fields (open channels like serpentine, inter-digitated, etc.), porous closed channels, or open pocket. The cell could be operated under pressure or pressure differentials. In operation, an electric potential may be applied between the cathode and anode to facilitate the occurrence of electrochemical reactions at the electrodes and migration of ions across the ion exchange membranes. In a membrane electrolysis cell (also referred to as a dialysis cell), an electric potential may be applied between conductors to create an electric field to enhance migration of ions across the ion exchange membranes and chambers without any electrochemical reactions occurring. However, the application of an electric potential between the conductors is not necessary for operation as ions may diffuse through the ion exchange membranes under the influence of other transport mechanisms such as a concentration gradient. In the described embodiments of the membrane electrolysis cell, solutions may be conveyed into and away from chambers of the membrane electrolysis cell using a manifolding assembly which may include conduits, optional valves and other equipment known in the art to convey solutions to and away from chambers of a membrane electrolysis cell. As theFIG.3schematic shows, a feed brine stream is supplied to the cell. Other inputs include air and/or oxygen and/or carbon dioxide and/or hydrogen gas or a mixture of these as well as electrical power. The product streams may include the desalinated water, acid, base and/or carbonate salts. The acid materials may be hydrochloric acid (HCl) or sulfuric acid (H2SO4) depending on the composition of the feed brine. The base product may be sodium hydroxide (NaOH), potassium hydroxide (KOH) or lithium hydroxide (LiOH) or a mixture, depending on the nature of the feed brine. Carbonate and bicarbonate salts may also be produced upon the addition of carbon dioxide to the gas feed stream. These salts may also be produced separately by sparging carbon dioxide into the sodium hydroxide product in a later stage. A first embodiment membrane electrolysis single cell consists of five compartments as depicted inFIG.4. The cell contains an oxygen depolarized cathode (ODC) (described in more detail later) in a cathode compartment, a dimensionally stable anode (DSA) in an anode compartment, as well as two anion and two cation exchange membranes stacked in alternating fashion, so as to define the compartments of the cell. The electrochemical processes involved in the first membrane electrolysis cell are cathodic reaction on an ODC, anodic reaction on a DSA, acid formation in the acid build up compartment, base formation in the base build up compartment and salt splitting in the salt depletion compartment. The use of a first anion exchange membrane near the cathode compartment allows for transport of the hydroxyl ions generated by the cathode into the base compartment. Another important use of this membrane is to avoid the flooding of gas diffusion electrode cathode (i.e., the ODC) in contact with the base solution. A first cation exchange membrane between the salt depletion compartment and the base build up compartment enables the transport of salt cations (Na+ in the case of NaCl or Na2SO4as the feed brine or Li in the case of LiCl or Li2SO4) from the salt depletion compartment into the base build up compartment. The combination of sodium ions with hydroxyl ions results in the formation of sodium hydroxide in the base build up compartment. The anodic reaction results in generation of protons (H+) which are then transported through a second cation exchange membrane into the acid build up compartment. The protons then combine with anions transported through a second anion exchange membrane from the salt depletion compartment to form acid. Depending on the nature of salt, anions (Cl− in the case of NaCl and SO42−(sulfate) in the case of Na2SO4), hydrochloric acid (HCl) or sulfuric (H2SO4) acid is formed. Further, if LiCl is fed to the salt depletion compartment, HCl will build up in the acid build up compartment and LiOH will be formed in the base build up compartment. Finally, if Li2SO4is utilized as the brine feed stream, sulfuric (H2SO4) acid and LiOH will be formed in the acid build up compartment and the base build up compartment, respectively. A second embodiment of the membrane electrolysis cell is shown inFIG.5. In this second embodiment, the membrane electrolysis cell comprises four compartments. In this embodiment, the cathode, which is an oxygen depolarized cathode, like the first embodiment, is housed in a cathode compartment, which is defined by a first anion exchange membrane. The cathode compartment is in fluid communication with a base build up compartment via the first anion exchange membrane. The base build up compartment defined by the first anion exchange membrane and a cation exchange membrane. As can be seen inFIG.4, the base chamber thus in fluid communication with a salt compartment, which may also be referred to as the salt depletion compartment, via the cation exchange membrane. The cation exchange membrane defines an anode compartment, which houses the anode. The anode therefore is in fluid communication with the salt chamber via the cation exchange membrane. In the membrane electrolysis cell first embodiment shown inFIG.4, an exemplary feed brine comprising aqueous NaCl may be fed to the salt (or salt depletion) compartment. Oxygen, which may be in the form of air, and preferably is humidified air, produced by bubbling the air stream through water is fed to the oxygen depolarized cathode. The oxygen depolarized cathode may be a bilayer gas diffusion electrode (described in detail below). When a voltage is applied across the anode and cathode, the positive Na+migrate towards the negatively charged cathode compartment through the cation exchange membrane and remain in the base build up compartment, since they cannot pass through the first anion exchange membrane. Likewise, the OH− anions produced at the ODC build up in the base build up chamber since they will migrate away from the negatively charged cathode through the anion exchange membrane and towards the positively charged anode. Like the Na+ ions, the OH− ions remain in the base build up chamber because they cannot pass through the cation exchange membrane. Therefore, NaOH is formed in the base build up chamber. As shown inFIG.5, the Cl− anions migrate away from the salt compartment to the anode via another anion exchange membrane. The Cl− anions combine at the anode to form Cl2, i.e. chlorine gas. Note that because the membrane electrolysis cell is in an aqueous environment, some water oxidation reaction takes place at the anode compartment, since the anode compartment and the salt (also called salt depletion) compartments are separated by an anion exchange membrane. Importantly, a person having skill in the art can appreciate that if LiCl in an aqueous solution is used as the brine feed stream rather than the exemplary NaCl, LiOH will be produced in the base build up compartment. In the case of LiCl, chlorine gas will still be produced at the anode. Analogous to the first embodiment membrane electrolysis cell, Na2SO4or Li2SO4can also be used as the brine feed streams in the second embodiment membrane electrolysis cell, and will therefore produce NaOH and LiOH, respectively in the base build up compartment and will produce H2SO4at the anode. A third embodiment membrane electrolysis cell is shown inFIG.6and comprises three compartments. As shown in the figure, the anode is housed in the salt depletion chamber, this third embodiment comprises two other compartments; a cathode chamber and a base build up chamber. Thus, the brine feed essentially is fed onto the anode. As in the first and second embodiments, the cathode comprises a bilayer oxygen depletion cathode, to which is fed oxygen, preferably in the form of air, and more preferably in the form of humidified air. Therefore, as shown inFIG.6, OH− ions are evolved at the cathode and they migrate away from the negatively charged cathode, through an anion exchange membrane which defines the cathode compartment and into the base build up compartment. For an exemplary feed brine of aqueous NaCl, as shown inFIG.6, the Na+ ions formed in the anode/salt depletion compartment migrate away from the positively charged anode, through a cation exchange membrane, which defines the anode/salt depletion compartment, and into the base build up chamber. NaOH is therefore formed in the base build up chamber. As shown inFIG.6, chlorine gas is evolved at the anode, since Cl− anions are formed at the anode. Like the first and second embodiments of the membrane electrolysis cell, if the feed brine comprises an aqueous solution of LiCl, LiOH will form in the base build up compartment and Cl2will evolve at the anode. If aqueous Na2SO4is the feed brine, NaOH will be formed in the base compartment and H2SO4will form at the anode. Finally if aqueous Li2SO4is the feed brine, LiOH will be formed in the base compartment and H2SO4will form at the anode. A fourth embodiment membrane electrolysis cell is shown inFIG.7. In this embodiment, there is a single ion exchange membrane which may be a cation exchange membrane. Like all of the other embodiments, the cathode is an ODC that uses O2, but air may be used as a source of O2. As in the previous embodiments, an aqueous NaCl solution is shown as an exemplary feed brine, but a person having skill in the art can comprehend that similar transport of the ions will occur as for NaCl, depending on the ionic species in the feed brine. In this fourth embodiment a brine feed comprising NaCl is fed to the anode compartment. The Na+ ions thus move through the cation exchange membrane into the cathode compartment. Since the cathode comprises an ODC, OH− ions are formed at the cathode and there base, i.e. NaOH is formed in the cathode compartment. In this embodiment, it is clear that the cathode compartment and the base compartment are the same. As shown inFIG.4, the Cl− ions combine to form chlorine gas at the anode. Any of the membrane electrolysis cells described herein may optionally incorporate any of the following of features:Flow fields to improve oxygen and water transport to and away from the membrane electrolysis cell;Designed ion exchange membrane properties and feedback loops can be incorporated to control the concentrations of the produced acid and base. Gas Diffusion Electrodes As mentioned briefly above, an important component of the membrane electrolysis cells disclosed herein is the unique gas diffusion electrode that is used as the oxygen depolarized cathode. This gas diffusion electrode allows the membrane electrolysis cell to operate using air as the oxygen source at the cathode. This is a significant economic and safety advance in the ability to incorporate these cells into lithium recovery processes. A gas diffusion electrode is shown schematically inFIGS.8A and8B. Typically, in these gas diffusion electrodes that can be used as the oxygen depolarized cathode, a catalyst is deposited directly on the surface of the gas diffusion layer (GDL) as shown inFIG.8A. Generally, the catalyst is either hydrophobic or hydrophilic. As shown inFIG.8B, indicated by the spread-out water droplet on the catalyst surface, the catalyst is hydrophilic. It should be understood that inFIG.8Bas well as subsequent FIGS., that a water droplet, whether spread out to indicate a hydrophilic surface, or shown as sitting on top of the surface, to indicate that the surface is hydrophobic, do not indicate that water is actually residing on said surface. The water droplets are merely a convenient way to indicate whether the surface shown is hydrophilic or hydrophobic. As shown inFIGS.8A and8B, in the single layer GDE or ODC, the layer comprises a catalyst on the gas diffusion layer, optionally with an anion exchange membrane disposed on the opposite side of the GDL (not shown) from the catalyst. The implementation of the ODC in the membrane electrolysis cell requires significant changes to cell design. A porous gas diffusion electrode (GDE) is usually used as the cathode electrode. This is because of the requirement of a three-phase boundary where the three reactants (oxygen gas, liquid water and electrons) must be present all at the same time. The most crucial factor is the ease of oxygen gas access to the active area where the reaction takes place. It should be understood that the terms, “upper,” middle,” “lower,” etc. in the following discussion relate only to the relative placement of the layers in the FIG. under discussion and are not necessarily applicable to the structure while it is in use. A key feature of the inventive gas diffusion electrode (GDE), also referred to herein as an oxygen depolarized cathode (ODC) or a gas diffusion cathode (GDC) is that the catalyst layer is deposited directly on the anion exchange membrane, as shown inFIG.9Aas a cross section. This catalyst coated membrane (CCM) structure allows for better ion (OH− or other anions in the gas stream) transport through the contact interface between the catalyst and membrane. It should be understood that the catalyst coated membrane refers to the anion exchange membrane at the interface between the cathode and base compartment which is coated with a catalyst layer at one side. Importantly, this gas diffusion electrode that has the hydrophilic catalyst layer applied directly under the anion exchange membrane is used only on the cathode side in all of the membrane electrolysis cells disclosed herein. FIG.9Bshows a cross sectional view of the bilayer ODC showing how the catalyst layer is in direct contact with a porous diffusion layer. The catalyst layer may be hydrophilic or hydrophobic depending on the operation. Looking closely atFIG.9B, the uppermost layer inFIG.9Bis the anion exchange membrane. In a membrane electrolysis cell as described herein, the anion exchange membrane will be facing the base build up chamber, in the case of the first embodiment (five compartment) membrane electrolysis cell described above. Directly below the anion exchange membrane is a hydrophilic catalyst layer. This hydrophilic catalyst layer is disposed directly on the first gas diffusion layer (GDL). As shown inFIG.9B, below the first gas diffusion layer is an optional second gas diffusion layer. These gas diffusion layers are known in the art and are hydrophobic. The gas diffusion layer may be made of for example, carbon fiber paper, carbon felt, carbon cloth, porous metal structures, or other porous materials which can conduct electrons and provide ability for a gas to diffuse. The reduction reaction of water to produce hydrogen can occur on many different materials depending on the voltage applied to the electrode. Without wishing to be bound by theory, the gas diffusion electrode as disclosed herein may ensure that any hydrogen that is produced due to reduction of water may react with oxygen to produce water. This water is then available to take part in the reduction of oxygen at the cathode to produce OH−. Finally, disposed directly on the second gas diffusion layer is an optional hydrophobic catalyst layer. Importantly, if this optional second catalyst layer is present, it is a hydrophobic catalyst layer. If the optional second gas diffusion layer is not present, the optional hydrophobic catalyst layer may be disposed directly on the opposite side of the first gas diffusion layer from the required hydrophilic catalyst layer. Both the hydrophobic catalyst layer and the hydrophilic catalyst layer comprise a commercially available platinum/carbon powder, as is known in the art. The Pt/C catalyst, also referred to as an electrocatalyst, is mixed with an anion conducting ionomer. The addition of an anion conducting ionomer allows for better transport of OH− ions from the reaction site toward the catalyst layer/membrane interface. It also serves as the binder for the platinum/carbon powder or another electrocatalyst. The binders may be polymers that have both hydrophilic and hydrophobic nature. The binders may be polymers that are exclusively hydrophobic or exclusively hydrophilic. For example, Nafion® (DuPont) ionomer is hydrophobic with hydrophilic pores while Teflon® (DuPont) may be used as a binder as well but is only hydrophobic. The specific nature of the binder should allow gas diffusion, electrical conductivity and ionic conductivity. In most cases, this is a balance of the amount of binder and catalyst. If there is too much polymer, the electrons will not be conducted but if there is too little binder, the catalyst layer will not be stable. The catalyst layer which comprises this mixture is hydrophilic. The anion exchange ionomer may be an ionomer, i.e, a polymer having some amount of ionizable copolymerized monomers. The ionomer may be a dispersed solution in a liquid which is mixed with the catalyst and applied on the gas diffusion layer. An exemplary method of creating the ionomer binder may be to provide the ion exchange membrane is a solvent. This solution may be combined with the catalyst and applied to the gas diffusion layer to produce the catalyst layer and thereby produce the gas diffusion electrode. Some ion exchange membranes may be available as ionomers and do not need to be dissolved. Some such anion exchange membranes are available commercially. Non-limiting examples of such materials are the Fumion® FAA-3 ionomer from FuMA-Tech, which comprises a polyaromatic polymer, quaternary ammonium group(s) and has bromide (Br−) as the counterion, or the anion exchange ionomer from Ionomr (Vancouver, BC, Canada) are suitable, for example. The hydrophilic/hydrophobic character of the gas diffusion electrode used as the oxygen depolarized cathode as described herein is shown schematically inFIGS.10and11. The hydrophilic or hydrophobic nature of the catalyst layers are indicated by the water droplets shown on the schematic diagrams. The anion exchange membrane is not shown inFIGS.10and11.FIG.10shows an embodiment of the gas diffusion electrode wherein the optional hydrophobic catalyst layer is not present. However, since the gas diffusion layer itself is hydrophobic, the water droplet sitting on the gas diffusion layer indicates that the layer is hydrophobic. The non-optional hydrophilic catalyst layer which is disposed directly on the gas diffusion layer and under the anion exchange membrane (not shown) is shown and is reported as hydrophilic by the partially adsorbed water droplet inFIG.10.FIG.11is similar toFIG.10, but does show the optional hydrophobic catalyst layer, indicated by the water droplet that is not adsorbed on the catalyst layer. LikeFIG.10, the anion exchange membrane is not shown, but is understood to be present and disposed on the surface of the hydrophilic catalyst layer opposite the side disposed on the gas diffusion layer. The benefits of the bilayer GDE (i.e., having the hydrophilic catalyst layer deposited directly under the anion exchange membrane and on top of the gas diffusion layer) stem from two distinct functionalities of its structure. First, the unique structure promotes the reaction of oxygen reduction to hydroxyl ions, reaction 12) rather than the undesirable hydrogen gas formation through reaction 13). O2+2H2O+4e−→4OH− 12) 2H2O+2e−→2OH−+H213) Hydrogen gas formation is undesirable because: (a) there is about 1V for the overall cell voltage (i.e. extra energy consumption) when reaction 13) occurs and (b) the hydrogen gas will make the operation of such cells unsafe due to the presence of oxygen gas, hydrogen gas and platinum catalyst at the same time in the cathode compartment. Second, the specific configuration of hydrophilic and hydrophobic layers in this bilayer gas diffusion electrode allows for two simultaneous processes. First, and importantly, the hydrophobic diffusion layer support and the optional hydrophobic bottom catalyst layer (if present) ensure that the humidified gaseous stream (oxygen or air) has easy access to the hydrophilic catalyst layer facing the anion exchange membrane at all times which results in greatly improved mass transport, increasing the output capacity of the membrane electrolysis cell. The hydrophobic catalyst layer (if present) at the bottom of the bilayer gas diffusion electrode also ensures that any possible hydrogen gas formed at the hydrophilic catalyst layer facing the anion exchange membrane is immediately combined with the incoming oxygen to form water. The hydrophobic nature improves the reaction by pushing the water away from the electrode and preventing the catalyst sites from becoming flooded. If the catalyst sites are flooded with water, the oxygen will not be able to reach the cathode and the desired oxygen reduction reaction with water to produce anions will not occur. This is shown schematically inFIG.12. Therefore the hydrophilic catalyst layer facing the anion exchange membrane provides for optimal cell performance and reduced contact resistance, i.e. better ionic contact, while the other (optional) catalyst layer of the gas diffusion electrode must be hydrophobic, (if present) to make sure that any water that may come in with the air or through the anion exchange membrane or is formed through the chemical reaction of oxygen and hydrogen at the Pt catalyst is reacted with oxygen in the gas diffusion layer of the cathode. Thus, hydrogen gas will contact the platinum in the hydrophobic catalyst layer on the bottom of the gas diffusion electrode as it exits the cell. The platinum catalyzes the reaction of the hydrogen and oxygen to water allowing the water to either react with oxygen electrochemically through the oxygen reduction reaction to produce hydroxide ions or to exit the system as water without reacting. FIGS.13and14demonstrate a process for producing the bilayer gas diffusion electrode used as the oxygen depolarized cathode. As shown inFIG.13, the process starts with two separate layers of the hydrophobic gas diffusion layer. In the following discussion, these gas diffusion layers will be called “top” and “bottom” which refers only to their relative positionsFIG.13. The top gas diffusion layer is coated with a catalyst ink to provide the hydrophilic catalyst layer. The ink is a mixture of Pt/C catalyst powder and an anion exchange ionomer (serves as a binder and anion transferring agent) as described above. The bottom gas diffusion layer is likewise coated with a catalyst ink containing a hydrophobic agent, e.g. a polytetrafluoroethylene (PTFE) dispersion. The ink is a therefore a mixture of Pt/C catalyst powder, an anion exchange ionomer, which permits that catalyst layer to transport anion and, a PTFE dispersion (Teflon® is an example of such a material), which serves to render the catalyst layer hydrophobic. Next, the two coated gas diffusion layers are placed together such that the uncoated sides are facing each other. The anion exchange membrane is disposed on the hydrophilic catalyst layer. In operation, it should be understood that completed oxygen depolarization cathode is oriented such that the hydrophilic catalyst layer is facing the anion exchange membrane which may face the base build up compartment, and hydrophobic catalyst layer is therefore facing the incoming gas stream, which comprises O2and preferably is air and more preferably is humid air. Regarding the process as shown inFIG.13, it is clear that instead of two separate gas diffusion layers, each coated separately and then placed together with coated sides to the exterior, another embodiment of the process would be to coat each side of a single gas diffusion layer. FIG.14shows an embodiment of a catalyst coated membrane that could be used with a cathode, and would perform in a similar manner to the bilayer ODC described above. As shown schematically inFIG.14, this embodiment comprises only the critical elements of the ODC. These are the Pt/C catalyst combined with the anion exchange ionomer and the hydrophobic agent as the catalyst ink that is coated onto the alkaline stable anion exchange membrane. In this way, it would be possible to flow O2through the catalyst layer, while the anion exchange membrane faces the base build up chamber. The main advantage of the catalyst coated membrane is the improved contact resistance at the interface between the catalyst layer and membrane. In terms of functionality, it performs in similar manner as to the bilayer GDE or ODC. The oxygen diffusion cathode as described above may optionally comprise a flow channel at the back side of the bilayer ODC. The flow channel serves two purposes. The first is that it provides improved distribution of the gas (air or oxygen). The flow channel can be serpentine, multiple serpentine, parallel flow, or interdigitated. Secondly, the “landing” parts of the flow field also act as pressure points, thereby providing uniform and sufficient contact through the overall cell. An example of a serpentine flow field in cathode compartment is shown inFIG.15Aas a top view.FIG.15Bshows a cross sectional side view of the channels created by such a serpentine flow channel. The dark squares are the contact points of the flow field (i.e. the gas) and the gas diffusion electrode/oxygen depolarized cathode. Thus, the inventive bilayer gas diffusion electrode which preferably is used as the oxygen depolarized cathode in all of the embodiments of the membrane electrolysis cell described here has as part of its inventive feature two layers, unlike the single layer gas diffusion electrode. It is this unique geometry that allows these ODC's to use air, rather than pure oxygen. In addition, the air may be humid or humidified, i.e., ambient undried air may be fed directly to the gas diffusion electrode. The ODC also may use waste gas streams comprising oxygen as the oxygen source, for example an enriched oxygen stream resulting from a nitrogen production process. Regarding all embodiments of the ODC, it may have the following attributes:Porous to allow for gas diffusion therein;Ability to use humidified or humid undried ambient air as the oxygen source;Ability to use waste gas streams comprising oxygen as the oxygen source;Electrically conductive to allow for electrons to move;Ionically conductive to allow the OH− product to diffuse out;It may comprise a catalyst that can catalyze the oxygen reaction, which is shown as reaction 8).Hydrophobicity, i.e. the membranes may be hydrophobic;Hydrophilicity; the membranes may also be hydrophilic;The electrodes may comprise bilayer catalysts, i.e. the individual catalyst layers themselves may have more than one layer and each layer may comprise a different catalyst to enhance their ion transport ability; Regarding the dimensionally stable anode (DSA) it may have the following attributes:May also be a gas diffusion electrode to allow for the optional use of H2gas at the anode.Electrically conductive to allow for electrons to move;Ionically conductive;It may comprise an optional catalyst to catalyze the reactions at the anode. If hydrogen gas is applied to the anode, the reaction is shown as reaction 14). H2→2H++2e−14) Incorporation of Membrane Electrolysis Cell Comprising Gas Diffusion Electrodes into Lithium Recovery Processes A salar brine lithium recovery process which utilizes the membrane electrolysis cell to is shown inFIG.16. As can be seen, the sodium chloride salt extracted from the evaporation stage in lithium brine recovery process is fed into the membrane electrolysis cell as the feed brine. The feed brine then undergoes a depletion process as it passes through the cell. The depletion is a result of the Na+ and OH− ions migrating out of the salt depletion compartment into the base build up and the acid build up compartments, respectively. The ions that migrate out of the salt depletion chamber depend on what ionic species are in the feed brine that is fed to the membrane electrolysis cell. Hence, the desalinated water removed from the salt depletion compartment can be re-concentrated and therefore recycled as feed brine using the readily available salt (NaCl) stockpile from the salts that are precipitated from the salar lake evaporation ponds. The concentration of feed brine plays an important role in providing the mass transfer of the feed brine as well as supplying ions for acid and base generation. Although the membrane cell can be operated at feed brine concentrations as low as 0.1 wt. % of salt, it is beneficial to operate at the maximum available feed brine concentrations. The concentration of product acid and base that are removed from the acid and base build up chambers, respectively, may be adjusted according to the requirements of each particular process. Caustic soda (NaOH) concentrations in the range of 5-20 wt. % may be achieved using the membrane electrolysis cell disclosed herein. As shown inFIG.16, typical uses of the NaOH produced in the membrane cell in a salar brine lithium recovery operation include, but are not necessarily limited to:Neutralization and pH adjustment after the solvent extraction process to recycle the solvent;Provide alkalinity for precipitation and hardness removal;Regeneration of the ion exchange resins used for hardness and metal removal;Conversion of lithium carbonate into lithium hydroxide through caustization process. All of the above-mentioned processes require caustic (NaOH) concentrations in the range of 5-20 wt. % which is within the range achievable by the membrane electrolysis cell. Non-limiting examples of typical uses of the hydrochloric acid that can be produced by the membrane electrolysis cell during a lithium brine recovery process is as follows:Adjustment of pH to remove boron during the solvent extraction process;Regeneration of the ion exchange resins used for hardness and metal removal;Conversion of lithium carbonate into lithium chloride. A concentration of hydrochloric acid for the above-mentioned applications is in the range of 4-12 wt. % which is achievable by the membrane electrolysis cell. A lithium rock mining operation process which incorporates the membrane electrolysis cell is shown inFIG.17. As can be seen, the sodium sulfate (Na2SO4) salt which is the largest by-product of a lithium rock mining operation can be used as a feed brine to the membrane electrolysis cell. As shown inFIG.17, feeding Na2SO4to the membrane electrolysis cell will produce NaOH and H2SO4as the base and acid, respectively. These can be recycled and used as reagents in the lithium recovery process. Sulfuric acid (H2SO4) is the main reagent necessary for extracting the lithium from the ore during the acid roasting process. As shown inFIG.17, this chemical can be regenerated from the readily available sodium sulfate by-product of the lithium ore hard rock mining operation. Likewise, the sodium hydroxide that is produced can be used in a variety of ways in the overall lithium production process. Non-limiting examples of uses of sodium hydroxide during a lithium rock mining operation are as follows:Provide alkalinity for precipitation and hardness removal;Regeneration of the ion exchange resins used for hardness and metal removal;Conversion of lithium sulfate into lithium hydroxide by adding NaOH to the process. All above mentioned processes require NaOH concentrations in the range of 5-20 wt. % which is within the range achievable by the membrane electrolysis cell. FIG.18shows a first embodiment of onsite LiOH and HCl generation from LiCl using the unique membrane electrolysis cell as disclosed herein. In the embodiment shown inFIG.14, the membrane electrolysis cell is used to convert LiCl into LiOH in a lithium recovery from a salar brine process. As shown inFIG.18, the brine feed to the membrane electrolysis cell is an solution of LiCl, which is fed to the salt depletion chamber of the membrane electrolysis cell, shown inFIGS.3-7. Oxygen gas, which is preferably in the form of air is fed to the cathode. The oxygen or air may optionally be humidified, e.g., by bubbling the gas through water before feeding it to cathode. The air may optionally be purified. As shown, the outputs from the cell are LiOH, which is removed from the base build up chamber (FIGS.3-7) and HCl, which is removed from the acid build up chamber (FIGS.3-7). Desalinated water may be optionally removed from the cell, although this stream is not shown inFIG.18. Whether or not desalinated water is removed from the cell depends on the concentration of the feed brine, i.e. the aqueous LiCl solution, as well as the desired concentration of LiOH and HCl that are produced. In an analogous manner, water may optionally be fed to the cell, rather than being removed. Whether or not water is fed to the cell depends on the concentration of the feed brine, i.e. the aqueous LiCl solution, as well as the desired concentration of LiOH and HCl that are produced. As shown inFIG.18, in this embodiment, the HCl can be used to regenerate the ion exchange resins that are used to remove Ca, Mg, Na, and K from the LiCl process stream that enters the cell. The HCl may also be used in the boron removal step to regenerate the ion exchange resin, which is typically after the evaporation/precipitation step near the beginning of the process. The HCl may be used for pH adjustment of the process stream which generates CO2as shown in theFIG.18. The CO2can be combined with a portion of the LiOH product stream, thereby producing a stream that comprises LiOH and Li2CO3. The LiOH/Li2CO3stream can be fed to the precipitation step that removes Ca and Mg, as shown inFIG.18. Importantly, not all of the LiOH product stream is used in this precipitation step, because the LiOH is the desired product. However, the ability to use the LiOH in this way, reduces significantly the need to buy a base such as NaOH or Na2CO3to effect the precipitation removal of Ca and Mg. As a point of reference, based on a test using 6% LiCl stream used as a brine feed, it takes about 150-250 kWh/m3of LiCl brine to reduce the total salt content to 3% when air is used at the ODC. FIG.19depicts a second embodiment implementation of the membrane electrochemical cell in the recovery of lithium from a salar brine. In this embodiment, an aqueous LiCl solution is again the brine feed to the membrane electrolysis cell. In this embodiment, like the first embodiment, the membrane electrolysis cell is used to convert LiCl into LiOH. The aqueous solution of LiCl is fed to the salt depletion chamber of the membrane electrolysis cell, shown in any ofFIGS.3-7in more detail. Oxygen gas, which is preferably in the form of air, is fed to the cathode. The oxygen or air may optionally be humidified, e.g., by bubbling the gas through water before feeding it to cathode and the air may optionally be purified. As shown, the outputs from the cell are LiOH, which is removed from the base build up chamber (FIGS.3-7) and HCl, which is removed from the acid build up chamber (FIGS.3-7). As in the first embodiment, desalinated water may be optionally removed from the cell, although this stream is not shown inFIG.19. Whether or not desalinated water is removed from the cell depends on the concentration of the feed brine, i.e. the aqueous LiCl solution, as well as the desired concentration of LiOH and HCl that are produced. In an analogous manner, water may optionally be fed to the cell, rather than being removed. Whether or not water is fed to the cell depends on the concentration of the feed brine, i.e. the aqueous LiCl solution, as well as the desired concentration of LiOH and HCl that are produced. In this embodiment, all of the LiOH that is produced is removed, i.e. there is not a recycle stream comprising LiOH. However, the HCl stream as in the first embodiment may be recycled and used in the lithium recovery process. As shown inFIG.19, the HCl is used to regenerate the ion exchange resins used to remove the Ca and Mg from the process stream just prior to the stream being fed to the membrane electrolysis cell as the feed brine. The HCl produced may also be used to in the removal of the boron B, after the precipitation step to regenerate the ion exchange resin. FIG.20shows a third embodiment illustrating use of the membrane electrolysis cell in a lithium production process. In this embodiment of the process, a mixed brine solution comprising both LiCl and NaCl are fed to the membrane electrolysis cell. The cell then produces HCl and a mixed LiOH and NaOH solution. This mixed LiOH and NaOH solution is fed to a crystallization/separation step that produces crystalized LiOH and a mixed solution of NaOH and a lower concentration of LiOH than the mixed LiOH and NaOH solution that was fed to the crystallization/separation step. As can be seen inFIG.20, the process is similar to that ofFIGS.16and18, but utilizes the membrane electrolysis cell into an existing operation to produce LiOH from salar brine. In an alternative embodiment, the membrane electrolysis cell may be applied to another waste or recycle lithium chloride stream produced in a conventional lithium operation that does not have sodium, and could convert the lithium chloride to lithium hydroxide and hydrochloric acid. The steps are thus:Step 1: The mixed lithium chloride and sodium chloride stream that is produced from a conventional salar brine processing operation is fed to the membrane electrolysis cell (electrochemical cell) to produce a mixed lithium hydroxide and sodium hydroxide solution.Step 2: The mixed lithium hydroxide and sodium hydroxide are sent to a crystallizer/separator where they are separated due to the large solubility difference between the two salts—the NaOH is much more soluble in water than the LiOH. The crystallization/separation unit may either evaporate and optionally re-condense the water, or may simply effect the precipitation of some of the LiOH by cooling the mixed solution of NaOH and LiOH. The more typical method is simply to evaporate the water. The lithium hydroxide is crystallized while the sodium hydroxide remains in solution. The crystallized lithium hydroxide is ready for market.Step 3: Some lithium hydroxide remains in solution with the sodium hydroxide and is recycled back to the process for use in the precipitation stages.Step 4: Some lithium hydroxide and sodium hydroxide is combined with carbon dioxide to produce a mixed lithium carbonate and sodium carbonate stream which is recycled back to the overall process and used for further precipitation, which can be seen inFIG.20. Taken together, these steps result in a closed or nearly closed loop for sodium hydroxide, sodium carbonate and lithium carbonate by the incorporation of the electrochemical cell (membrane electrolysis cell) into the overall process to recover LiOH from salar brine. Turning next toFIG.21, fourth embodiment use of the membrane electrolysis cell in a lithium production process is shown. As shown inFIG.21, the membrane electrolysis cell is used to convert Li2SO4to LiOH in a process where lithium is produced from a lithium-containing ore. However, a person having skill in the art can appreciate that the brine feed stream which comprises an aqueous solution of Li2SO4does not necessarily have to be from a lithium ore-based process. In certain brine recovery processes it is desirable to convert a Li2SO4solution to a LiOH, and so the membrane electrolysis cell could be used in such a process as well. As shown inFIG.21, the membrane electrolysis cell uses an aqueous solution of Li2SO4. Also fed to the cell, as in the other embodiments, is a gas stream which comprises oxygen. This stream which preferably is air, is fed to the cathode. The oxygen or air may optionally be humidified, e.g., by bubbling the gas through water before feeding it to cathode and the air may optionally be purified. As shown, the outputs from the cell are LiOH, which is removed from the base build up chamber (FIGS.3-7) and H2SO4, which is removed from the acid build up chamber (FIGS.3-7). As in the first embodiment and the second embodiment, desalinated water may be optionally removed from the cell, although this stream is not shown inFIG.21. Whether or not desalinated water is removed from the cell depends on the concentration of the feed brine, i.e. the aqueous Li2SO4solution, as well as the desired concentration of LiOH and H2SO4that are produced. In an analogous manner, water may optionally be fed to the cell, rather than being removed. Whether or not water is fed to the cell depends on the concentration of the feed brine, i.e. the aqueous Li2SO4solution, as well as the desired concentration of LiOH and H2SO4that are produced. In this embodiment, both the LiOH and the H2SO4are recycled back into the lithium recovery process, which mitigates at least some of the need to buy additional reagents. Importantly, only a portion of the LiOH is recycled, since of course the LiOH is a desirable end product. The H2SO4is used in the acid roasting step of ore production, in order to produce the Li2SO4brine solution after the water leaching step. A portion of the LiOH that is produced can be used to precipitate out Ca and Mg from the Li2SO4brine solution after the water leaching step, as shown inFIG.20. FIG.22shows an exemplary embodiment of the use of the membrane electrolysis cell in a closed-loop process in which lithium carbonate (Li2CO3) produced from other methods, for example, lithium carbonate produced from brine operations by precipitation with the use of sodium carbonate or lithium carbonate produced from jadarite (LiNaSiB3O7OH) may be dissolved in hydrochloric acid to produce a lithium chloride solution which is converted to LiOH. The process as shown inFIG.22proceeds as follows:Step 1: Lithium carbonate produced from other methods is converted to lithium chloride by dissolution in hydrochloric acid.Step 2: The lithium chloride is processed through the electrochemical cell to produce lithium hydroxide and hydrochloric acid.Step 3: The hydrochloric acid is recycled back for further conversion of lithium carbonate to lithium chloride resulting in a completely or substantially closed loop system. As shown in the following three exemplary embodiments (FIGS.23,24, and25), the membrane electrolysis cell may also be used in lithium recovery processes that incorporate ion exchange resins. These ion exchange resins may be used either directly to produce LiOH, or they may be used to recycle and/or recover other ionic species during the lithium recovery process. The use of the advantages of these embodiments (and all embodiments disclosed herein) are manifold vis-{acute over (α)}-vis operational and capital cost savings. As discussed above, lithium hydroxide is produced by processing lithium rich brines, such as salar brines, through an extensive process. The water in the brine is allowed to evaporate over a period of 6 to 18 months to concentrate the lithium chloride in the solution to 5 wt. % LiCl or higher and to precipitate out significant sodium, calcium and magnesium salt species, since these are in general less soluble than the LiCl. The lithium chloride-rich brine must then be subjected to a variety of purification steps. These purification steps may include for example: boron removal through a solvent or other means, calcium and magnesium removal through the addition of lime (calcium oxide and/or calcium hydroxide) and caustic soda, soda ash and/or sodium bicarbonate or other species, further calcium and magnesium removal through the addition of soda ash, i.e., sodium carbonate Na2CO3. These processes produce a mixed lithium chloride and sodium chloride stream to which additional soda ash is added resulting in the precipitation of lithium carbonate. The lithium carbonate may then be crystallized. Currently, this crystallized lithium carbonate is often transported to a lithium hydroxide plant where it is converted to lithium hydroxide by adding calcium hydroxide. The lithium hydroxide is then crystallized for sale. There are several process units associated with all these steps and clearly, procuring and maintaining these process units represents significant capital investment as well as on-going operational costs. An ion exchange resin selective to adsorption or binding of lithium can be used to eliminate many of these steps to selectively adsorb lithium from the salar brine (or other source) without the need for time-consuming evaporation or removal of boron, calcium, magnesium, etc. For instance, an ion exchange resin for selectively binding lithium and producing lithium chloride by desorbing the lithium from the resin with HCl could be utilized. A membrane electrolysis cell as disclosed herein can convert the lithium chloride to lithium hydroxide and the hydrochloric acid, which would be recycled back to the ion exchange resin, which the desirable lithium hydroxide is collected. In another embodiment, an ion exchange resin for selective adsorption of lithium could also be used to produce lithium sulfate by regeneration of the resin with sulfuric acid. Analogously, the lithium sulfate would be fed to the electrolysis cell to produce lithium hydroxide and sulfuric acid. The sulfuric acid would be recycled back to the ion exchange resin to produce more lithium sulfate, while the desirable lithium hydroxide is collected. The ion exchange resin would eliminate significant capital and operating expenditures and costs associated with the lithium evaporation ponds and associated downstream transportation. Eliminating the evaporation ponds would also conserve water which is lost to the atmosphere during the evaporation step. Producers would be able to pump the lithium-depleted brine back to the salar brine reservoir, which conserves the water which would be evaporated. This feature is critical from both environmental and legal viewpoints. Chile, for example, where most of the world's lithium brines are located, has strict limits on water usage and the amount of brine lithium producers can pump. The purpose of the regulations is to conserve the scarce water in the Salar desert region of Chile. Therefore, these limits effectively mean that the producers' production of lithium is limited. However, if the lithium-depleted brine from the ion exchange process is pumped back to the reservoirs, much less net brine is pumped, and the producers can increase their production of lithium without exceeding the governmental limits on the amount of salar brine they can pump or amount of water used in the operation. Use of ion exchange resins in the lithium recovery process would also save time, since the evaporation step is slow. Additionally and importantly, the need to purchase reagents necessary for precipitation of calcium and magnesium would be eliminated. The largest cost associated with direct production of lithium with ion exchange resins is with the need to procure HCl which is required to desorb or unbind the lithium ion from the active sites and regenerate the ion-exchange resin. An electrochemical cell as described herein that is capable of converting lithium chloride to lithium hydroxide and hydrochloric acid not only eliminates the need to procure the reagent required for the conversion of lithium chloride to lithium carbonate to lithium hydroxide, but these cells also produce the vital HCl required to extract the lithium from the ion exchange resin. Accordingly, the whole process starting from lithium chloride evaporation to lithium carbonate production and lithium carbonate conversion through to production of lithium hydroxide for use in batteries may be simplified to the use of only an ion exchange resin and an electrochemical cell. In addition, another exemplary use of the membrane electrolysis cells in processes utilizing ion exchange resins to directly adsorb lithium from brine is a process where the ion exchange resin is deployed in the desert where the salar brine is pumped, while the membrane electrolysis cell is in a different location. In this exemplary process the ion exchange resin is removed, transported to the location of the membrane electrolysis cell where the ion exchange resin is regenerated with HCl thus producing LiOH. The ion-exchange resin would then be shipped back to the brine site in the desert. Thus, a used ion-exchange resin would move one way and the regenerated ion exchange resin would move the opposite way. Therefore, in any of the exemplary processes shown inFIG.22,23, or24below, the membrane electrolysis cell could be located in a different location from the ion exchange resin. Non-limiting examples of suitable such ion exchange resins are those that selectively binds lithium or another precious metal based on the pH of the solution. For example, the resin may bind lithium in acid but not in alkali, or vice versa. This allows us to be able to regenerate the resin and extract lithium from it. This allows the producers to be able to regenerate the resin and extract lithium from it. The membrane electrolysis cell then produces the appropriate pH solution to remove the bound ion by providing HCl or NaOH. Such ion exchange resins may also include complexed metal resins such as HnMnOnwhere the H is hydrogen, M is a metal species, O is oxygen and n is an integer. Non-limiting examples include LiAlO2, LiCuO2, among others. The following two embodiments thus demonstrate how the membrane electrolysis cell as disclosed herein may be incorporated into lithium recovery processes in which an ion exchange resin is used to directly produce LiOH. FIG.23shows an exemplary embodiment of a use of the membrane electrolysis cell in a lithium production process where an ion exchange resin is used to selectively adsorb Li from a lithium brine. This lithium brine does not have to be a salar brine—it can be a brine from other industrial processes such as produced water from oil and gas operations, or geothermal brines which sometimes have lithium or naturally occurring saline aquifers, or the brine can be derived from a lithium ion battery recycling process. As can be seen inFIG.23, the membrane electrolysis cell simultaneously produces LiOH, which can be sold, but also produces HCl which is used to remove the Li (as LiCl) from the ion exchange resin, thus regenerating the ion exchange resin. The LiCl is fed to the membrane electrolysis cell to produce the desired LiOH. In an alternative embodiment, lithium sulfate could be produced by using sulfuric acid and producing lithium sulfate which can be used to produce the desired lithium hydroxide in the membrane electrolysis cell. The steps in the process are as follows:Step 1: Lithium containing brine or solution is processed with an ion exchange resin or other adsorbing agent to adsorb lithium out of the brine or solution.Step 2: The lithium containing resin bead or adsorbent is regenerated with hydrochloric acid to produce a lithium chloride solution. The resin or adsorbing agent is regenerated to the proton form by HCl. Alternatively, the resin could be regenerated with sulfuric acid.Step 3: The lithium chloride solution is processed through the electrochemical cell to produce lithium hydroxide and hydrochloric acid. Alternatively, the lithium sulfate solution could be processed through the electrochemical call to produce lithium hydroxide and sulfuric acid.Step 4: The lithium hydroxide is sold to market or otherwise removed from the process while the hydrochloric acid is recycled back to Step 2. FIG.24shows another exemplary use of the membrane electrolysis cell as disclosed herein in which the lithium-containing brine is subjected to a process in which boron is removed before the brine is sent to the ion exchange resin and then to the membrane electrolysis cell. As shown inFIG.23, in this exemplary embodiment, the process steps after the boron removal are:Step 1: Lithium containing brine or solution is processed with an ion exchange resin or other adsorbing agent to adsorb lithium out of the brine or the solution.Step 2: The lithium-containing ion exchange resin beads or other suitable lithium adsorbent is regenerated with hydrochloric acid to produce a lithium chloride solution. The resin or adsorbing agent is regenerated to the proton form by HCl. Note that a lithium depleted solution may be pumped back to the salar reservoir or pond. As noted above, since there are typically water conservation limits in place, particularly in Chile, regarding the amount of salar brine that may be pumped out of the natural reservoirs, if the depleted solution is sent back to the reservoir, the producer may then produce more lithium without exceeding their legal limit regarding the amount of brine that they can pump.Step 3: The lithium chloride solution is processed through the membrane electrochemical cell disclosed herein to produce lithium hydroxide and hydrochloric acid. Alternatively, lithium sulfate is process through the membrane electrochemical cell to produce lithium hydroxide and sulfuric acid.Step 4: The lithium hydroxide is sold to market or otherwise removed from the process while the hydrochloric acid or sulfuric acid is recycled back to Step 2. Note that in the process as shown inFIG.24, air and electricity are fed to the membrane electrolysis cell. The overall reactions at the anode and cathode of the electrolysis are therefore: 2H2O→O2+4H++4e−Anode: O2+2+H2O+4e−→4OH− Cathode: FIG.25shows yet another exemplary embodiment process for use of the membrane electrolysis cell utilizing the inventive gas diffusion electrode at the cathode of the cell. In this process, lithium carbonate and/or bicarbonate is directly converted in the membrane electrolysis cell to lithium hydroxide. In this case, the reactions are as follows: O2+2H2O+4e−→4OH− Cathode: 2H2O→4H++4e−+O2Anode: The lithium carbonate would react with the protons generated at the anode to liberate lithium ions, carbon dioxide and water as follows: 2Li2CO3+4H+→4Li++2CO2+2H2O The liberated lithium ions would be transported towards the cathode to the lithium hydroxide compartment where they would combine with the hydroxide ions produced at the cathode and produce a lithium hydroxide solution. It should be understood that in all of the foregoing Embodiments depicting the use of the inventive membrane electrolysis cell in recovery processes for lithium, that the role of GDEs essentially remain the same between the various applications: to produce OH− ions from a humidified oxygen/air gas stream. Regardless of the salt used as the feed brine (LiCl, NaCl, Na2SO4, Li2SO4), the cathode catalyst on the GDE always plays the same role. Various non-limiting aspects of the invention may be summarized as follows: Aspect 1: A process for recovering Li from a Li source, the process comprising the steps of:receiving, in a membrane electrolysis cell, a salt-containing solution and a gas comprising O2; anddelivering, from the membrane electrolysis cell, recovered Li and/or reagent materials used in the process for recovering Li. Aspect 2: The process of Aspect 1, further comprising:receiving, in the membrane electrolysis cell, byproducts of the process for recovering Li; anddelivering, from the membrane electrolysis cell, reagent materials used in the process for recovering Li. Aspect 3: The process of Aspect 1 or Aspect 2, wherein the membrane electrolysis cell comprisesan inlet through which the salt-containing solution is received into an interior of the membrane electrolysis cell;an anode positioned to extend within the interior of the membrane electrolysis cell and positioned in an anode compartment;a cathode comprising a gas diffusion electrode positioned to extend within the interior of the membrane electrolysis cell and positioned in a cathode compartment, the gas diffusion electrode including a diffusion layer configured to diffuse gas and a hydrophilic catalyst layer disposed on a surface of the diffusion layer, the hydrophilic catalyst layer having a hydrophilicity greater than that of the diffusion layer and the hydrophilic catalyst layer being configured to transport negative ions;a gas inlet positioned in the cathode compartment through which the gas comprising O2is introduced into contact with the gas diffusion electrode;a first ion exchange membrane interposed between the anode compartment and the hydrophilic catalyst layer of the gas diffusion electrode, the first ion exchange membrane being configured to exchange ions received from the anode to an opposed surface of the first ion exchange membrane; andat least one outlet through which the recovered Li and/or reagent materials used in the process for recovering Li is removed from an interior of the membrane electrolysis cell;wherein, in performing the process the salt-containing solution is received into the anode compartment and positive salt ions and negative salt ions are formed from the salt-containing solution in the anode compartment; and wherein the gas comprising O2is reduced at the cathode to form OH−;wherein in performing the process the positive salt ions move through the first ion exchange membrane to the opposed surface of the first ion exchange membrane; andwherein the positive salt ions combine with the OH− to form the recovered Li and/or reagent materials used in the process for recovering Li. Aspect 4: The process of Aspect 3, the diffusion layer having a bi-layer construction formed from a plurality of diffusion sublayers, wherein the diffusion sublayers are hydrophobic and wherein water is transported away from the diffusion sublayers. Aspect 5: The process of Aspect 3, the gas diffusion electrode further comprising a hydrophobic catalyst layer disposed on a surface of the diffusion layer that is opposite from the hydrophilic catalyst layer, the hydrophobic catalyst layer having a hydrophilicity less than that of the diffusion layer and being capable of transporting negative ions, wherein the OH− ions are transported through the hydrophobic catalyst layer. Aspect 6: The process of Aspect 5, the membrane electrolysis cell further comprising:a second ion exchange membrane, the second ion exchange membrane being disposed on the hydrophilic catalyst layer of the gas diffusion electrode and being configured to exchange ions received from the hydrophilic catalyst layer of the gas diffusion electrode to an opposed surface of the second ion exchange membrane;wherein the first and second ion exchange membranes define a base build up compartment interposed between the cathode compartment and the anode compartment;wherein the OH− ions are exchanged through the second ion exchange membrane to the opposed surface of the second ion exchange membrane into the base build up compartment;wherein the OH− ions combine with the positive salt ions in the base build up compartment to form the recovered Li and/or reagent materials used in the process for recovering Li; andwherein the recovered Li and/or reagent materials used in the process for recovering Li is removed from the base buildup compartment. Aspect 7: The process of Aspect 6, the membrane electrolysis cell further comprising:a third ion exchange membrane, the third ion exchange membrane being interposed between the first ion exchange membrane and the anode compartment, wherein the first and third ion exchange membranes define a salt depletion compartment interposed between the anode compartment and the base build up compartment, the third ion exchange membrane being configured to exchange ions received from the salt depletion compartment to an opposed surface of the third ion exchange membrane and into the anode compartment;wherein the salt containing solution is received into the salt depletion compartment and the positive salt ions and the negative salt ions are formed from the salt-containing solution in the salt depletion compartment; andwherein the negative salt ions are exchanged from the salt depletion compartment to the opposed surface of the third ion exchange membrane. Aspect 8: The process of Aspect 7, the membrane electrolysis cell further comprising:a fourth ion exchange membrane, the fourth ion exchange membrane being interposed between the third ion exchange membrane and the anode compartment, wherein the third and the fourth ion exchange membranes define an acid build up compartment interposed between the anode compartment and the salt depletion compartment, the fourth ion exchange membrane being configured to exchange ions received from the anode compartment to an opposed surface of the fourth ion exchange membrane and into the acid build up compartment;wherein H+ ions are formed in the anode compartment and the H+ ions are exchanged from the anode compartment to the opposed surface of the fourth ion exchange membrane into the acid build up compartment;wherein the H+ ions and the negative salt ions together form an acid, wherein the acid comprises the recovered Li and/or reagent materials used in the process for recovering Li; andwherein the acid is removed from the acid build up compartment. Aspect 9: The process of Aspect 8, wherein the first and fourth ion exchange membranes comprise cation exchange membranes and the second and third ion exchange membranes comprise anion exchange membranes. Aspect 10: The process of any of Aspects 1-9, wherein the gas comprising O2is air. Aspect 11: The process of any of Aspects 1-9, wherein the gas comprising oxygen is a waste stream from a nitrogen producing operation. Aspect 12: The process of any of Aspects 1-11, wherein the Li source comprises a salar brine and the recovered Li comprises at least one of LiOH, Li2CO3, and/or LiCl. Aspect 13: The process of any of Aspects 1-12, wherein in the receiving step the byproducts of the process for recovering Li comprise NaCl precipitated from the salar brine; and in the delivering step the reagent materials used in the process for recovering Li comprise HCl and NaOH. Aspect 14: The process of any of Aspects 1-13 wherein the reagent materials are used to regenerate and/or desorb ions from an ion exchange resin used in the process for recovering lithium. Aspect 15: The process of Aspect 13 wherein at least one of the HCl and the NaOH are used to regenerate and/or desorb ions from an ion exchange resin used in the process for recovering lithium. Aspect 16: The process of any of Aspects 1-14, wherein the lithium source comprises lithium ore and the recovered lithium comprises at least one of LiOH, Li2CO3, and/or Li2SO4. Aspect 17: The process of Aspect 16, wherein the recovered lithium comprises LiOH and the process further comprises a step of recycling a portion of the LiOH into the process for recovering lithium. Aspect 18: The process of Aspect 17, further comprising a step of precipitating at least one of calcium and magnesium with the portion of the LiOH. Aspect 19: The process of Aspect 17, further comprising a step of reacting the portion of the LiOH with CO2to produce Li2CO3, and further comprising a step of precipitating at least one of calcium and magnesium with the Li2CO3. Aspect 20: The process of any of Aspects 1-16, wherein in the receiving step the byproducts of the process for recovering Li comprise Na2SO4and in the delivering step the reagent materials used in the process for recovering lithium comprise H2SO4and NaOH. Aspect 21: The process of any of Aspects 1-14, wherein the lithium source comprises a salar brine and in the receiving step the salt-containing solution comprises LiCl and in the delivering step the recovered lithium comprises LiOH and the reagent materials used in the lithium recovery process comprise HCl. Aspect 22: The process of Aspect 21, further comprising recycling a portion of the LiOH into the process for recovering lithium. Aspect 23: The process of either of Aspect 21 or Aspect 22, further comprising a step of reacting the portion of the LiOH with CO2to produce Li2CO3, and further comprising a step of precipitating at least one of calcium and magnesium with the Li2CO3. Aspect 24: The process of any of Aspects 1-14, wherein the lithium source comprises a salar brine and in the receiving step the salt-containing solution comprises LiCl and NaCl and in the delivering step the recovered lithium comprises LiOH and the reagent materials used in the lithium recovery process comprise HCl and NaOH. Aspect 25: The process of Aspect 20, further comprising recycling a portion of the LiOH into the lithium recovery process. Aspect 26: The process of any of Aspects 1-16, wherein the lithium source comprises lithium ore and in the receiving step the salt-containing solution comprises Li2SO4from the lithium ore and in the delivering step the recovered lithium comprises LiOH and the reagent materials used in the lithium recovery process comprise H2SO4. Aspect 27: The process of Aspect 18, wherein the salt-containing solution comprising LiCl is derived by contacting salar brine with an ion exchange resin, wherein the ion exchange resin is configured to adsorb lithium from the salar brine and to desorb the adsorbed lithium in the form of the solution comprising the LiCl in the presence of the HCl. Aspect 28: The process of Aspect 26, wherein the salt-containing solution comprising Li2SO4is derived by contacting a lithium containing-stream derived from the lithium ore with an ion exchange resin, wherein the ion exchange resin is configured to adsorb lithium from the lithium containing-stream derived from the lithium ore and to desorb the adsorbed lithium in the form of the solution comprising the Li2SO4in the presence of the H2SO4. Aspect 29: The process of Aspect 22, wherein the ion exchange resin is further configured to adsorb lithium directly from the salar brine. Aspect 30: The process of Aspect 22, wherein the process further comprises a step of removal of boron from the salar brine prior to contacting the salar brine with the ion exchange resin. Aspect 31: The process of any of Aspects 1-16, wherein the lithium source comprises Li2CO3. Aspect 32: The process of Aspect 31, wherein in the receiving step the salt-containing solution comprises LiCl or Li2SO4. Aspect 33: The process of Aspect 32, wherein in the delivering step the recovered lithium comprises LiOH and the reagent materials used in the lithium recovery process comprise HCl. Aspect 34: The process of Aspect 32, wherein in the delivering step the recovered lithium comprises LiOH and the reagent materials used in the lithium recovery process comprise H2SO4. Aspect 35: The process of Aspect 33, wherein the process further comprises recycling the HCl to dissolve the Li2CO3to produce the LiCl. Aspect 36: The process of Aspect 34, wherein the process further comprises recycling the H2SO4to dissolve the Li2CO3to produce the Li2SO4. Aspect 37: The process of any of Aspects 1-11, wherein the lithium source comprises a brine derived from a lithium ion battery recovery process. Aspect 38: A gas diffusion electrode for use in a membrane electrolysis cell, the gas diffusion electrode comprising:a diffusion layer configured to diffuse a gas;a hydrophilic catalyst layer disposed on a surface of the diffusion layer, the hydrophilic catalyst layer having a hydrophilicity greater than that of the diffusion layer and being capable of transporting negative ions; andan ion exchange membrane disposed on a surface of the hydrophilic catalyst layer, the ion exchange membrane being configured to exchange ions from the hydrophilic catalyst layer to an opposed surface of the ion exchange membrane. Aspect 39: The gas diffusion electrode of Aspect 38, the diffusion layer having a bi-layer construction formed from a plurality of diffusion sublayers. Aspect 40: The gas diffusion electrode of either of Aspect 38 or Aspect 39, further comprising a hydrophobic catalyst layer disposed on a surface of the diffusion layer that is opposite from the hydrophilic catalyst layer, the hydrophobic catalyst layer having a hydrophilicity less than that of the diffusion layer and being capable of transporting negative ions. Aspect 41: The gas diffusion electrode of any of Aspects 38-40, the hydrophilic catalyst layer comprising platinum and carbon and an anion exchange ionomer. Aspect 42: The gas diffusion electrode of either of Aspect 40 or Aspect 41, the hydrophobic catalyst layer comprising platinum and carbon and an anion exchange ionomer. Aspect 43: The gas diffusion electrode of any of Aspects 40-42, the hydrophobic catalyst layer comprising PTFE. Aspect 44: The gas diffusion electrode of any of Aspects 40-43, at least one of the hydrophilic catalyst layer and the hydrophobic catalyst layer being configured as an oxygen depolarized cathode to catalyze the following reaction: O2+2H2O+4e−→4OH−. Aspect 45: The gas diffusion electrode of any of Aspects 38-44, said ion exchange membrane being an anion exchange membrane, thereby forming a cathode. Aspect 46: A method of producing a gas diffusion electrode for use in a membrane electrolysis cell, the method comprising:disposing a hydrophilic catalyst layer on a surface of a diffusion layer, the hydrophilic catalyst layer having a hydrophilicity greater than that of the diffusion layer; anddisposing an ion exchange membrane on a surface of the catalyst layer, the ion exchange membrane being configured to exchange ions from the catalyst layer to an opposed surface of the ion exchange membrane and to reduce or prevent flooding of the catalyst layer. Aspect 47: The method of Aspect 46, further comprising disposing a hydrophobic catalyst layer on a surface of the diffusion layer that is opposite from the hydrophilic catalyst layer, the hydrophobic catalyst layer having a hydrophilicity less than that of the diffusion layer. Aspect 48: The method of either of Aspect 46 or Aspect 47, the diffusion layer having a bilayer construction formed from a plurality of diffusion sublayers, the hydrophilic catalyst layer disposing step including disposing the hydrophilic catalyst layer on a surface of one of the diffusion sublayers, and the hydrophobic catalyst layer disposing step including disposing the hydrophobic catalyst layer on an opposite surface of another one of the diffusion sublayers. Aspect 49: The method of any of Aspects 46-48, further comprising combining the diffusion sublayers to form the diffusion layer. Aspect 50: The method of any of Aspects 46-49, at least one of the hydrophilic catalyst layer and the hydrophobic catalyst layer being formed from an ink and at least one of the hydrophilic catalyst layer disposing step and the hydrophobic catalyst layer disposing step comprising applying the ink on the surface of the diffusion layer. Aspect 51: A membrane electrolysis cell for processing a salt-containing solution, the membrane electrolysis cell comprising:an inlet through which the salt-containing solution is introduced into an interior of the membrane electrolysis cell;an anode positioned to extend within the interior of the membrane electrolysis cell and positioned in an anode compartment;a cathode comprising a gas diffusion electrode positioned to extend within the interior of the membrane electrolysis cell and positioned in a cathode compartment, the gas diffusion electrode including a diffusion layer configured to diffuse gas and a hydrophilic catalyst layer disposed on a surface of the diffusion layer, the hydrophilic catalyst layer having a hydrophilicity greater than that of the diffusion layer and the hydrophilic catalyst layer being configured to transport negative ions;a gas inlet through which a gas comprising O2is introduced into contact with the gas diffusion electrode;a first ion exchange membrane interposed between the anode compartment and the hydrophilic catalyst layer of the gas diffusion electrode, the first ion exchange membrane being configured to exchange ions received from the anode to an opposed surface of the first ion exchange membrane; andat least one outlet through which a product of the salt solution is removed from an interior of the membrane electrolysis cell. Aspect 52: The membrane electrolysis cell of Aspect 51, further comprisinga second ion exchange membrane, the second ion exchange membrane being disposed on the hydrophilic catalyst layer of the gas diffusion electrode and being configured to exchange ions received from the hydrophilic catalyst layer of the gas diffusion electrode to an opposed surface of the third ion exchange membrane;wherein the first and second ion exchange membranes define a base build up compartment interposed between the cathode compartment and the anode compartment. Aspect 53: The membrane electrolysis cell of Aspect 52, further comprisinga third ion exchange membrane, the third ion exchange membrane being interposed between the first ion exchange membrane and the anode compartment, wherein the first and third ion exchange membranes define a salt depletion compartment interposed between the anode compartment and the base build up compartment, the third ion exchange membrane being configured to exchange ions received from the salt depletion compartment to an opposed surface of the third ion exchange membrane and into the anode compartment. Aspect 54: The membrane electrolysis cell of Aspect 53, further comprising:a fourth ion exchange membrane, the fourth ion exchange membrane being interposed between the third ion exchange membrane and the anode compartment, wherein the third and the fourth ion exchange membranes define an acid build up compartment interposed between the anode compartment and the salt depletion compartment, the fourth ion exchange membrane being configured to exchange ions received from the anode compartment to an opposed surface of the fourth ion exchange membrane and into the acid build up compartment. Aspect 55: The membrane electrolysis cell of Aspect 54 wherein the first and fourth ion exchange membranes comprise cation exchange membranes and the second and third ion exchange membranes comprise anion exchange membranes. Aspect 56: The membrane electrolysis cell of any of Aspects 51-55 wherein the hydrophilic catalyst layer comprises platinum and carbon and an anion exchange ionomer. Aspect 57: A process for purifying or concentrating LiOH using a membrane electrolysis cell, the steps of the process comprising the steps of:receiving, in a membrane electrolysis cell, a feed solution comprising LiOH and a gas comprising O2; anddelivering, from the membrane electrolysis cell, a product solution comprising a purified LiOH solution and/or a concentrated LiOH solution. Aspect 58: A process for producing LiOH using a membrane electrolysis cell, the steps of the process comprising the steps of:receiving, in a membrane electrolysis cell, a feed solution comprising Li2CO3and a gas comprising O2; anddelivering, from the membrane electrolysis cell, a product solution comprising a purified LiOH solution and/or a concentrated LiOH solution. EXAMPLES Experiments were conducted to demonstrate the effect of the inventive bilayer gas diffusion electrodes used as the oxygen depolarized cathodes compared to the single layer ODC. FIG.26shows a current vs. time plot for a membrane electrolysis cell utilizing a single layer ODC. As can be seen in the plot, a single layer GDE using O2at the cathode after about 11 hours of testing starts to produce H2which is an indication of the ineffectiveness of the GDE to promote the reaction: O2+2H2O+4e−→4OH−. FIGS.27and28, respectively, demonstrate the effectiveness of the bilayer ODC's used with either O2at the cathode or air as the oxygen source at the cathode. Using either O2or air, it can be seen that hydrogen is not evolved, even after more than 30 hours of continuous operation. In some embodiments, the invention herein can be construed as excluding any element or process that does not materially affect the basic and novel characteristics of the composition or process. Additionally, in some embodiments, the invention can be construed as excluding any element or process not specified herein. As noted previously, although the invention is illustrated and described herein with reference to specific embodiments, the invention is not intended to be limited to the details shown. Rather, various modifications may be made in the details within the scope and range of equivalents of the claims and without departing from the invention. Within this specification, embodiments have been described in a way which enables a clear and concise specification to be written, but it is intended and will be appreciated that embodiments may be variously combined or separated without departing from the invention. For example, it will be appreciated that all preferred features described herein are applicable to all aspects of the invention described herein. While preferred embodiments of the invention have been shown and described herein, it will be understood that such embodiments are provided by way of example only. Numerous variations, changes and substitutions will occur to those skilled in the art without departing from the spirit of the invention. Accordingly, it is intended that the appended claims cover all such variations as fall within the spirit and scope of the invention. | 111,263 |
11859298 | DETAILED DESCRIPTION Following below are more detailed descriptions of various concepts related to, and implementations of, systems and methods for producing low carbon hydrogen from a waste energy product. Before turning to the figures, which illustrate certain exemplary embodiments in detail, it should be understood that the present disclosure is not limited to the details or methodology set forth in the description or illustrated in the figures. It should also be understood that the terminology used herein is for the purpose of description only and should not be regarded as limiting. “Clean hydrogen” is defined in recent legislation as “hydrogen produced with a carbon intensity equal to or less than 2 kilograms of carbon dioxide-equivalent produced at the site of production per kilogram of hydrogen produced.” Infrastructure Investment and Jobs Act, H. R. 3684, 117th Cong., Nov. 15, 2021, Pub. L. 117-58, 135 Stat. 1015. As utilized herein, the terms “low carbon hydrogen” or “clean hydrogen” refer to hydrogen produced with a carbon intensity equal to or less than about two kilograms of carbon dioxide equivalent produced at the site of production per kilogram of hydrogen produced. Further, the expression “hydrogen,” whether or not accompanied by a modifier, e.g., “low carbon” or “clean,” includes forms of hydrogen such as dihydrogen (H2). Referring to the figures generally, the various embodiments disclosed herein relate to systems, apparatuses, and methods for producing low carbon hydrogen using waste energy and/or wastewater. In some embodiments, the waste energy is supplied by associated gas that is produced as a byproduct of oil extraction. A waste energy powered hydrogen production system includes a generator set for producing electrical energy from the waste energy source (e.g., associated gas, flare gas, etc.) and an electrolyzer. The electrolyzer is configured to be powered by the generator set and configured to receive oil extraction wastewater for the production of hydrogen. In some embodiments, the electrolyzer is configured to be powered by a utility, a renewable energy, or another source of energy. In some embodiments, the electrolyzer is configured to receive fresh water for the production of hydrogen. In some embodiments, the hydrogen production system produces low carbon hydrogen by burning a waste fuel source (e.g., associated gas) and utilizing a wastewater source (e.g., wastewater from oil extraction). In some embodiments, the hydrogen production system produces low carbon hydrogen by utilizing a utility and/or renewable energy source and a wastewater source (e.g., wastewater from oil extraction). In some embodiments, the hydrogen production system produces low carbon hydrogen by burning a waste fuel source (e.g., associated gas) and utilizing a fresh water source. In some embodiments, the hydrogen production system reduces the amount of associated gas that is flared. As shown inFIG.1, an oil extraction system100includes an oil well and extraction apparatus (e.g., an oil extractor)104that is arranged to produce a flow of oil108for packaging and transport112. In some embodiments, the oil well and extraction apparatus104includes hydraulic fracturing equipment used in an extraction process called fracking. The production of the flow of oil108results in a corresponding flow of associated gas114. Associated gas is generally considered a waste product in some installations. For example, in some locations, no pipeline or other transportation architecture exists to transport associated gas to a refinery for processing into usable fuel (e.g., natural gas that is sufficiently refined so as to be suitable for delivery for end applications such as heating systems for buildings). In some embodiments, the associated gas is a natural gas including methane, propane, butane and/or other gases and compounds. The associated gas is susceptible to including entrained water or other contaminants that are not conducive to combustion. The Energy Information Association's 2019 Report on Energy Production reported that 500 billion cubic feet of associated gas was produced in the U.S. and that approximately 60% of that volume was produced in Texas, New Mexico, and North Dakota. In some embodiments, an injection well122is configured to cause a reinjection portion118of the associated gas114to be reinjected into the oil well and extraction apparatus104. The oil extraction system100is configured to send excess associated gas114to a flare apparatus126for combustion (e.g., flaring). The oil extraction system100is configured to reduce the incidence of gas flaring. In some embodiments, an onsite gas processing unit (processor)130is arranged to receive the associated gas114. The onsite gas processing unit130is collocated with the oil well and extraction apparatus104such that gas processing does not require a pipeline or transportation to an offsite processing plant. In some embodiments, the onsite gas processing unit130is configured for large particle impurity removal via filtering, scrubbing, heating, cooling, etc. The onsite gas processing unit130is configured to include one or more oil separators (e.g., mechanical, thermodynamic, etc.) in some embodiments. In some embodiments, the onsite gas processing unit130includes a gas sweetening system (gas sweetener) to remove compounds. The compounds may include but are not limited to hydrogen sulfide and carbon dioxide. In some embodiments, the onsite gas processing unit130includes a dehydration system configured to remove excess water from the gas. In some embodiments, the onsite gas processing unit130includes a mercury removal system. In some embodiments, the onsite gas processing unit130includes a nitrogen rejection system to remove nitrogen. In some embodiments, the onsite gas processing unit130includes a natural gas liquid recovery system. In some embodiments, the onsite gas processing unit130includes a fractionalization system (e.g., a continuous spin fractionation apparatus) to isolate particular compounds for use downstream of the onsite gas processing unit130. In some embodiments, the onsite gas processing unit130includes each of, or any combination of, the foregoing subsystems (namely, one or more oil separators, the gas sweetening system, the dehydration system, the mercury removal system, the nitrogen rejection system, the natural gas liquid recovery system or the fractionalization system). For example, the onsite gas processing unit130in some embodiments may lack a mercury removal system, a natural gas liquid recovery system, or a fractionalization system. In some embodiments, the onsite gas processing unit130is configured to communicate with a plurality of sensors respectively configured to sense an amount of one or more elements or compounds variously associated with one or more of the oil separators, the gas sweetening system, the dehydration system, the mercury removal system, the nitrogen rejection system, the natural gas liquid recovery system or the fractionalization system. For example, in some embodiments, the onsite gas processing unit130is configured to communicate with a nitrogen sensor which is communicated with or part of the nitrogen rejection system and/or a mercury sensor communicated with or part of the mercury removal system. The onsite gas processing unit130is configured to receive associated gas and provide a combustible fuel product. The combustible fuel product provided by the onsite gas processing unit130is a waste product in the oil extraction process. The process of oil extraction also produces wastewater134. The wastewater134presents a significant cost. Converting the wastewater134to hydrogen presents a solution for oil producers that recoups value from the wastewater while reducing the volume of wastewater the oil producer must pay to treat. Therefore, the usage of wastewater134for the production of hydrogen can be advantageous in combination with associated gas usage, or independently of associated gas usage. In some embodiments, the waste powered hydrogen production system136includes a water treatment system138structured to treat the wastewater from the oil extraction system100. A waste powered hydrogen production system136includes a generator set142structured to receive associated gas114from the oil extraction system100and to produce electrical power by combustion of the gas114, and an electrolyzer146structured to receive wastewater134from the oil extraction system100, receive the electrical power produced by the generator set142, and to produce hydrogen therefrom. A waste energy powered hydrogen production system136is positioned onsite with the oil well and extraction apparatus104. The waste energy powered hydrogen production system136is configured to use the waste products of the oil extraction process (e.g., the associated gas114and the wastewater134). In particular, the waste products are used to produce low carbon hydrogen for storage and transport offsite. The hydrogen production system136includes a water treatment system138. The water treatment system138is structured to treat the wastewater134from the oil well and extraction apparatus104. The waste energy powered hydrogen production system136is further configured to include a generator set (also called a “genset”)142that is configured to be powered by the combustible fuel product produced by the onsite gas processing unit130. The generator set142is configured to generate electrical power. In some embodiments, the generator set142is structured to be housed in a first mobile container. The waste energy powered hydrogen production system136further includes an electrolysis unit (an electrolyzer)146that is powered by the electrical power produced by the generator set142. The electrolysis unit146is configured to process the treated wastewater to produce hydrogen150. In some embodiments, the electrolyzer146is structured to be housed in a second mobile container. Hydrogen produced by the electrolysis unit146is storable onsite until ready for transportation152and distribution. Existing solutions to reduce or eliminate flare gas attempt to capture and export natural gas (NG), natural gas liquids (NGL), or electricity. For various reasons, significant infrastructure investment in existing solutions, especially for remote and dispersed sites, is lacking. Hence, flaring continues to be significant. The present disclosure describes techniques to reduce flaring. For example, the waste energy powered hydrogen production system136provides a solution that can produce a commodity (e.g., low carbon hydrogen) onsite (e.g., collocated with the oil well and extraction apparatus104). In some embodiments, the components of the waste energy powered hydrogen production system136can be containerized. In some embodiments, the waste energy powered hydrogen production system136includes one or more housings or containers. For example, the wastewater treatment system138is configured to be housed in a first container. The generator set142is configured to be housed in a second container. The electrolysis unit146is configured to be housed in a third container. In some embodiments, each housing is an ISO standard container (12.192 m) or a high cube storage container (16.15 m in length). In some embodiments, the waste energy powered hydrogen production system136includes a single housing or container. In some embodiments, the generator set142and the electrolyzer146are structured to be housed in a single mobile container. In some embodiments, water evaporator trailer138A, water condenser trailer138B, and water transportation trailers152A,152B are all 16.5 m-long shipping containers whereas each of the electrolyzer modules146A,146B, water storage, compression, and refueling components150A-150D, as well as the system control and switchgear200, are stored in ISO containers of 12.192 m in length. In some embodiments where the waste energy powered hydrogen production system136is provided with a single housing or container, one or more of the wastewater treatment system138, the generator set142, and the electrolysis unit146are housing in the same housing or container. In some embodiments, each of the wastewater treatment system138, the generator set142and the electrolysis unit146is housed in a common housing or container. In some embodiments, two or more components of the waste energy powered hydrogen production system136are housed in a common housing or container. In some embodiments, the generator set142and the electrolysis unit146are provided in a first common housing or container, and the wastewater treatment system138is provided in a second housing or container. In some embodiments, one or more, or any of the housings or containers, is configured to be mobile. Thus, in some embodiments, a housing of the generator set142is a mobile container. In some embodiments, a housing of the generator set142is a mobile container and a housing of the electrolysis unit146is a mobile container. In some embodiments, the generator set142is a mobile container, and the generator set142and electrolysis unit146are housed in a common mobile container. Packaging of the waste energy powered hydrogen production system136in ISO containers drastically reduces shipping and transportation costs associated with delivering the waste energy powered hydrogen production system136to the site of the oil well and extraction apparatus104. In some embodiments, the generator set142and the electrolysis unit146are housed in mobile containers (e.g., including wheels or other tractive elements to allow overland hauling by a vehicle such as a semi-tractor). Additionally, the containerized components are configured to provide self-contained housings protecting machinery and control equipment for environmental elements (e.g., rain, wind, ice, extreme temperatures, etc.). As shown inFIG.2, an exemplary implementation of the oil extraction system100includes three generator sets142A-C. In some embodiments, the second generator set142B received the associated gas114. In some embodiments, the first generator set142A receives an additional commercial output such as y-grade or raw make natural gas liquids (NGL). In some embodiments, the water treatment system includes an evaporator (e.g., a water evaporator138A) and a condenser (e.g., a water condenser138B). In some embodiments, the water evaporator138A utilizes waste heat from a combined heat and power (CHP) system to increase efficiency of the water evaporator138A. The generator sets142A-C provide electrical power to an electronic fracking or e-Frac generator set package144that provides power to a fracking system (e.g., pressure pumps, etc.). Drained waste solids139(e.g., a concentrate, brine, etc.) are removed form the water evaporator138A. The waste solids139can be mixed with the balance of produced water134that is not used for hydrogen production and disposed though existing water management systems at the oil and gas production site, or sold for fracking operations where this waste solid139(e.g., concentrated salt) is currently used and has market value. In some embodiments, the waste powered hydrogen production system136further includes a controller or control system200and switchgear in communication with both of the generator set142and the electrolyzer146. In some embodiments, the controller200and the switchgear are housed in a single mobile container. In some embodiments, the control system200including switchgear receives and distributes electrical power to the oil extraction system100. In some embodiments, the electrolyzer146includes an electrical module146A housed in a first mobile container and a processing module146B housed in a second mobile container. The electrical module146A receives and controls electrical power and the processing module146B is powered by the electrical module146A and processes water received from the water condenser138B. The processing module146B produces hydrogen and provides it to a hydrogen compressor150A. In some embodiments, the processing module146B also consumes nitrogen from a nitrogen supply. The compressed hydrogen is provided to a storage unit150B before refueling stations150C,150D fill transports152A,152B. In some embodiments, the refueling stations150C,150D also consume nitrogen from a nitrogen supply. In some embodiments, more or less generator sets142A-C are included in the oil extraction system100based on the power required by the system. As discussed above, the oil extraction system100can include onsite gas processing130and/or physical water filtration. Further, in some embodiments, the oil extraction system100can include other systems for processing the waste materials (e.g., the associated gas114, the wastewater134, etc.). In some embodiments, the module or units of the oil extraction system100are containerized (i.e., provided in ISO containers, trailers) or otherwise mobile so that they can be readily arranged and installed on site. As shown inFIGS.3and4, an oil extraction system100′ is similar to the oil extraction system100discussed above, and includes a utility connection143. In some embodiments, the utility connection143includes at least one switchgear connected to one or more of a utility grid, a hydroelectric dam, a wind generator, a solar array, a battery bank, and/or another renewable energy source. In some embodiments, one or more of the generator sets142A-C discussed above can be eliminated when the utility connection143is available. In some embodiments, the oil extraction system100or100′ includes both the generator set(s)142and the utility connection143. The oil extraction system100′ can advantageously provide a way to reduce wastewater134waste or disposal costs while producing hydrogen when suitable associated gas114is not available to power generator sets142. In this way, the oil extraction system100, the oil extraction system100′, or any combinations of the features described herein can be used to produce clean hydrogen in a wide variety of situations. In some embodiments, the waste powered hydrogen production system136′ includes the water treatment system138A,B structured to receive associated gas114and wastewater134from the oil extraction system100′. The waste powered hydrogen production system136′ includes the utility connection143structured to receive electrical power from a utility, and the electrolyzer146A,146B structured to receive treated wastewater from the water treatment system138A,138B. The electrolyzer146A,146B is further structured to receive electrical power from the utility connection143, and produce hydrogen using the treated wastewater and the electrical power. As shown inFIG.5, legend153shows factors that can affect how an oil extraction system (e.g.,100,100′, etc.) is configured. For example, the availability of associated gas114and the quality of the associated gas, the water processing138, the generator set142, the electrolyzer146, and the utility feed143can influence oil extraction system100,100′.FIGS.6and7each depict exemplary configuration aspects where, in each ofFIG.6andFIG.7, a bottom-left quadrant thereof describes factors relating to fresh water and associated gas, an upper-left quadrant describes factors relating to fresh water and flared associated gas, a bottom-right quadrant describes factors relating to produced water and associated gas, and an upper-right quadrant describes factors relating to produced water and flared associated gas. In bothFIGS.6and7, there may be little or no shale play (composed of known or unknown gas and oil reserves) available. As shown inFIG.6, in a first configuration154, the oil extraction system100is implemented when the associated gas114is of suitable quality and/or no utility connection143is available. In some embodiments, the oil extraction system100is arranged in the first configuration154(see the upper right quadrant A ofFIG.6) when flared associated gas and either produced water or waste water134is available. In configuration A, both waste products (e.g., associated gas and wastewater) are used to produce clean hydrogen and reduce the amount of associated gas that is flared and the amount of wastewater than is treated or discarded. Intermittency adversely affects asset utilization and can increase costs, which can be mitigated through the use of raw gas (whether purchased or otherwise available). Alternative situations (shown in the upper left quadrant B and lower right quadrant C ofFIG.6) can be suitable for implementation of the oil extraction system100. For example, the configuration in the upper left quadrant B may be used to reduce the amount of associated gas114that is flared, without reducing wastewater134. Alternatively, the lower right quadrant C can allow for wastewater134to be processed, but no flaring is reduced. In quadrants A and C, the inputs/outputs include 800 MCFD and 170 BBL per ton of H2, whereas in quadrant B, the inputs/outputs include 800 MCFD and 103 BBL per ton of H2. In quadrant A, gas is used for power, whereas in quadrants B and C, gas is used for both water and power (where in quadrant C, the gas is purchased gas). As shown inFIG.7, in a second configuration155, the oil extraction system100′ is implemented when the utility connection143is available. In some embodiments, the oil extraction system100′ is arranged in the second configuration155(see the upper right quadrant D ofFIG.7) when flared associated gas114, the utility connection143, and produced or waste water134are available. The inputs/outputs include 67 MWh (2.8 MWs), 110MCFD and 117BBL per ton of H2. In the upper right quadrant D configuration, both waste products (e.g., associated gas and wastewater) are used to produce clean hydrogen and reduce the amount of associated gas that is flared and the amount of wastewater than is treated or discarded. Alternative situations in the upper left quadrant E and lower right quadrant F can be suitable for implementation of the oil extraction system100′. For example, the configuration shown in the upper left quadrant E, with inputs/outputs of 67 MWh (2.8 MWs) and 103 BBL per ton of H2) may be used to reduce the amount of associated gas114that is flared, but does not reduce wastewater134, and the intermittency may be mitigated through use of the utility. Alternatively, the lower right quadrant F (with the same inputs/outputs as for quadrant D) provides a configuration where wastewater134can be processed, but no flaring is reduced, and there can be a higher cost as compared to quadrant D. For quadrants D and F, gas is used for water, whereas in quadrant E, gas is used for power. For the situations shown in quadrants D and F, intermittency may not occur if the processed water is stored and the utility feed is continuous. As shown inFIGS.8and9, the generator set142is structured to receive associated gas from the oil well and extraction apparatus104and to produce electrical power by combustion of the associated gas114. In some embodiments, the generator set142includes two prime movers158for burning fuel provided by the onsite gas processing unit130. The prime movers158are configured to produce mechanical energy. Each prime mover158is mechanically coupled to an alternator162to transform the mechanical energy into electrical power. In some embodiments, the generator set142include two QSK60 gas gensets made by Cummins, Inc. of Columbus, Ind. In some embodiments, the generator set142includes a housing defining a given length, width and height. For example, in some embodiments, the generator set142has a length of about 18.288 m, a width of about 2.59 m, and a height of about 2.896 m. In some embodiments, the housing may be compatible with ISO shipping containers. In some embodiments, any or all of the length, width and height differs in dimensions from those noted above. In some embodiments, the generator set142is configured to provide an electrical power output of about 2800 kW. In some embodiments, the generator set142includes cold weather proofing. The generator set142is configured to operate in an ambient temperature of negative forty degrees Celsius (−40° C.). In some embodiments, the generator set142includes a natural gas heater with individual heat exchange circuits for engine coolant and engine oil. The cold weather arrangement is configured to reduce parasitic energy losses, and improve setup time when the waste energy powered hydrogen production system136is installed in cold weather locations. In some embodiments, the generator set142includes one or more variable frequency drive (VFD) controlled fans. The fans are configured to maintain optimal temperatures within the generator set housing. In some embodiment, three-piece radiators are utilized with the VFD fans to provide relatively low power consumption. The radiators may be configured in a cube structure conducive to fracking applications. In some embodiments, the generator set142includes cam-lock style electrical connections, and an isolation switch for medium voltage (MV) and/or high voltage (HV) configurations. In some embodiments, the isolation switch is configured to accommodate voltages in a range of from about 4160V to about 13, 800V for example. As shown inFIG.10, the electrolysis unit146(which can be a HyLYZER® made by Cummins Inc. of Columbus, Ind., e.g., a HyLYZER® 500 electrolyzer) is structured to receive wastewater134from the oil well and extraction apparatus104. The electrolysis unit146is powered by the electrical power produced by the generator set142. The electrolysis unit146is configured to produce hydrogen for storage150and transportation152. The electrolysis unit146provides for stack balancing with circuitry. The circuitry includes a transformer, a rectifier, and a de-ionized water circuit, for example. Additionally, associated controls and software are provided to carry out balancing. The electrolysis unit146also provides for plant balancing with rectifier cooling, gas cooling, and a water purification system (a purifier), etc. The electrolysis unit146includes a control panel and is configured to have a given length and width differing from that of the generator set142. In some embodiments, the electrolysis unit146defines a footprint of about eighteen meters long (18 m) and about eleven meters wide (11 m), for example, but is not limited to either of these dimensions. The electrolysis unit146is arranged to receive a power input (e.g., a 2,500 kW power input). In particular, the electrolysis unit146is configured to receive the power input supplied by the generator set142. The electrolysis unit146is configured to produce between about 1,000 kg of hydrogen per day to about 1,500 kg of hydrogen per day. In some embodiments, the electrolysis unit146is configured to produce about 1,080 kg of hydrogen per day (e.g., about 160 kg Hz/hr.). The modular and movable construction of the waste energy powered hydrogen production system136allows for customization to specific needs on site. For example, the waste energy powered hydrogen production system136is configured to be tailored to the level of associated gas production by the oil well and extraction apparatus104over a production lifetime. Hence, the waste energy powered hydrogen production system136is configured to be effectively scaled onsite and redeployed to new sites to maximize asset utilization and capital efficiency. For example, during the initial installation (i.e., Stage 1) of the oil well and extraction apparatus104when associated gas114is produced at a high level (e.g., about 2,000 million cubic feet (MCF) of associated gas114per day), a plurality of waste energy powered hydrogen production systems (e.g., four waste energy powered hydrogen production systems136) are configured to operate to produce an output of between about 150 kg H2to about 180 kg H2per hour over a timeframe of about 3-6 months. For example, in some embodiments, 162 kg H2per hour is produced. In some embodiments, during a period following the initial installation (i.e., Stage 2), associated gas114is produced at a reduced level (e.g., about 1,000 million cubic feet (MCF) of associated gas114per day). Further, in some embodiments, two waste energy powered hydrogen production systems136are configured to be employed to produce an output of between about 60 kg H2to about 90 kg H2per hour (e.g., about 81 kg H2per hour) over a timeframe of about 6-12 months. In some embodiments, during a period when production has levelled off (i.e., Stage 3), associated gas114is produced at a stable but reduced level (e.g., about 300 million cubic feet (MCF) of associated gas114per day), one waste energy powered hydrogen production system136can be employed to produce an output of between about 30 kg H2to about 50 kg H2(e.g., about 40 kg H2) per hour over a timeframe of about 12-120 months. Further, in some embodiments, the waste energy powered hydrogen production system136shown inFIG.1is configured to include a controller200. The controller200is configured to communicate the generator set142and the electrolysis unit146so as to control one or more operations thereof. In some embodiments, the controller200provides coordinated operation of the components of the waste energy powered hydrogen production system136. In some embodiments, the controller200is a central controller200in communication and control of multiple portions of the waste energy powered hydrogen production system136. In some embodiments, the controller200is realized as a distributed controller in which each of the wastewater treatment system138, the generator set142, and the electrolysis unit146include individual controllers in communication with each other to provide the controller200. Exemplary depictions of the function and structure of the controller200are shown inFIG.11. Referring now toFIG.11, a schematic diagram of the controller200of the waste energy powered hydrogen production system136ofFIG.1is shown according to an example embodiment. As shown inFIG.11, the controller200includes a processing circuit204having a processor208and a memory device212. The processing circuit204further includes a control system216having an associated gas circuit220configured to communicate with the onsite gas processing unit130. The processing circuit204further includes a wastewater circuit224in communication with the wastewater treatment system138. The processing circuit204further includes a genset circuit228in communication with the generator set142. The processing circuit204further includes an electrolysis circuit232in communication with the electrolysis unit146, and an output circuit236in communication with the hydrogen storage150. The processing circuit204further includes a communications interface242. Generally, the controller200is structured to determine a feed rate of associated gas to the onsite gas processing unit130. The controller200is further structured to determine a feed rate of consumable gas from the onsite gas processing unit130to the generator set142. Additionally, the controller200is structured to control operation of the generator set142and the electrolysis unit146. The controller200is further structured to coordinate storage of the produced hydrogen in the storage system150. In one configuration, the control system216is embodied as machine or computer-readable media that is executable by a processor, such as processor208. As described herein and amongst other uses, the machine-readable media facilitate performance of certain operations to enable reception and transmission of data. For example, the machine-readable media can be configured to provide an instruction (e.g., command, etc.) to, e.g., acquire data. In this regard, the machine-readable media can be configured to include programmable logic that defines the frequency of acquisition of the data (or, transmission of the data). The computer readable media can be configured to include code, which is writable in any programming language including, but not limited to, Java or the like or the “C” programming language or similar programming languages. The computer readable program code is configured to be executed on one processor or multiple remote processors. In the latter scenario, the remote processors are configured to be connected to each other through any type of network (e.g., CAN bus, etc.). In another configuration, the control system216is embodied as hardware units, such as electronic control units. As such, the control system216is configured to be implemented as one or more circuitry components including, but not limited to, processing circuitry, network interfaces, peripheral devices, input devices, output devices, sensors, etc. In some embodiments, the control system216is configured to take the form of one or more analog circuits, electronic circuits (e.g., integrated circuits (IC), discrete circuits, system on a chip (SOCs) circuits, microcontrollers, etc.), telecommunication circuits, hybrid circuits, and any other type of “circuit.” In this regard, the control system216is configured to include any type of component for accomplishing or facilitating achievement of the operations described herein. For example, a circuit as described herein can include one or more transistors, logic gates (e.g., NAND, AND, NOR, OR, XOR, NOT, XNOR, etc.), resistors, multiplexers, registers, capacitors, inductors, diodes, wiring, and so on). The control system216is configured to include one or more memory devices for storing instructions that are executable by the processor(s) of the control system216. In some hardware unit configurations, the control system216is configured to be dispersed throughout separate locations in the wastewater treatment system138. Alternatively and as shown, the control system216is configured to be embodied in or within a single unit/housing, which is shown as the controller200. In the example shown, the controller200includes the processing circuit204having the processor208and the memory device212. The processing circuit204is structured or configured to execute or implement the instructions, commands, and/or control processes described herein with respect to control system216. The depicted configuration represents the control system216as machine or computer-readable media. However, as mentioned above, this illustration is not meant to be limiting as the present disclosure contemplates other embodiments where the control system216, or at least one circuit of the control system216, is configured as a hardware unit. All such combinations and variations are intended to fall within the scope of the present disclosure. The hardware and data processing components used to implement the various processes, operations, illustrative logics, logical blocks, modules and circuits described in connection with the embodiments disclosed herein (e.g., the processor208) are configured to be implemented or performed with a general purpose single- or multi-chip processor, a digital signal processor (DSP), an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), or other programmable logic device, discrete gate or transistor logic, discrete hardware components, or any combination thereof designed to perform the functions described herein. In some embodiments, one or more processors can be shared by multiple circuits (e.g., control system216is configured to include or otherwise share the same processor which, in some example embodiments, can execute instructions stored, or otherwise accessed, via different areas of memory). Alternatively or additionally, the one or more processors are configured to perform or otherwise execute certain operations independent of one or more co-processors. In other example embodiments, two or more processors (e.g., processors of the generator set142and the electrolysis unit136) are configured to be coupled via a bus to enable independent, parallel, pipelined, or multi-threaded instruction execution. All such variations are intended to fall within the scope of the present disclosure. The memory device212(e.g., memory, memory unit, storage device) is configured to include one or more devices (e.g., RAM, ROM, Flash memory, hard disk storage) for storing data and/or computer code for completing or facilitating the various processes, layers and modules described in the present disclosure. The memory device212is configured to be communicably connected to the processor208to provide computer code or instructions to the processor208for executing at least some of the processes described herein. Moreover, the memory device212is configured to be implemented as or to include tangible, non-transient volatile memory or non-volatile memory. Accordingly, the memory device212is configured to include one or more database components, object code components, script components, or any other type of information structure, or any combination of any of the foregoing, for supporting the various activities and information structures described herein. The associated gas circuit220is structured to receive fuel information from the gas processing unit130via the communication interface242. In some embodiments, the fuel information includes a flow rate or volume of consumable fuel provided by the gas processing unit130. In some embodiments, the associated gas circuit220receives production information from the oil well and extraction apparatus104indicative of a quantity of oil and/or a quantity of associated gas that is or are being produced. The wastewater circuit224is structured to receive wastewater information from the wastewater source134and the wastewater treatment system138via the communications interface242and control operations of the wastewater treatment system138. The genset circuit228is structured to control operation of the generator set142using information from the associated gas circuit220. The electrolysis circuit232is structured to control operation of the electrolysis unit146using information received from the wastewater circuit224. The electrolysis circuit232and the genset circuit228are configured to communicate to provide the electrical power required by the electrolysis unit146and to utilize the available associated gas114and wastewater134. The output circuit236is structured to monitor a hydrogen output of the electrolysis unit146and to control the hydrogen storage150to perform any one or more of maintaining storage capacity, loading of tankers, maintaining of temperatures, etc. The controller200is configured to provide coordinated control of two or more of the wastewater treatment system138, the generator set142, the electrolysis unit146, and the hydrogen storage150. For example, the controller200is configured to provide coordinated control by allowing the waste energy powered hydrogen production system136to operate as a system independent from the oil extraction system100. The integrated waste energy powered hydrogen production system136and controller200allow for implementation in varied sites and with varied capacities of associated gas114production. In some embodiments, a method of producing hydrogen from gas associated with oil and well extraction is disclosed. The method according to the techniques herein may have a different order or sequence from that described, and various steps may be added or omitted. In at least one embodiment, a method of producing hydrogen includes receiving, by a generator set, gas associated with an oil extractor; producing, by the generator set, electrical power by combusting the gas; receiving, by an electrolyzer communicated with the oil extractor, wastewater, and receiving, by the electrolyzer, power from the generator set; and electrolyzing, by the electrolyzer, the wastewater to produce hydrogen therefrom. In some embodiments, the associated gas and wastewater undergo processing before the generator set and the electrolysis unit are utilized. In particular, in some embodiments, the method includes one or more pre-processing steps of each of the associated gas and the wastewater. At least one embodiment relates to a method of producing hydrogen that includes receiving, by a generator set, gas associated with an oil extraction system; producing, by the generator set, electrical power by combusting the gas; receiving, by an electrolyzer communicated with the oil extraction system, wastewater from the oil extraction system and power from the generator set; and electrolyzing, by the electrolyzer, the wastewater to produce hydrogen. At least one embodiment relates to a method of producing hydrogen that includes receiving, by a water treatment system, gas associated with an oil extraction system; receiving, by an electrolyzer communicated with the oil extraction system, electrical power from a utility connection; receiving, by the electrolyzer, treated wastewater from the water treatment system and power from the utility connection; and electrolyzing, by the electrolyzer, the wastewater to produce hydrogen. In some embodiments, systems and methods described herein can significantly reduce CO2emissions. Similarly, utilizing produced water (e.g., wastewater134) may save billions of liters of fresh water annually used for H2production from electrolysis. The systems and methods may reduce the cost of wastewater134disposal substantially. It is expected that thousands of tons of clean hydrogen may be produced in the Permian Basin from waste streams (e.g., associated gas and wastewater) according to exemplary implementations of the present disclosure. As shown inFIG.12, the carbon offset provided by the oil extraction system100includes a reduction of carbon-dioxide by elimination of flaring and venting254. Emissions from generator sets142used for power production and for powering the electrolyzer(s)258are considered along with emissions from auxiliary equipment for associated gas processing, water filtration, hydrogen compression, etc.262. Therefore, when considering the carbon-dioxide production and reduction, a net effect is a reduction in GHG emissions. As discussed above, the low carbon or clean hydrogen standard is defined as equal to or less than about two kilograms of carbon dioxide equivalent produced at the site of production per kilogram of hydrogen produced. The final kilograms carbon dioxide emitted per hydrogen produced is well below the low carbon hydrogen standard. As utilized herein, the terms “approximately,” “about,” “substantially”, and similar terms are intended to have a broad meaning in harmony with the common and accepted usage by those of ordinary skill in the art to which the subject matter of this disclosure pertains. In general, such terms should be interpreted, if appearing before a numerical value, to encompass any values within 5% or 10% of that numerical value. It should be understood by those of skill in the art who review this disclosure that these terms are intended to allow a description of certain features described and claimed without restricting the scope of these features to the precise numerical ranges provided. Accordingly, these terms should be interpreted as indicating that insubstantial or inconsequential modifications or alterations of the subject matter described and claimed are considered to be within the scope of the present disclosure. It should be noted that the term “exemplary” and variations thereof, as used herein to describe various embodiments, are intended to indicate that such embodiments are possible examples, representations, or illustrations of possible embodiments (and such terms are not intended to connote that such embodiments are necessarily extraordinary or superlative examples). The term “coupled” and variations thereof, as used herein, means the joining of two members directly or indirectly to one another. Such joining can be stationary (e.g., permanent or fixed) or moveable (e.g., removable or releasable). Such joining can be achieved with the two members coupled directly to each other, with the two members coupled to each other using one or more separate intervening members, or with the two members coupled to each other using an intervening member that is integrally formed as a single unitary body with one of the two members. If “coupled” or variations thereof are modified by an additional term (e.g., directly coupled), the generic definition of “coupled” provided above is modified by the plain language meaning of the additional term (e.g., “directly coupled” means the joining of two members without any separate intervening member), resulting in a narrower definition than the generic definition of “coupled” provided above. Such coupling can be mechanical, electrical, or fluidic. For example, circuit A communicably “coupled” to circuit B can signify that the circuit A communicates directly with circuit B (i.e., no intermediary) or communicates indirectly with circuit B (e.g., through one or more intermediaries). References herein to the positions of elements (e.g., “top,” “bottom,” “above,” “below”) are merely used to describe the orientation of various elements in the FIGURES. It should be noted that the orientation of various elements can differ according to other exemplary embodiments, and that such variations are intended to be encompassed by the present disclosure. While various circuits with particular functionality are shown inFIG.11, it should be understood that the controller200can include any number of circuits for completing the functions described herein. For example, the activities and functionalities of the control system216can be combined in multiple circuits or as a single circuit. Additional circuits with additional functionality can also be included. Further, the controller200in some embodiments is configured to control other activity beyond the scope of the present disclosure. As mentioned above and in one configuration, the “circuits” can be implemented in machine-readable medium for execution by various types of processors, such as the processor208ofFIG.11. An identified circuit of executable code can, for instance, comprise one or more physical or logical blocks of computer instructions, which can, for instance, be organized as an object, procedure, or function. Nevertheless, the executables of an identified circuit need not be physically located together, but can comprise disparate instructions stored in different locations which, when joined logically together, comprise the circuit and achieve the stated purpose for the circuit. Indeed, a circuit of computer readable program code can be a single instruction, or many instructions, and can even be distributed over several different code segments, and/or among different programs. While the term “processor” is referenced above, the term “processor” and “processing circuit” are meant to be broadly interpreted. In this regard and as mentioned above, the “processor” can be implemented as one or more general-purpose processors, application specific integrated circuits (ASICs), field programmable gate arrays (FPGAs), digital signal processors (DSPs), or other suitable electronic data processing components structured to execute instructions provided by memory. The one or more processors can take the form of a single core processor, multi-core processor (e.g., a dual core processor, triple core processor, quad core processor, etc.), microprocessor, etc. In some embodiments, the one or more processors can be external to the oil extraction system100, for example the one or more processors can be a remote processor (e.g., a cloud based processor). Alternatively or additionally, the one or more processors can be internal and/or local to the oil extraction system100. In this regard, a given circuit or components thereof can be disposed locally (e.g., as part of a local server, a local computing system, etc.) or remotely (e.g., as part of a remote server such as a cloud based server). To that end, a “circuit” as described herein can include components that are distributed across one or more locations (e.g., in the generator set142and the electrolysis unit136). Embodiments within the scope of the present disclosure include program products comprising machine-readable media for carrying or having machine-executable instructions or data structures stored thereon. Such machine-readable media can be any available media that can be accessed by a general purpose or special purpose computer or other machine with a processor. By way of example, such machine-readable media can comprise RAM, ROM, EPROM, EEPROM, or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium which can be used to carry or store desired program code in the form of machine-executable instructions or data structures and which can be accessed by a general purpose or special purpose computer or other machine with a processor. Combinations of the above are also included within the scope of machine-readable media. Machine-executable instructions include, for example, instructions and data which cause a general purpose computer, special purpose computer, or special purpose processing machines to perform a certain function or group of functions. To the extent the figures and description illustrate a specific order of method steps, the order of such steps can differ from what is depicted and described, unless specified differently above. Also, two or more steps can be performed concurrently or with partial concurrence, unless specified differently above. It is important to note that the construction and arrangement of the waste energy powered hydrogen production system136as shown in the various exemplary embodiments is illustrative only. Additionally, any element disclosed in one embodiment can be incorporated or utilized with any other embodiment disclosed herein. It should be appreciated that other elements of various embodiments can be incorporated or utilized with any of the other embodiments disclosed herein. | 50,092 |
11859299 | DETAILED DESCRIPTION The following detailed description includes references to the accompanying drawings, which form a part of the detailed description. The drawings show, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments, which are also referred to herein as “examples,” are described in enough detail to enable those skilled in the art to practice the invention. The example embodiments may be combined, other embodiments may be utilized, or structural, and logical changes may be made without departing from the scope of the present invention. While the disclosed subject matter will be described in conjunction with the enumerated claims, it will be understood that the exemplified subject matter is not intended to limit the claims to the disclosed subject matter. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined by the appended claims and their equivalents. References in the specification to “one embodiment”, “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described. Values expressed in a range format should be interpreted in a flexible manner to include not only the numerical values explicitly recited as the limits of the range, but also to include all the individual numerical values or sub-ranges encompassed within that range as if each numerical value and sub-range is explicitly recited. For example, a recited range of values of “about 0.1 to about 5” should be interpreted to include not only the explicitly recited values of about 0.1 and about 5, but also all individual concentrations within the indicated range of values (e.g., 1, 1.23, 2, 2.85, 3, 3.529, and 4, to name just a few) as well as sub-ranges that fall within the recited range (e.g., about 0.1 to about 0.5, about 1.21 to about 2.36, about 3.3 to about 4.9, or about 1.2 to about 4.7, to name just a few). The statement “about X to Y” has the same meaning as “about X to about Y,” unless indicated otherwise. Likewise, the statement “about X, Y, or about Z” has the same meaning as “about X, about Y, or about Z,” unless indicated otherwise. In this document, the terms “a,” “an,” or “the” are used to include one or more than one unless the context clearly dictates otherwise. The term “or” is used to refer to a nonexclusive “or” unless otherwise indicated. Unless indicated otherwise, the statement “at least one of” when referring to a listed group is used to mean one or any combination of two or more of the members of the group. For example, the statement “at least one of A, B, and C” can have the same meaning as “A; B; C; A and B; A and C; B and C; or A, B, and C,” or the statement “at least one of D, E, F, and G” can have the same meaning as “D; E; F; G; D and E; D and F; D and G; E and F; E and G: F and G; D, E, and F; D, E, and G; D, F, and G; E, F, and G; or D, E, F, and G.” A comma can be used as a delimiter or digit group separator to the left or right of a decimal mark; for example, “0.000,1” is equivalent to “0.0001.” In the methods described herein, the steps can be carried out in any order without departing from the principles of the invention, except when a temporal or operational sequence is explicitly recited. Furthermore, specified steps can be carried out concurrently unless explicit language recites that they be carried out separately. For example, a recited act of doing X and a recited act of doing Y can be conducted simultaneously within a single operation, and the resulting process will fall within the literal scope of the process. Recitation in a claim to the effect that first a step is performed, and then several other steps are subsequently performed, shall be taken to mean that the first step is performed before any of the other steps, but the other steps can be performed in any suitable sequence, unless a sequence is further recited within the other steps. For example, claim elements that recite “Step A, Step B, Step C, Step D, and Step E” shall be construed to mean step A is carried out first, step E is carried out last, and steps B, C, and D can be carried out in any sequence between steps A and E (including with one or more steps being performed concurrent with step A or Step E), and that the sequence still falls within the literal scope of the claimed process. A given step or sub-set of steps can also be repeated. Furthermore, specified steps can be carried out concurrently unless explicit claim language recites that they be carried out separately. For example, a claimed step of doing X and a claimed step of doing Y can be conducted simultaneously within a single operation, and the resulting process will fall within the literal scope of the claimed process. The term “about” as used herein can allow for a degree of variability in a value or range, for example, within 10%, within 5%, within 1%, within 0.5%, within 0.1%, within 0.05%, within 0.01%, within 0.005%, or within 0.001% of a stated value or of a stated limit of a range, and includes the exact stated value or range. The term “substantially” as used herein refers to a majority of, or mostly, such as at least about 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 99.5%, 99.9%, 99.99%, or at least about 99.999% or more, or 100%. In addition, it is to be understood that the phraseology or terminology employed herein, and not otherwise defined, is for the purpose of description only and not of limitation. Furthermore, all publications, patents, and patent documents referred to in this document are incorporated by reference herein in their entirety, as though individually incorporated by reference. In the event of inconsistent usages between this document and those documents so incorporated by reference, the usage in the incorporated reference should be considered supplementary to that of this document; for irreconcilable inconsistencies, the usage in this document controls. Hydrogen gas (H2) can be formed electrochemically by a water-splitting reaction where water is split into oxygen gas (O2) and H2gas at an anode and a cathode of an electrochemical cell, respectively. Examples of such electrochemical processes include, without limitation, proton electrolyte membrane (PEM) electrolysis and alkaline water electrolysis (AWE). In such electrochemical reactions, the operating energy necessary to drive the water-splitting electrolysis reaction is high due to additional energy costs as a result of various energy inefficiencies. For example, to reduce unwanted migration of ionic species between the electrodes, the cathode and the anode may be separated by a separator, such as a membrane, which can reduce migration of the ionic species. Although the separator can improve the overall efficiency of the cell, it can come at a cost of additional resistive losses in the cell, which in turn increases the operating voltage. Other inefficiencies in water electrolysis can include solution resistance losses, electric conduction inefficiencies, and/or electrode over-potentials, among others. FIG.1is a schematic diagram of a system100that electrolytically converts water (H2O) into hydrogen gas (H2) and (optionally) oxygen gas (O2) with electrical power. The example system100includes an electrolyzer cell102. The electrolyzer cell102comprises two half cells: a first half cell111and a second half cell121. In an example, the first and second half cells111,121are separated by a separator131, such as a membrane131. In an example, the separator131comprises a porous membrane (e.g., a microporous membrane or a nanoporous membrane), an ion-exchange membrane, or an ion solvating membrane. In examples wherein the separator131comprises an ion-exchange membrane, the membrane can be of different types, such as an anion exchange membrane (AEM), a cation exchange membrane (CEM), a proton exchange membrane (PEM), or a bipolar ion exchange membrane (BEM). In examples where the separator131is a cation exchange membrane, the cation exchange membrane can be a conventional membrane such as those available from, for example, Asahi Kasei Corp. of Tokyo, Japan, or from Membrane International Inc. of Glen Rock, NJ, USA, or from The Chemours Company of Wilmington, DE. USA. Examples of cation exchange membranes include, but are not limited to, the membrane sold under the N2030WX trade name by The Chemours Company and the membrane sold under the F8020/F8080 or F6801 trade names by the Asahi Kasei Corp. Examples of materials that can be used to form a cationic exchange membrane include, but are not limited to, a perfluorinated polymer containing anionic groups, for example sulphonic and/or carboxylic groups. It may be appreciated, however, that in some examples, depending on the need to restrict or allow migration of a specific cation or an anion species between the electrolytes, a cation exchange membrane that is more restrictive and thus allows migration of one species of cations while restricting the migration of another species of cations may be used. Similarly, in some embodiments, depending on the need to restrict or allow migration of a specific anion species between the electrolytes, an anion exchange membrane that is more restrictive and thus allows migration of one species of anions while restricting the migration of another species of anions may be used. Such restrictive cation exchange membranes and anion exchange membranes are commercially available and can be selected by one ordinarily skilled in the art. In some examples, the separator131can be selected so that it can function in an acidic and/or an alkaline electrolytic solution, as appropriate. Other properties for the separator131that may be desirable include, but are not limited to, high ion selectivity, low ionic resistance, high burst strength, and high stability in electrolytic solution in a temperature range of room temperature to 150° C. or higher. In an example, the separator131is stable in a temperature range of from about 0° C. to about 150° C., for example from about 0° C. to about 100° C., such as from about 0° C. to about 90° C., for example from about 0° C. to about 80° C., such as from about 0° C. to about 70° C., for example from about 0° C. to about 60° C., such as from about 0° C., to about 50° C., for example from about 0° C. to about 40° C., or such as from about 0° C. to about 30° C. It may be useful to use an ion-specific ion exchange membrane that allows migration of one type of ion (e.g., cation for a CEM and anion for an AEM) but not another, or migration of one type of ion and not another, to achieve a desired product or products in the electrolyte solution. In an example, the first half cell111comprises a first electrode112, which can be placed proximate to the separator131, and the second half cell121comprises a second electrode122, which can be placed proximate to the separator131, for example on an opposite side of the separator131from the first electrode112. In an example, the first electrode112is the anode for the electrolyzer cell102and the second electrode122is the cathode for the electrolyzer cell102, such that for the remainder of the present disclosure the first half cell111may also be referred to as the anode half cell111, the first electrode112may also be referred to as the anode112, the second half cell121may also be referred to as the cathode half cell121, and the second electrode122may also be referred to as the cathode122. Each of the electrodes112,122can be coated with one or more electrocatalysts to speed the reaction toward the hydrogen gas (H2gas) and/or the oxygen gas (O2gas). Examples of electrocatalysts include, but are not limited to, highly dispersed metals or alloys of platinum group metals, such as platinum, palladium, ruthenium, rhodium, iridium, or their combinations such as platinum-rhodium, platinum-ruthenium, a nickel mesh coated with ruthenium oxide (RuO2), or a high-surface area nickel. The ohmic resistance of the separator131can affect the voltage drop across the anode112and the cathode122. For example, as the ohmic resistance of the separator131increases, the voltage across the anode112and the cathode122may increase, and vice versa. In an example, the separator131has a relatively low ohmic resistance and a relatively high ionic mobility. In an example, the separator131has a relatively high hydration characteristics that increase with temperature, and thus decreases the ohmic resistance. By selecting a separator131with lower ohmic resistance known in the art, the voltage drop across the anode112and the cathode122at a specified temperature can be lowered. In an example, the anode112is electrically connected to an external positive conductor116(also referred to as “the anode conductor116”) and the cathode122is electrically connected to an external negative conductor126(also referred to as “the cathode conductor126”). When the separator131is wet and is in electrolytic contact with the electrodes112and122, and an appropriate voltage is applied through the conductors116and126, O2gas is liberated at the anode112and H2gas is liberated at the cathode122. In certain configurations, an electrolyte, e.g., one comprising of a solution of KOH in water, is fed into the half cells111,121. For example, the electrolyte can flow into the anode half cell111through a first electrolyte inlet114and into the cathode half cell121through a second electrolyte inlet124. In an example, the flow of the electrolyte through the anode half cell111picks up the produced 02 gas as bubbles113and exits the anode half cell111through a first outlet115. Similarly, the flow of the electrolyte through the cathode half-cell121can pick up the produced H2gas as bubbles123and can exit the cathode half cell121through a second outlet125. The gases can be separated from the electrolyte downstream of the electrolyzer cell102with one or more appropriate separators. In an example, the produced H2gas is dried and harvested into high pressure canisters or fed into further process elements. The O2gas can be allowed to simply vent into the atmosphere or can be stored for other uses. In an example, the electrolyte is recycled back into the half cells111,121as needed. As described in more detail below, at least a portion of the electrolyte that exits each half cell111,121via the electrolyte outlets115,125can be recirculated back to the electrolyte inlet114,124(e.g., the anolyte withdrawn from the anode half cell111via the anolyte outlet115can be recirculated back to the anolyte inlet114and the catholyte withdrawn from the cathode half cell121via the catholyte outlet125can be recirculated back to the catholyte inlet124). Because the outlet temperature of the electrolyte can vary over time due to variation in current density and other factors of the operation of the cell102, in an example the outlet electrolyte for one or both of the recirculation loops can be fed into a holding tank so that the temperature of the recirculating electrolyte will be more stable and less subject to temperature variation. In the example shown inFIG.1, a first holding tank132receives anolyte from the anolyte outlet115(also referred to as “the anolyte holding tank132”) and a second holding tank134receives catholyte from the catholyte outlet125(also referred to as “the catholyte holding tank134”). In an example, a typical voltage across the electrolyzer cell102(e.g., the voltage difference between the anode conductor116and the cathode conductor126) is from about 1.5 volts (V) to about 3.0 V. In an example, an operating current density for the electrolyzer cell102is from about 0.1 A/cm2to about 3 A/cm2. Each cell102has a size that is sufficiently large to produce a sizeable amount of H2gas when operating at these current densities. In an example, a cross-sectional area of each cell102(e.g., a width multiplied by a height for a rectangular cell) is from about 0.25 square meters (m2) to about 15 m2, such as from about 1 m2to about 5 m2, for example from about 2 m2to about 4 m2, such as from about 2.25 m2to about 3 m2, such as from about 2.5 m2to about 2.9 m2. In an example, the total volume of each cell (e.g., a width multiplied by a height multiplied by a depth) is from about 0.1 cubic meter (m3) to about 2 m3, such as from about 0.15 m3to about 1.5 m3, for example from about 0.2 m3to about 1 m3, such as from about 0.25 m3to about 0.5 m3, for example from about 0.275 m3to about 0.3 m3. In an example, the total volume of the entire electrolyzer system (e.g., the combined volume of all the cells in all the stacks in the plant) is from about 1 m3to about 200 m3, such as from about 2 m3to about 100 m3, for example from about 2.5 m3to about 50 m3. Temperature Control Control of the temperature within the electrolyzer cell102can be important for operation of the cell102and for overall efficiency of the system100. In operation, the current density through the cell102can vary often, for instance because of power price fluctuations. To maximize performance and the lifetime of the separator131, it is generally preferred to maintain the separator131within a small range of temperatures. However, even if the temperatures of the electrolyte being fed to the cell102at the inlets114,124is held constant or substantially constant, if the current density changes significantly, then the temperature at the separator131will vary significantly. The inventors have discovered that the flow rates of electrolyte through the anode half cell111and the cathode half cell121of the electrochemical cell100can be set so that the resulting temperature of the electrolyte outlet streams115,125can be maintained within a specified range at the highest specified operational current by controlling the electrolyte temperatures at the inlet streams114,124. By referring to a lookup table or some other reference, an operator can set the temperatures of the inlet streams114,124as a function of the specified current at which the cell102is being run such that the resulting temperatures of the outlet streams115,125does not fluctuate significantly, e.g., so that the temperatures of the outlet streams115,125are within a specified temperature variance of a target temperature. As used herein, the term “specified current” means a planned and predetermined current at which the cell102is to be operated, either at the current moment in time or at some future moment in time. Therefore, in some examples, the set-point temperature for the inlet streams114,124will be selected based on the current at which the cell102is presently being operated. In other examples, the set-point temperature for the inlet streams114,124may be selected based on the current at which the cell102will be operated at some future time, e.g., so that the temperatures of the inlet streams114,124can begin to be changed to compensate for the expected change in temperature that will result when the operating current is changed. In an example, the temperature of the inlet streams114,124can be varied in order to maintain a temperature of electrolyte at the separator131at a constant or substantially constant set point. In an example, the temperature of the electrolyte at the separator131can be determined by measuring the temperatures of one or both of the outlet streams115,125and calculating the temperature of the separator131based on one or more of the temperature of the outlet stream(s)115,125and the operating current. In an example, the inlet temperature control is automated through one or more controllers140,142, such as a programmable logic controller (“PLC”). In an example, shown inFIG.1, the system100can include a first controller140configured to control a temperature of the anolyte inlet114(also referred to as “the anolyte controller140”) and a second controller142configured to control a temperature of the catholyte inlet124(also referred to as “the catholyte controller142”). In another example (not shown), a single controller can be used to control the temperatures of both the anolyte inlet114and the catholyte inlet124(e.g., by controlling the one or more control valves of both the anolyte recirculation loop and the catholyte recirculation loop, described below). As described in more detail below, the temperature control can be linked to one or more of: a current setting (e.g., the current across the electrolyzer cell102divided by the active area of the electrodes112,122), the voltage across the electrolyzer cell102, and the temperature of the corresponding outlet stream115,125. In some examples, the temperature control can be automated using a proportional-integral-derivative (“PID”) controller, or a feed-forward control scheme, or both. In an example, the inlet temperature is controlled via a feed-forward control based on one or more of: a current setpoint, the flow rate of electrolyte through the cell102, and the observed voltage across the electrolyzer cell102. In another example, in addition to the feed-forward control by current, the temperature of the corresponding electrolyte outlet115,125can also be used to control the temperature of the inlet114,124via a PID controller tuned for slow response, which can allow the temperature of the outlet115,125to fine tune the temperature of the inlet114,124, after a fast response from the feed-forward controller. The combination of a fast linear temperature control of one or both of the electrolyte inlets114,124with a feed-forward controller setting the inlet temperature set point based on one or more of current, electrolyte flow rate, and observed voltage across the cell102can provide for stable temperature control for the outlet stream115,125when changing the current (e.g., when changing the current being applied across the cell102in order to change the H2production rate). Rapid temperature management in this way can compensate for quick changes of current while minimizing thermal shock to the separator131, the electrodes112,122, and other components of the cell102. In an example, shown inFIG.1, control of the temperatures of the inlet stream114,124is effectively accomplished using a mixing scheme with an electrolyte cooler144,146that can cool at least a portion of the hot electrolyte return as it recirculates from the electrolyte outlet115,125(or from the corresponding holding tank132,134if the system100includes outlet holding tanks132,134) to the corresponding electrolyte inlet114,115. In the example ofFIG.1, a first electrolyte cooler144is configured for cooling anolyte from the anolyte outlet115exiting the anode half cell111before it is recycled back to the anolyte inlet114(such that the first electrolyte cooler144will also be referred to as “the anolyte cooler144”). A similar second electrolyte cooler146is configured for cooling catholyte from the catholyte outlet125exiting the cathode half cell121before it is recycled to the catholyte inlet124(such that the second electrolyte cooler146will also be referred to as “the catholyte cooler146”). Each of the electrolyte coolers144,146can comprise any kind of cooling apparatus that is feasible for cooling an electrolyte stream in one or both of the recirculation loops, including, but not limited to, a heat exchanger (such as a shell-and-tube heat exchanger or other type of heat exchanger, and using any feasible cooling medium, such as cooling water, cooling air, or a different cooled stream) or an electric cooler. In an example, the electrolyte recycling configuration can include a bypass line150,152that is configured to allow some or all of the recycling electrolyte to bypass its corresponding electrolyte cooler144,146. For example, a first bypass line150can bypass the anolyte cooler144(such that it will also be referred to as “the anolyte bypass line150”) and a second bypass line152can bypass the catholyte cooler146(such that it will also be referred to as “the catholyte bypass line152”). The bypassing portion of the electrolyte in the bypass lines150,152is not cooled by their corresponding electrolyte coolers144,146such that the bypassing portion remains at or near the hot temperature that it had exiting the cell102in the electrolyte outlets115,125. One or more control valves can be included for each electrolyte recirculation line to modulate the proportion of recycling electrolyte that flows through the electrolyte cooler144,146and the proportion that will flow through the bypass line150,152. In one example, the control valve can be on the bypass line150,152itself, such as a first bypass line control valve154to control a flow rate through the anolyte bypass line150(also referred to as “the anolyte bypass control valve154”) and/or a second bypass line control valve156to control a flow rate of catholyte through the catholyte bypass line152(also referred to as “the catholyte bypass control valve156”). With a control valve154,156controlling the flow rate of electrolyte through the bypass line150,152, the control valves154,156also act to modulate the flow rate through the corresponding electrolyte cooler144,146. For example, because the total recirculation flow rate of anolyte recirculating from the anolyte outlet115to the anolyte inlet114is set, if the anolyte bypass control valve154reduces the flow rate of anolyte through the anolyte bypass line150, then it by necessity would increase the relative flow rate of anolyte through the anolyte cooler144. In another example, the control scheme can include a control valve for controlling a flow rate at the inlet into the electrolyte cooler144,146in addition to or in place of the bypass control valve154,156. In the example shown inFIG.1, this can comprise a first heat exchanger inlet control valve158to control a flow rate of anolyte into the anolyte cooler144(also referred to as “the anolyte inlet control valve158”) and/or a second heat exchanger inlet control valve160to control a flow rate of catholyte into the catholyte cooler146(also referred to as “the catholyte inlet control valve160”). In another example, the control scheme can include a control valve for controlling a flow rate at the outlet coming out of the electrolyte cooler144,146in addition to or in place of one or both of the bypass control valve154,156or the heat exchanger inlet control valve158,160. In the example shown inFIG.1, this can comprise a first heat exchanger outlet control valve162to control a flow rate of anolyte exiting the anolyte cooler144(also referred to as “the anolyte outlet control valve162”) and/or a second heat exchanger outlet control valve164to control a flow rate of catholyte exiting the catholyte cooler146(also referred to as “the catholyte outlet control valve164”). Those having skill in the art will appreciate that any one of the control valves154,158,162on the anolyte recirculation side can be used to modulate the flow rate of anolyte through the anolyte cooler144and the anolyte bypass line150and that the control valve or valves154,158,162that are used are a matter of design choice. For example, temperature control of the recirculating anolyte could be accomplished via the use of only the anolyte bypass control valve154, only the anolyte inlet control valve158, only the anolyte outlet control valve162, a combination of the anolyte bypass control valve154and the anolyte inlet control valve158, or a combination of the anolyte bypass control valve154and the anolyte outlet control valve162. Similarly, those having skill in the art will appreciate that any one of the control valves156,160,164on the catholyte recirculation side can be used to modulate the flow rate of catholyte through the catholyte cooler146and the catholyte bypass line152and are a matter of design choice. For example, temperature control of the recirculating catholyte could be accomplished via the use of only the catholyte bypass control valve156, only the catholyte inlet control valve160, only the catholyte outlet control valve164, a combination of the catholyte bypass control valve156and the catholyte inlet control valve160, or a combination of the catholyte bypass control valve156and the catholyte outlet control valve164. Depending on the ambient temperature and the volumes of electrolyte being used, in some examples, the system100may also include one or more electrolyte heaters on one or both recirculation sides of the system100to achieve the specified electrolyte inlet temperature for one or both of the anolyte inlet114and the catholyte inlet115. In one example, one or both of the electrolyte coolers144,146can be replaced with an electrolyte heater. In another example, an electrolyte heater can be included on one or both bypass lines150,152to heat electrolyte flowing through the bypass line150,152(similar to the scheme shown for the temperature control subsystem210in the example system200ofFIG.2). In yet another example, a heater can be included on one or both of the electrolyte holding tanks132,134to heat the electrolyte solution in the holding tank132,134before it flows to the recirculation and cooling loop. The electrolyte flowing through the electrolyte cooler144,146and the bypass line150,152are then mixed to provide a cooled electrolyte that is cooler than the temperature upstream of the electrolyte cooler144,146, wherein the cooled electrolyte is fed back into its corresponding half cell111,121via the electrolyte inlet114,124. The relative flow rates of electrolyte passing through the electrolyte cooler144,146versus the bypass line150,152is selected to achieve a specified set-point temperature for the electrolyte inlet114,124. For example, if it is desired that the temperature of the anolyte to be fed into the anode half cell111via the inlet114be higher than its current temperature, then the one or more control valves154,158,162on the anolyte recirculation side can be controlled so that a lower proportion of the anolyte flows through the anolyte cooler144relative to the proportion of the anolyte that flows through the anolyte bypass line150. This will result in a relatively smaller amount of the anolyte being cooled by the anolyte cooler144, and, therefore, the temperature of the anolyte after mixing the two streams will be higher. Similarly, if it is desired that the temperature of the catholyte to be fed into the cathode half cell121be lower than its current temperature, then the one or more control valve156,160,154on the catholyte recirculation side can be controlled so that a higher proportion of the catholyte flows through the catholyte cooler146relative to the proportion that flows through the catholyte bypass line152. This arrangement would result in a relatively higher amount of the catholyte being cooled by the catholyte cooler146, and, therefore, the temperature of the catholyte after mixing the two streams being lower. In an example, the system100can also include a flow control valve166,168located downstream of the mixing point where the cooled electrolyte exiting the electrolyte cooler144,146mixes with the uncooled electrolyte from the bypass line150,152. In an example, the system100comprises a first flow control valve166to control a flow rate of anolyte that is recirculated to the anolyte inlet114and a second flow control valve168to control a flow rate of catholyte that is recirculated to the catholyte inlet124. The system100can also include a makeup line for each recirculation loop to introduce additional water or electrolyte to compensate for the water that is consumed as part of the electrolysis reactions that generate H2gas at the cathode122and (optionally) O2gas at the anode112, such as an anode-side makeup line170to introduce makeup water or makeup anolyte to the anolyte inlet114and a cathode-side makeup line172to introduce makeup water or makeup catholyte to the catholyte inlet124. In an example, one or both of the electrolyte coolers144,146are at least slightly oversized in terms of the flow rate that the electrolyte cooler144,146can accommodate or the heat exchange capacity of the electrolyte cooler144,146, so that the combination of the electrolyte cooler144,146and the bypass line150,152can provide for adequate temperature control and flow control. The inventors have found that this type of temperature control for the electrolyte inlet streams114,124can provide for fast and linear or substantially linear inlet temperature control, compared to controlling the temperature of the inlet stream114,124by changing the amount of cooling water being fed to the electrolyte coolers144,146. The system100can include one or more temperature sensors to measure a temperature of the electrolyte outlet115,125for one or both of the recirculation loops in the system100. The temperature of the electrolyte outlet115,125can be used as an approximation of the temperature of the separator131within the cell102at that particular point in time. In other examples, it may be assumed (based on historical experimental data) that the temperature at the separator131is a specified number of degrees higher than the temperature measured by the temperature sensors on the electrolyte outlets115,125. In still other examples, other parameters of the cell102can be used to estimate the temperature at the separator131in place of or in addition to the temperature of the electrolyte outlet115,125. For example, the voltage across the cell102(or each cell if the cell102is part of a larger electrolyzer stack) can be measured and the measured voltages across the cell can be used to determine an estimated temperature at the separator131of the cell102(or of each cell in the stack, if the cell102is part of a larger electrolyzer stack). In an example, a first outlet temperature sensor174is positioned on the anolyte outlet115to measure an outlet temperature of the anolyte exiting the anode half cell111(also referred to as “the anolyte outlet temperature sensor174”) and a second outlet temperature sensor176is positioned on the catholyte outlet125to measure an outlet temperature of the catholyte exiting the cathode half cell121(also referred to as “the catholyte outlet temperature sensor176”). In operation, one or both of the controllers140,142can be configured to receive an outlet temperature signal corresponding to one or both of the electrolyte outlets115,125from one or both of the electrolyte outlet temperature sensors174,176. In an example where the system100includes a separate anolyte controller140and catholyte controller142, the anolyte controller140can be configured to receive a first outlet temperature signal from the anolyte outlet temperature sensor174and the catholyte controller142can be configured to receive a second outlet temperature signal from the catholyte outlet temperature sensor176. The one or more controllers140,142use the temperature signal received from the outlet temperature sensor174,176to control the one or more control valves154,156,158,160,162,164that are included in the system100to control the electrolyte flow rates through the electrolyte cooler144,146and the bypass line150,152in order to achieve a desired temperature set point for the corresponding electrolyte inlet114,124. For example, the anolyte controller140can receive a temperature signal from the anolyte outlet temperature sensor174corresponding to the temperature of the anolyte outlet115. The anolyte controller140uses the anolyte outlet temperature signal to control the one or more control valves154,158,162, which controls the flow rate of recirculating anolyte through the anolyte cooler144and the anolyte bypass line150in a proportion that will achieve a specified temperature set point for the anolyte inlet114. The anolyte controller140can also be configured to control the flow control valve166to control the overall flow rate of anolyte that is recirculated to the anolyte inlet114, which may also be varied to achieve the specified anolyte inlet temperature set point. Similarly, the catholyte controller142can receive a temperature signal from the catholyte outlet temperature sensor176corresponding to the temperature of the catholyte outlet125. The catholyte controller142uses the catholyte outlet temperature signal to control the one or more control valves156,160,164to control the flow rate of recirculating catholyte through the catholyte cooler146and the catholyte bypass line152in a proportion that will achieve a specified temperature set point for the catholyte inlet124. The catholyte controller142can also be configured to control the flow control valve168to control the overall flow rate of catholyte that is recirculated to the catholyte inlet124, which may also be varied to achieve the specified catholyte inlet temperature set point. As noted above, the specified temperature set point for one or both of the electrolyte inlets114,124can be set based on the current that is presently being applied across the electrolyzer cell102or on the current that is planned to be applied across the electrolyzer cell102at a future time. As will be appreciated by those having skill in the art, it is known that the temperature at a separator within an electrolyzer cell can depend on the current that is being applied across the cell because a lower current density tends to result in less heating due to resistive losses and a lower temperature at the separator, and a higher current density tends to result in more heat due to resistive losses and a higher temperature at the separator. Therefore, in an example, the system100can include an ammeter178that is configured to measure a current through the electrolyzer cell102. In one example, shown inFIG.1, the ammeter178can measures the current passing through one or both of the anode conductor116and the cathode conductor126. In another example, the current passing through the cell102can be determined by measuring or otherwise determining the current being provided by a power supply. For example, if current is supplied to the cell102from a rectifier that rectifies alternating current from an AC source to direct current that is supplied to the cell102, the current supplied by the rectifier can be used by the one or more controllers140,142as the current for the cell102, which can be used in conjunction with the temperature at the separator131(e.g., determined in relation to the outlet temperatures measured by one or both outlet temperature sensors174,176. In other examples, the temperature control scheme can be configured to control the electrolyte temperature for a stack of a plurality of electrolyzer cells. In such an example, the ammeter or other apparatus for determining current through a cell can be configured to determine or provide the current through one of the cells in the stack, a plurality of the cells in the stack, or the current through the entire stack. In another example, the system100can include a voltmeter180to measure a voltage across the cell102, e.g., to measure a potential difference between the anode conductor116and the cathode conductor126. The voltmeter180can be included in place of or in addition to the ammeter178. One or both of the controllers140,142can receive a voltage signal from the voltmeter180. In an example, the current can be determined by one or more of the controllers140,142by dividing the measured voltage by the resistance through the electrolyzer cell102, which may be known from earlier experimentation. In some examples, the voltmeter180can be used by the one or more controllers in conjunction with the current through the cell102to determine the power dissipated within the cell102, which can be related to the temperature of the separator131. The current that is determined by one or both of the controllers140,142can be used to control one or both of the recirculation loops in order to achieve the specified anolyte inlet temperature or the specified catholyte inlet temperature, or both. For example, one or both controllers140,142can use a lookup table of the expected temperature at the separator131at a particular anolyte inlet temperature and/or catholyte inlet temperature and when the electrolyzer cell102is operating at a particular current. One or both controllers140,142can then use the lookup table, the determined current, and a specified target temperature for the separator131to determine the desired specified inlet temperature or temperatures for the anolyte inlet114and the catholyte inlet124. Then, one or both controllers140,142can control the one or more control valves154,156,158,160,162,164on each recirculation loop so that the relative proportion of electrolyte flowing throw each electrolyte cooler144,146relative to the electrolyte flowing through its corresponding bypass line150,152will achieve the specified electrolyte inlet temperature that was determined based on the current and the specified target temperature for the separator131. Although it is believed that the specified target electrolyte inlet temperature for one or both of the electrolyte inlets114,124can be controlled by measuring only the temperature of one or both of the electrolyte outlets115,125, in an example, the system100can also include one or more temperature sensors to measure a temperature of the electrolyte inlet114,124for one or both of the recirculation loops in the system100. In an example, a first inlet temperature sensor184is positioned on the anolyte inlet114to measure an inlet temperature of the anolyte entering the anode half cell111(also referred to as “the anolyte inlet temperature sensor184”) and a second inlet temperature sensor186is positioned on the catholyte inlet124to measure an inlet temperature of the catholyte entering the cathode half cell121(also referred to as “the catholyte inlet temperature sensor186”). In operation, one or both of the controllers140,142can be configured to receive an inlet temperature signal corresponding to one or both of the electrolyte inlets114,124from one or both of the electrolyte inlet temperature sensors184,186. In an example where the system100includes a separate anolyte controller140and catholyte controller142, the anolyte controller140can be configured to receive a first inlet temperature signal from the anolyte inlet temperature sensor184and the catholyte controller142can be configured to receive a second inlet temperature signal from the catholyte inlet temperature sensor186. One or both of the controllers140,142can use the inlet temperature signals from the inlet temperature sensors184,186to check the effectiveness of the particular proportion of electrolyte flowing through the electrolyte cooler144,146and the bypass line150,152for one or both of the recirculation loops, which one or both controllers140,142can use to modify the flow rates of electrolyte through the electrolyte cooler144,146and its corresponding bypass line150,152if needed to achieve the desired specified electrolyte inlet temperature. In an example, the specified electrolyte inlet temperature can be determined based on the expected temperature change for the electrolyte as it passes through the cell102, e.g., based on the expected temperature rise for the anolyte as it passes through the anode half cell111and the expected temperature rise for the catholyte as it passes through the cathode half cell121. In the case of the anolyte, the expected temperature change, ΔTA, is defined by Equation [1]: ΔTA=TA,Out-TA,In=QAm.A×CpA[1] where TA,Inis the inlet temperature of the anolyte, e.g., at the anolyte inlet114, TA,Outis the outlet temperature of the anolyte, e.g., at the anolyte outlet115, {dot over (m)}Ais the mass flow rate of the anolyte through the anode half cell111, CpAis the specific heat capacity of the anolyte, and QAis the power dissipated as heat on the anode side of the cell102. The power dissipated as heat, QA, is defined by Equation [2]: QA=I×VLA[2] where I is the current through the cell102and VLAis the lossy voltage on the anode side of the cell102. Equations [1] and [2] can be combined and solved for the inlet temperature, TA,In, which results in Equation [3]: TA,In=TA,Out-ΔTA=TA,Out-I×VLAm.A×CpA[3] The lossy voltage for the entire cell, VL, is the sum of the lossy voltage on the anode side of the cell102, VLA, and the lossy voltage on the cathode side of the cell102, VLC, as shown in Equation [4]: VL=VLA+VLC=VCell−VTN[4] where VCellis the total voltage across the cell102and VTNis the thermal neutral voltage for the electrolysis reactions in the cell102(e.g., about 1.48 V). In some examples, data from experimentation on the cell102or from other sources may indicated that during typical operation of the cell, the lossy voltage on the anode side VLAmakes up a certain percentage of the overall lossy voltage for the entire cell VL. For example, for a particular configuration of the cell, the anode lossy voltage VLAmay typically be about 80% of the overall lossy voltage VL(e.g., VLA=0.8 VL), in which case the cathode lossy voltage VLCwould be about 20% of the overall lossy voltage VL(e.g., VLC=0.2 VL). In practice, one or both of the controllers140,142can use the desired temperature of the separator131as the set value of TA,Out, can use the current signal value received from the ammeter178(or from another source of the current through the cell) and/or can calculate the current using the voltage signal value received from the voltmeter180as the value of I, and can determine the anode-side lossy voltage VLA(which can be determined based on experimentation on the anode side of the cell in conjunction with the voltage across the cell102, e.g., as measured by the voltmeter180, as VCelland the overall lossy voltage VLcalculated by Equation [4]) to calculate the specified anolyte inlet temperature as the resulting TA,Infrom Equation [3]. As discussed above, one or both of the controllers140,142can then determine the flow rates of anolyte through the anolyte cooler144and the anolyte bypass line150that can achieve that specified anolyte inlet temperature, TA,In, and can control the one or more control valves154,158,162accordingly. The same analysis can be applied to the cathode side of the cell102to determine a specified catholyte inlet temperature. Specifically, calculating the change in temperature for the catholyte, ΔTC, based on the power dissipated as heat on the cathode side can be calculated according to Equation [5]: ΔTC=TC,Out-TC,In=QCm.C×CpC[5] where TC,Inis the inlet temperature of the catholyte at the catholyte inlet124, TC,Outis the outlet temperature of the catholyte at the catholyte outlet125, {dot over (m)}Cis the mass flow rate of the catholyte through the cathode half cell121, CpCis the specific heat capacity of the catholyte, and QCis the power dissipated as heat on the cathode side of the cell102. The power dissipated as heat on the cathode side, QC, is defined by Equation [6]: QC=I×VLC[6] where I is the current through the cell102and VLCis the lossy voltage on the cathode side of the cell102. Equations [5] and [6] can be combined and solved for the inlet temperature, TC,In, which results in Equation [7]: TC,In=TC,Out-ΔTC=TC,Out-I×VLCm.C×CpC[7] As described above with respect to Equation [4], the lossy voltage on the cathode side, VLC, is combined with the lossy voltage on the anode side, VLA, to result in the overall lossy voltage, VL. Similar to the process described above, one or both of the controllers140,142can use the desired temperature of the separator131as the set value of TC,Out, the measured current as the value of I, and can determine the cathode-side lossy voltage VLC(e.g., based on the experimentation on the cathode side of the cell in conjunction with the voltage across the cell102, e.g., as measured by the voltmeter180, as VCelland the overall lossy voltage VLcalculated by Equation [4]) to calculate the specified catholyte inlet temperature as the resulting TC,Infrom Equation [7]. One or both of the controllers140,142can then determine the flow rates of catholyte through the catholyte cooler146and the catholyte bypass line152that can achieve that specified catholyte inlet temperature, TC,In, and can control the one or more control valves156,160,164accordingly. In the example shown inFIG.1, the anolyte recirculation loop (e.g., the anolyte outlet115, the optional anolyte holding tank132, the anolyte cooler144, the anolyte bypass line150, and the anolyte inlet114) is separate from the catholyte recirculation loop (e.g., the catholyte outlet125, the catholyte holding tank134, the catholyte cooler146, the catholyte bypass line152, and the catholyte inlet124). For example, the anolyte and the catholyte may comprise different compositions (e.g., different chemical compounds or different concentrations of the same chemical compound or compounds). However, in some examples, the same electrolyte solution is used for both the anolyte that passes through the anode half cell and the catholyte that passes through the cathode half cell. For example, in many electrolyzer systems, potassium hydroxide (KOH) is used as both the anolyte and catholyte in the electrolyzer cell. In such an example, the overall system may include a common temperature control apparatus and at least a portion of the recirculation loop can be commonly used by both the anolyte and the catholyte.FIG.2shows an example system200with a common temperature control scheme. Portions of the system200that are the same as in the system100ofFIG.1are given the same reference numbers. As can be seen inFIG.2, the system200includes an electrolyzer cell102. Many of the specific details of the cell102are not shown inFIG.2, but those having skill in the art will appreciate that the electrolyzer cell102in the system200can be similar or identical to the electrolyzer cell102described above for the system100, e.g., with an anode half cell111and a cathode half cell121separated by a separator131. The anode half cell111can include an anode on one side of the separator131and the cathode half cell121can include a cathode on the opposing side of the separator131. Conductors can be electrically connected to the anode and the cathode so that a voltage can be applied across the cell in order to evolve H2gas from the cathode. Electrolyte solution is fed to both the anode half cell111and the cathode half cell121, such as anolyte into the anode half cell111via an anolyte inlet202and catholyte into the cathode half cell121via a catholyte inlet204. Electrolyte is also withdrawn from the half cells111,121, e.g., anolyte can be withdrawn from the anode half cell111via an anolyte outlet206and catholyte can be withdrawn from the cathode half cell121via a catholyte outlet208. The system200can also include one or more holding tanks for the electrolyte, such as an anolyte holding tank132that can receive and hold anolyte from the anolyte outlet206and a catholyte holding tank134that can receive and hold catholyte from the catholyte outlet208. Instead of having separate temperature control subsystems for both the anolyte and the catholyte, as in the system100, the system200includes a common temperature control subsystem210that can act to control the temperature for both the anolyte that will be fed into the anode half cell111via the anolyte inlet202and the catholyte that will be fed into the cathode half cell121via the catholyte inlet204. For example, an anolyte withdrawal line212can withdraw anolyte from the anolyte holding tank132and a catholyte withdrawal line214can withdraw catholyte from the catholyte holding tank134. The two withdrawal lines212and214can be combined so that the recirculating anolyte and the recirculating catholyte mix together in a common recirculation line216that is fed into the temperature control subsystem210. The temperature control subsystem210is configured to control the temperature of the recirculating electrolyte to achieve a specified electrolyte inlet temperature for both the anolyte inlet202and the catholyte inlet204. In an example, the temperature control subsystem210includes an electrolyte cooler220and an optional electrolyte heater222. The common recirculation line216is split into a cooling recirculation branch224that is fed into the electrolyte cooler220and a heating recirculation branch226that is fed into the electrolyte heater222. The electrolyte cooler220is configured to cool the electrolyte in the cooling recirculation branch224to a lower temperature, which provides a cooled stream228, while the electrolyte heater222is configured to heat the electrolyte in the heating recirculation branch226to a higher temperature, which provides a heated stream230. The electrolyte cooler220can be similar to the electrolyte coolers144,146in the system100. For example, the electrolyte cooler220can be a shell and tube heat exchanger, an electric cooler, or any other equipment that can cool the electrolyte solution to a lower temperature. The electrolyte heater222can be an electric heater or other equipment that can raise the temperature of a portion of the recirculating electrolyte. In some examples, the electrolyte heater222may be deactivated so that it does not heat the portion of electrolyte that is flowing through the heating recirculation branch226, in which case the heating recirculation branch226acts essentially the same as the bypass lines150,152in the system100ofFIG.1. The cooled stream228and the heated stream230can be recombined so that the resulting temperature of the mixed cooled and heated portions will be at or proximate to the desired specified electrolyte inlet temperature. Specifically, the cooled stream228can be split into a cooled anolyte stream232and a cooled catholyte stream234and the heated stream230can be split into a heated anolyte stream236and a heated catholyte stream238. The cooled anolyte stream232and the heated anolyte stream236can be combined, for example at an anolyte mixing point240, to form the anolyte inlet202. Similarly, the cooled catholyte stream234and the heated catholyte stream238can be combined, for example at a catholyte mixing point242, to form the catholyte inlet204. The temperature control subsystem210can also include flow valves to control the flow rate of one or more of the cooled anolyte stream232, the cooled catholyte stream234, the heated anolyte stream236, and the heated catholyte stream238. For example, the anolyte side of the recirculation scheme can include a first anolyte control valve244that is configured to control a flow rate of the cooled anolyte stream232(also referred to as “the anolyte temperature control valve244”) and a second anolyte control valve246that is configured to control a flow rate of the heated anolyte stream236(also referred to as “the anolyte flow control valve246”). The catholyte side of the recirculation scheme can include a first catholyte control valve248that is configured to control a flow rate of the cooled catholyte stream234(also referred to as “the catholyte temperature control valve248”) and a second catholyte control valve250that is configured to control a flow rate of the heated catholyte stream238(also referred to as “the catholyte flow control valve250”). In an example, the temperature control valves244,248on the cooled streams232,234are controlled to modulate the proportion of cooled electrolyte that will make up the electrolyte inlet202,204in order to achieve the specified electrolyte inlet temperature, e.g., the anolyte temperature control valve244will control the flow rate of the cooled anolyte stream232so that the desired specified anolyte inlet temperature for the anolyte inlet202will be achieved and the catholyte temperature control valve246will control the flow rate of the cooled catholyte stream236so that the desired specified catholyte inlet temperature for the catholyte inlet204will be achieved. In an example, the flow control valves246,250are controlled to modulate the amount of heated electrolyte that will make up the electrolyte inlet202,204in order to ensure that a desired overall flow rate of electrolyte into the half cells111,121is achieved, e.g., the anolyte flow control valve246will control the flow rate of the heated anolyte stream236so that the desired overall flow rate for the anolyte inlet202is achieved, and the catholyte flow control valve250will control the flow rate of the heated catholyte stream238so that the desired overall flow rate for the catholyte inlet204is achieved. The system200can also include a makeup line252to introduce makeup water or makeup electrolyte to compensate for water that is consumed via the electrolysis reactions to generate H2gas and (optionally) O2gas. In the example shown inFIG.2, the makeup line252is mixed with the common recirculation line216before it is split into the cooling recirculation branch224and the heating recirculation branch226. The system200can include one or more temperature sensors to measure temperatures of one or more of the electrolyte inlets202,204and/or the electrolyte outlets206,208. In an example, an anolyte outlet temperature sensor274is positioned on the anolyte outlet206to measure an outlet temperature of the anolyte exiting the anode half cell111and a catholyte outlet temperature sensor276is positioned on the catholyte outlet125to measure an outlet temperature of the catholyte exiting the cathode half cell121. The system200can also include one or more controllers (not shown, but similar to the controllers140,142of the system100ofFIG.1), which can be configured to receive an outlet temperature signal corresponding to one or both of the electrolyte outlets206,208from one or both of the electrolyte outlet temperature sensors274,276, which can be used to control the control valves244,246,248,250to achieve the desired specified electrolyte inlet temperatures and/or a desired overall electrolyte flow rate into each half cell111,121, similar to the operation described above for the control of the control valves154,156,158,160,162,164in the system100ofFIG.1. In an example, the system200also includes one or more temperature sensors to measure a temperature of the electrolyte inlet202,204for one or both of the anode side and the cathode side of the temperature control subsystem210. For example, an anolyte inlet temperature sensor284can be positioned on the anolyte inlet202to measure an inlet temperature of the anolyte entering the anode half cell111and a catholyte inlet temperature sensor286can be positioned on the catholyte inlet204to measure an inlet temperature of the catholyte entering the cathode half cell121. One or more controllers can be configured to receive an inlet temperature signal corresponding for one or both of the electrolyte inlets202,204from one or both of the electrolyte inlet temperature sensors284,286, which can be used to control the control valves244,246,248,250to achieve the desired specified electrolyte inlet temperatures and/or a desired overall electrolyte flow rate into each half cell111,121, similar to the operation described above for the control of the control valves154,156,158,160,162,164in the system100ofFIG.1. The systems100and200ofFIGS.1and2are each shown with only a single electrolyzer cell102. However, the example temperature control schemes could also be used for temperature control of the electrolyte inlet feeds into multi-cell electrolyzer stacks. For example, the system100ofFIG.1could include substantially the same recirculation loops with the holding tanks132,134, the electrolyte coolers144,146, bypass lines150,152, but instead of the electrolyte outlets115,125each coming out of an individual half cell111,121of an individual cell102, each electrolyte outlet line115,125can receive the outlet streams from the corresponding half cells for each cell in a multi-cell stack. For example, in a system including a plurality of cells102each including an anode half cell111and a cathode half cell121(e.g., with each cell102being identical or substantially identical to the cell102shown inFIG.1) the anolyte outlet115can be configured to receive the anolyte flowing out of all of the anode half cells111in the stack. Similarly, the catholyte outlet125can be configured to receive the catholyte flowing out of all of the cathode half cells121in the stack. Similarly, in a system comprising a stack of cells102, instead of the electrolyte inlets114,124each feeding an individual half cell111,121of an individual cell102, each electrolyte inlet line114,124can be a common feed line that is split in order to feed the half cells for each cell in the multi-cell stack. For example, the anolyte inlet114can be configured as a common feed line that is split to feed all of the anode half cells111in the multi-cell stack and the catholyte inlet124can be configured as a common feed line that is split to feed all of the cathode half cells121in the multi-cell stack. The system200ofFIG.2can be similarly configured to accommodate temperature control of multiple cells in a multi-cell stack instead of just the individual cell102shown inFIG.2. Simulations were run to estimate temperature rise and distribution of the electrolyte as it flows through the half cells111,121of the electrolyzer cell102. It was found that when the electrolyte is introduced as a cold fluid, it quickly warms (e.g., within the first few centimeters of height of the half cell111,121), and is then at a constant or substantially constant temperature along the height of the cell102. It is this uniformity or substantially uniformity of the fluid temperature that can provide for the avoidance of the formation of temperature gradients across the separator131, which in turn can enable the temperature control schemes of the present disclosure. Demonstration studies were performed wherein the inlet stream temperatures were varied so as to maintain the specified outlet stream temperatures. The results agree well with the results of the simulations. FIG.3is a graph of data from an initial demonstration cell experiment. Although the temperature data is quite noisy, one can see that at 3 A/cm2 (corresponding to cell voltage of about 2.8 V), the anode inlet and outlet temperatures were about 48° C. and about 78° C., respectively, and the cathode inlet and outlet temperatures were about 52° C. and about 69° C., respectively. Averaging the two, the temperature rise through the cell was roughly 28° C., in-line with what simulations predicted for those conditions. The data ofFIG.3also shows a temperature dependence for the cell voltage, with lower voltages (and corresponding higher efficiency) when operating with higher outlet temperatures. Care can be taken to ensure that the separator runs sufficiently warm but is not overheated to the point of thermal degradation. The above detailed description includes references to the accompanying drawings, which form a part of the detailed description. The drawings show, by way of illustration, specific embodiments in which the invention can be practiced. These embodiments are also referred to herein as “examples.” Such examples can include elements in addition to those shown or described. However, the present inventors also contemplate examples in which only those elements shown or described are provided. Moreover, the present inventors also contemplate examples using any combination or permutation of those elements shown or described (or one or more aspects thereof), either with respect to a particular example (or one or more aspects thereof), or with respect to other examples (or one or more aspects thereof) shown or described herein. In the event of inconsistent usages between this document and any documents so incorporated by reference, the usage in this document controls. In this document, the terms “a” or “an” are used, as is common in patent documents, to include one or more than one, independent of any other instances or usages of “at least one” or “one or more.” In this document, the term “or” is used to refer to a nonexclusive or, such that “A or B” includes “A but not B,” “B but not A,” and “A and B,” unless otherwise indicated. In this document, the terms “including” and “in which” are used as the plain-English equivalents of the respective terms “comprising” and “wherein.” Also, in the following claims, the terms “including” and “comprising” are open-ended, that is, a system, device, article, composition, formulation, or process that includes elements in addition to those listed after such a term in a claim are still deemed to fall within the scope of that claim. Moreover, in the following claims, the terms “first,” “second,” and “third,” etc. are used merely as labels, and are not intended to impose numerical requirements on their objects. Method examples described herein can be machine or computer-implemented at least in part. Some examples can include a computer-readable medium or machine-readable medium encoded with instructions operable to configure an electronic device to perform methods as described in the above examples. An implementation of such methods can include code, such as microcode, assembly language code, a higher-level language code, or the like. Such code can include computer readable instructions for performing various methods. The code may form portions of computer program products. Further, in an example, the code can be tangibly stored on one or more volatile, non-transitory, or non-volatile tangible computer-readable media, such as during execution or at other times. Examples of these tangible computer-readable media can include, but are not limited to, hard disks, removable magnetic disks, removable optical disks (e.g., compact disks and digital video disks), magnetic cassettes, memory cards or sticks, random access memories (RAMs), read only memories (ROMs), and the like. The above description is intended to be illustrative, and not restrictive. For example, the above-described examples (or one or more aspects thereof) may be used in combination with each other. Other embodiments can be used, such as by one of ordinary skill in the art upon reviewing the above description. The Abstract is provided to comply with 37 C.F.R. § 1.72(b), to allow the reader to quickly ascertain the nature of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. Also, in the above Detailed Description, various features may be grouped together to streamline the disclosure. This should not be interpreted as intending that an unclaimed disclosed feature is essential to any claim. Rather, inventive subject matter may lie in less than all features of a particular disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description as examples or embodiments, with each claim standing on its own as a separate embodiment, and it is contemplated that such embodiments can be combined with each other in various combinations or permutations. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled. | 67,718 |
11859300 | DETAILED DESCRIPTION In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments. Introduction and Context Control of the composition and concentration of the electroplating solution used in an electroplating system may be important to the performance of the electrochemical deposition process. Typically, there are multiple components in a given electroplating solution. For example, the composition of electrolyte used for the deposition of copper on a wafer may vary, but may include sulfuric acid, copper salt (e.g., CuSO4), chloride ion, and a mixture of organic additives. The composition of electroplating solution is selected to optimize the rate and uniformity of electroplating inside features of the wafer, or in the field of the wafer, e.g., an area without features formed on or in the wafer. During the plating process, copper salt serves as the source of copper cation, and also provides conductivity to the electroplating solution; further, in certain embodiments, sulfuric acid enhances electroplating solution conductivity by providing hydrogen ions as charge carriers. Also, organic additives, generally known in the art as accelerators, suppressors, or levelers, are capable of selectively enhancing or suppressing rate of copper (Cu) deposition on different surfaces and wafer features. Chloride (Cl) ion is useful for modulating the effect of organic additives, and may be added to the electroplating bath for the purpose. In some implementations, another halide (e.g., bromide or iodide) is used in place of or in addition to chloride. Generally, separation of anodic and cathodic regions of an electroplating cell by a semi-permeable membrane may be desirable since chemical processes occurring at the anode and at the cathode during electroplating may not necessarily be compatible. For example, during operation, insoluble particles may form on the anode. Protection of the wafer from such insoluble particles is often desirable to avoid interference of such particles with subsequent metal deposition processes conducted on the wafer. Also, the restriction of organic additives to the cathodic portion of the plating cell may also be desirable to prevent such additives from contacting and/or reacting with the anode. For instance, a suitable separating membrane would allow for the flow of ions, and hence, current, between the anodic and cathodic region of the plating cell, but will still restrict unwanted particles and/or organic additives from permeating through the separating membrane. Thus, usage of the separating membrane during electrodeposition will create different chemical environments in the cathodic and anodic regions of a plating cell equipped with the separating membrane. Electrolyte contained in the anodic region of the plating cell may be referred to as the “anolyte.” Likewise, electrolyte contained in the cathodic region of the plating cell may be referred to as the “catholyte.” An electroplating apparatus having a membrane to separate the anodic region from the cathodic region is described in further detail in U.S. Pat. No. 6,527,920 entitled “Copper Electroplating Apparatus” to Mayer et al. and is incorporated in its entirety herein by reference. As discussed above, such a separating membrane allows current to flow between the anodic region and the cathodic region, but may be further configured to selectively restrict current flow depending on the type of ion. That is, the membrane separating the catholyte and anolyte may demonstrate selectivity for different types of ions. For example, for a Cu plating application, the separating membrane may allow passage of hydrogen ions (H+) at a faster rate than the passage rate of copper ions, e.g., Cu2+and/or Cu+. Depending on the selectivity of the membrane, the mobility of particular types of ions or current more generally may be predominantly carried by hydrogen ions, until a certain molar ratio between, for example, Cu2+and H+concentrations is achieved. After this ratio is achieved, copper ions and hydrogen ions may begin to carry current across the membrane proportionally so that Cu2+and acid concentration in the anodic portion of the electrochemical cell stabilize. Therefore, until a certain molar ratio between copper ions and hydrogen ions is achieved, the anolyte may be continuously depleted of its acidic component, since hydrogen ions are the main current carriers under these conditions. Concurrent with the depletion of the acidic component of the anolyte, the concentration of copper salt is increased, especially when a copper-containing anode is used. The above effect, e.g., depletion of acid from the anolyte with a commensurate increase in copper salt, may be referred to in the art as an “acid/metal ion partition effect” taking place inside the anode chamber, or “anode chamber depletion effect,” since acid is depleted in the anode over a period of time. The acid/metal partition processes described above may also inadvertently result in several undesirable side effects on the plating system. Several such side effects are described in U.S. Pat. No. 8,128,791 (herein the '791 patent) entitled “Control of Electrolyte Composition in a Copper Electroplating Apparatus” to Buckalew et al., incorporated by reference in its entirety herein. Undesirable side effects include potential crystallization, or precipitation, of excess salt from the electroplating solution onto the anode surface inside the anode chamber. Also, water may seep across the membrane due to the electro-osmotic effect by creating pressure gradient between the anodic portion and cathodic portion of the apparatus, which may ultimately lead to membrane damage and failure. U.S. Pat. No. 8,128,791 describes ways of controlling the anodic electrolyte composition by frequently replenishing the anode chamber with plating electrolyte. Such a process may be referred to in the art as “bleed and feed.” Alternative to bleed and feed, diluted electrolyte may be added into the anode chamber of the plating cell. The acid/metal ion partition effect, described above, may also create undesirable electroplating solution concentration fluctuation on the cathodic side of an electroplating cell, which, in turn, may impact electroplating process performance. A few examples are described below. To understand the above discussed phenomenon, a typical electrolyte management system is illustrated inFIG.1. As shown, there are a few major segments, e.g., an anode solution loop132and/or a cathode solution loop118, in an electrolyte management system100. Typically, there is a central bath102that provides electroplating solution to a plating cell148and a main cathode chamber122. The central bath102includes a solution recirculation loop (not shown inFIG.1). Additionally, in certain embodiments or configurations, the central bath may also have a temperature control, and a dosing system such as that for additive dosing, deionized water (DI) dosing, and dosing of other active bath components. Further, in some embodiments, the central bath102may be equipped with a draining or overflow line146leading away from the central bath102to remove unwanted electroplating solution when appropriate. Moreover, in a plating apparatus, such as the plating cell148, with separate anodic and cathodic portions, the anodic portion, such as main anode chamber126, may have a dedicated recirculation loop132, and dosing line (not shown inFIG.1), and overflow and/or drain line (not shown inFIG.1). In such a configuration, the main cathode chamber122may be configured to receive plating electrolyte from the central bath102, circulate the electrolyte toward the plating cell148by a feed line112and direct overflow back to the central plating bath102by cell and/or overflow drain line142. One skilled in the art will appreciate that the configuration shown inFIG.1is exemplary and many other suitable configurations may exist without departing from the scope of the disclosure. Further, certain variations and/or configurations of system100shown inFIGS.1,7,9,11A and12are intended to be representative schematics only and not to be interpreted as literal layouts or configurations of system100. The electrolyte management system100shown inFIG.1will be used to describe variants of the system100in relation to supplying a secondary, or supplemental, electrolyte to various system100components to regulate undesirable electroplating solution concentration fluctuation on either the cathodic or anodic sides of the plating cell148. Such variants are shown inFIGS.7,9,11A and12and described in further detail below. Generally, system100shown inFIG.1includes the cathode solution loop118and the anode solution loop132, which, in certain embodiments, may be in fluid communication with one another through the bath102contained in an electroplating solution reservoir150. During normal operation of system100, incoming plating electrolyte, sometimes called make-up solution, having a defined concentration of metal ion in solution with acid, is provided to system100via line108. Various regulation points110, such as valves, pressure, and/or flow controllers may be installed on line108, and/or other lines similar thereto, to regulate fluid flow through the line upon which the regulation point110is installed. Similarly, mixing point112may receive fluid flow from an incoming line108. Mixing points112may likewise be installed as needed throughout system100to regulate delivery and quantity of fluid flowing through lines108, etc. Thus, incoming plating electrolyte may flow through regulation point110to enter bath102to accumulate in the reservoir150intended to hold bath102. In certain embodiments, organic additives are flowed into bath102via line104. Similarly, de-ionized (DI) water may be flowed into bath102via line106to regulation concentration levels of the various components, or ingredients, of bath102. Operation of system100may involve the pumping of bath102fluid through line116toward the cathode side122of the plating cell148for accumulation therein. In certain embodiments, a cathode128may be at least partially submerged in the cathode side122and electrically connected to an anode130, which may be similarly submerged in the anode side126to complete an electric circuit134. Further, electrical current (or more precisely the electrons carrying the current) is generally in the direction136, e.g., from the negatively charged anode130to the positively charged cathode128. The electric current drives reaction of metal ions, e.g., copper ions, Cu2+, in solution with acid in the cathode side, or compartment,122, allowing for electroplating of such copper metal on a wafer200, as shown inFIG.2, positioned in the cathode side122of the plating cell148. Solution from the cathode side122may be pumped through a cell overflow, or drain, line138back to bath102as needed. Similarly, solution from the anode side126may be pumped through an anode drain line142also to the bath102as needed. Overflow from bath102may be intermittently be pumped out of system100through a bath overflow, or drain, line146, which may be referred to more generally as a bath dosing and overflow control loop144. In certain embodiments, the bath dosing and overflow control loop may include a recirculation pump (not shown), a dosing line (not shown), the bath overflow line146, and a temperature control apparatus and/or mechanism (not shown). Together supplying make-up solution via line108and dumping electrolyte from the reservoir150holding primary electroplating solution, or bath,102serves as the bleed and feed process. A factor to consider during supply of plating electrolyte to the cathodic side122to conduct electroplating on a wafer contained therein is the acid/metal ion partition effect, as described earlier. This effect can be observed in a copper plating process and may apply to other similar plating system. As illustrated inFIG.2, on the anode, Cu ions, e.g., shown as metal ions, or Me+, are de-plated into the anodic solution due to the passing of direct electric current through the oxidation reaction of Cu→Cu2++2e. On the cathode side122, the Cu2+ions are extracted from the solution through the reaction of Cu2++2e→Cu. Analogously, across membrane124on the anode side126, due to acid carrying a large portion of the plating current, the anodic electrolyte, which had become metal ion rich, slowly depletes acid or H+ions, over time. On the cathode side122, since metal ions (e.g., cupric ions for Cu plating) are removed from solution upon electroplating or electrodeposition upon wafer200contained therein, while the solution flowing across the separation membrane (from anode chamber to cathode chamber) is acid rich. As mentioned, ionic transfer through the membrane favors hydrogen ions over copper ions. Thus, copper ion concentration in the cathode side122would drop over time, while acid concentration therein would increase, as illustrated inFIG.3B, e.g., showing an initial spike in acid concentration followed by eventual tapering thereof as concentration levels approach a steady-state condition. As described elsewhere, the acid metal ion partition effect may be obviated by adopting a high electrolyte replenish rate to the anodic side126and/or on the bath102, which in fluid communication with the cathodic side122in many configurations. But high replenish rates can unnecessarily waste electroplating solution and increase the operation cost of the electroplating apparatus. The acid/metal ion partition effect may have a substantial impact on electroplating solutions having a relatively low metal ion concentration (e.g., about 5 g/l or lower). In such solutions, a concentration change of as little as a few tenths of a gram per liter can greatly impact the overall concentration of the metal ion in the solution and hence overall electroplating performance. For example, if the target copper ion concentration is about 2 g/l and the concentration drift depletes about 0.6 g/l of copper from the catholyte, the concentration has now dropped by 30% and the plating performance may therefore experience a significant negative impact. Other variations observed of plating with metals other than copper are shown in Figures through6A-6D. More particularly, cobalt (Co) may be selected to be used as the metal for such plating processes. As in a copper plating electrolyte, the cobalt plating electrolyte may be configured to include cobalt salts, sulfuric acid, organic additives, and boric acid as a buffer solution. In this plating process, as in previous Cu plating example, metal ions are stripped from the anode through the following reaction: Me-e→Me+. Simultaneously, at the cathode surface, due to lower than 100% plating current-efficiency for metal plating (current efficiency is defined here as the metal plating (Me++e→Me) current as a percentage of the total current delivered to the anode), the two reduction reactions happen at the same time: Co2++2e→Co and 2H++2e→H2. The amount of current consumed by each reaction varies between plating process settings. Over a long term, the net effects of this plating process on the plating bath electrolyte are: (1) metal ion concentration increase since more is released from anode than consumed at cathode; (2) acid concentration drop since acid is only consumed on the cathode side without being supplied from the anode; (3) boric acid (H3BO3) concentration does not change since boric acid is not actively involved in the reaction. This is illustrated inFIG.5. Note that if the acid metal ion partition effect happened in the anodic side could further shift the metal ion and acid concentration if the amount of charge carried by acid through the membrane is significant. But in some applications, due to the much lower concentration of acid as compared to the metal ion concentration, the partition effect becomes negligible. For simplicity of discussion here, the partition effect on cathodic electrolyte concentration for this kind of plating process was not included. With the net consumption of acid from the plating electrolyte, acid dosing to the plating bath may be implemented in the above discussed system, e.g., the electrolyte management system100. For process performance considerations, Co ion concentration would also needs to be controlled, by adding de-ionized (DI) water to the plating electrolyte. As a result of both acid and DI dosing to the bath, boric acid concentration would drop over time without any dosing mechanism. This is illustrated inFIG.6. Since boric acid (any other component of similar function in other metal electroplating process) may be important for the Co plating process, the concentration of boric acid needs to be addressed as well. On a plating apparatus, it is sometimes desirable to have an auxiliary cathode, as disclosed in U.S. Pat. No. 8,308,931 entitled “Method and Apparatus for Electroplating” to Reid et al., and U.S. Pat. No. 8,475,644 entitled “Method and Apparatus for Electroplating” to Mayer et al. both incorporated herein by reference in their entireties. Implementing an auxiliary cathode, or auxiliary anode, in an electrolyte management system provide certain advantages. The auxiliary cathodes are usually contained in small isolated chambers to avoid contacting with the main cathode (wafer substrate in a plating apparatus), and they are usually of smaller size as compared to the main cathode (wafer substrate). It is sometimes desirable to have different concentration for the electrolyte in the auxiliary cathode chamber. For example, it is sometimes preferred to have higher anion concentration (than in the plating electrolyte for main cathode) in the auxiliary cathode chamber so that higher current could be applied on the auxiliary cathode. Definitions The following terms are used intermittently throughout the instant disclosure: “Substrate”—In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Further, the terms “electrolyte,” “electroplating bath,” “plating bath,” “bath,” “electroplating solution,” and “plating solution” are used interchangeably. The following detailed description assumes the embodiments are implemented on a wafer. However, the embodiments are not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices and the like. “Metal”—a material (an element, compound, or alloy) that is, for the purposes of this disclosure, desirable for plating onto a substrate or wafer. Examples include copper, cobalt, tin, silver, nickel, and alloys or combinations of any of these. “Electroplating cell”—a cell, typically configured to house an anode and a cathode, positioned opposite to each other. Electroplating, which takes place on the cathode in an electroplating cell, refers to a process that uses electric current to reduce dissolved metal cations so that they form a thin coherent metal coating on an electrode. In certain embodiments, an electroplating cell has two compartments, one for housing the anode and the other for housing the cathode. In certain embodiments, an anode chamber and a cathode chamber are separated by a semi-permeable membrane that permits for the selective movement of concentrations of ionic species therethrough. The membrane may be an ion exchange membrane such as a cation exchange membrane. For some implementations, versions of Nafion™ (e.g., Nafion 324) are suitable. “Anode chamber”—a chamber within the electroplating cell designed to house an anode. The anode chamber may contain a support for holding an anode and/or providing one or more electronic connections to the anode. The anode chamber may be separate from the cathode chamber by a semi-permeable membrane. The electrolyte in the anode chamber is sometimes referred to as anolyte. “Cathode chamber”—a chamber within the electroplating cell designed to house a cathode. Often in the context of this disclosure, the cathode is a substrate such as a wafer such as a silicon wafer having multiple partially fabricated semiconductor devices. The electrolyte in the cathode chamber is sometimes referred to as catholyte. “Electroplating solution (or electroplating bath, plating electrolyte, or primary electrolyte)”—a liquid of dissociated metal ions, often in solution with a conductivity enhancing component such as an acid or base. The dissolved cations and anions disperse uniformly through the solvent. Electrically, such a solution is neutral. If an electric potential is applied to such a solution, the cations of the solution are drawn to the electrode that has an abundance of electrons, while the anions are drawn to the electrode that has a deficit of electrons. “Make-up solution”—a type of electroplating solution that typically contains all or nearly all components of the primary electroplating solution. Make-up solution is provided to an electroplating solution to maintain the concentration of solution components within desired ranges, chosen to maintain good electroplating performance. This approach is used because concentrations of components vary in the solution drift or vary with time due to any of a number of factors as described below. Make-up solution is often provided as the “feed” of a bleed and feed system. Often the concentrations of components in the make-up solution are similar or identical to the target concentrations for those components. Some make-up solutions do not include organic plating additives. “Recirculation system”—provision of fluid substances back into a central reservoir for subsequent re-use. A recirculation system may be configured to efficiently re-use electroplating solution and also to control and/or maintain concentration levels of metal ions within the solution as desired. A recirculation system may include pipes or other fluidic conduits together with a pump or other mechanism for driving recirculation. “Target concentration”—a concentration level of metal ions and/or other components in the electroplating solution used to achieve desired plating performance. In various embodiments, components of the make up solution are provided at the target concentrations. “Secondary electroplating solution (or secondary electrolyte)”—An additional electroplating solution, similar to the make-up solution, but having a concentration of metal ion or other component that substantially deviates from its target concentration in the electroplating solution. In certain embodiments, the secondary electroplating solution is applied to remedy undesirable concentration drift of one or more components in the electroplating solution. Concentrations recited in g/l refer to the total mass of a component in grams per one liter of solution. For example, a 10 g/l concentration of component A means that 10 grams of component A are present in a one liter volume of the solution containing component A. When specifying a concentration of an ion such as copper ion or cobalt ion in g/l, the concentration value refers to the mass of the ion alone (not the salt or salts from which the ion was produced) per unit volume of solution. For example, a concentration of 2 g/l of copper ion contains 2 g of copper ion per liter of solution in which the copper ion is solubilized. It does not refer to 2 grams of copper salt (e.g., copper sulfate) per liter of solution or otherwise refer to the mass of the anion. However, when referring to the concentration of an acid such as sulfuric acid, methane sulfonic acid, or boric acid, the concentration value refers the mass of the entire acid (hydrogen and anion) per unit volume. For example, a solution having 10 g/l sulfuric acid contains 10 grams of H2SO4per liter of solution. Electroplating Systems Using a Secondary Electrolyte Included in this disclosure are a method and an apparatus allowing practice of such a method, to control the plating electrolyte concentration provided to a plating apparatus, primarily directed to the cathodic side of the apparatus. In certain embodiments, a similar approach may be used to control the electrolyte concentration in the anodic portion of the plating apparatus, which could in turn impact the electrolyte concentration on the cathodic side. A method disclosed herein involves adding a secondary or supplemental, plating electrolyte to the plating apparatus, which may receive and use a plating electrolyte of a target concentration for plating onto the main cathode (wafer substrate). The secondary electrolyte is often of a different composition from the primary plating electrolyte or a target concentration thereof. Examples of features of the secondary electrolyte include that: (1) The secondary electrolyte contains most, or all, of the components contained by the primary electrolyte. In certain embodiments, the secondary electrolyte lacks organic plating additives, while the primary electrolyte includes such additives. In various embodiments, the secondary electrolyte includes all but one or all but two of the components of the primary electrolyte. For example, in a copper-acid plating system, the secondary electrolyte may lack chloride ion and/or organic plating additives, but otherwise has all the other remaining components of the copper-acid primary electrolyte. In another example, a cobalt-acid plating system may employ a secondary electrolyte that lacks cobalt ions and/or organic plating additives, but otherwise has all the other remaining components of the cobalt-acid primary electrolyte. (2) Most, but not all, the components of the secondary electrolyte may have the same, or substantially the same, concentration as in the primary electrolyte, particularly the target concentrations of such components in the primary electrolyte. In some cases, these components of the secondary electrolyte have the same, or substantially the same, compositions as in a make up solution (MS). For example, in an acid-copper plating system, the secondary electrolyte may include acid and chloride ion at concentrations that are substantially the same as those in the primary electrolyte. In another example, in an acid-cobalt plating system, the electrolyte may include acid and cobalt ion at concentrations that are substantially the same as those in the primary electrolyte. (3) At least one of the components in the secondary electrolyte has a significantly different concentration than the primary electrolyte's target concentration. In an acid-copper plating system for example, the target concentration of copper ion in the primary electrolyte may be about 2 g/l, and the concentration of copper ion in the secondary electrolyte may be about 40 g/l. In an acid-cobalt plating system for example, the target concentration of borate ion in the primary electrolyte may be about 33 g/l, while the concentration of borate ion in the secondary electrolyte may be about 45 g/l (e.g., the solubility limit of borate). (4) The component(s) of the secondary electrolyte that is (are) significantly different in concentration are the same component(s) in the primary electrolyte which would experience the most drift in composition or concentration, given a normal processing without using a secondary electrolyte, e.g., copper ion and acid inFIGS.3A-3Dand borate ion inFIG.6C. In some cases, particularly those involving a significant acid/metal ion partition effect, a significant drift is transient (i.e., it occurs only temporarily and is not permanent). SeeFIGS.3B-3D. For example, the drift may only be significant upon starting operation after replacing much or all of the electrolyte solution. As an example, the transient drift may exist for a duration corresponding to plating on about 300-1,000 wafers, or over the course of approximately one day. In some implementations, it is only during the transient period of significant drift that the secondary electrolyte need be employed. (5) Depending on the direction of the drift in a component's concentration in the primary electrolyte during usage, the concentration of the component in the secondary electrolyte is significantly higher or lower in concentration. For example, given the acid concentration's positive “drift” in the catholyte shown inFIG.3D, a secondary electrolyte may include a significantly reduced concentration of acid. The concept of “drift,” as understood in the art and referred to herein may be considered a perturbation from a target concentration value. For example, a drift may be a perturbation of more than about 2-3% from a specified target value. In another example, given the copper ion concentration's negative drift in the catholyte shown inFIG.3C, a secondary electrolyte may include a significantly increased concentration of copper ion. In still another example, given the borate concentration's negative drift in the catholyte shown inFIG.6C, a secondary electrolyte may include a significantly increased concentration of borate ion. (6) The volume usage of the secondary electrolyte may be relatively insignificant when compared to the volume of the central plating bath (primary electroplating solution) or the reservoir holding that bath, e.g., reservoir150shown inFIGS.1,7,9,11A, and12. This has the benefit reducing reliance on consumable ingredients and thus may allow for designs or configurations that do not increase, or not significantly increase, the footprint of the plating apparatus. In an example, the amount of secondary electrolyte used in one day of continuous plating operation (e.g., to plate about 1,000 wafers) is no more than 5% of the volume of the electroplating solution reservoir. (7) Use of the secondary electrolyte may significantly reduce the required bleed and feed rate to maintain the primary electrolyte in control specification. The amount of reduction in the bleed and feed rate depends on the particular plating system application. In an instance where make-up solution has a cupric ion concentration of about 2 g/l, the bleed and feed rate required to control copper ion concentration to within 5% to target may be greater than about 150%. For the same applications but with the use of a secondary electroplating solution as described herein, the bleed and feed rate may be reduced to only 15%, and yet have similar, or even better, control over copper concentration in the solution. A bleed and feed rate refers to the fraction of fluid volume in the electroplating solution reservoir that is replaced (bled off or fed in) during one day of continuous electroplating. For example, if the reservoir holds 150 L of electroplating solution, a bleed and feed rate of 15% requires replacement of 22.5 L of electroplating solution during a day of continuous electroplating. (8) Additions of the secondary electrolyte to the primary electrolyte, or to the auxiliary cathode chamber, are based on primary electrolyte composition, and may not always be needed. For example, secondary electrolyte additions may not always be needed outside of transient concentration deviations due to results of the acid/metal ion partition effect. (9) In certain embodiments, the secondary electrolyte may be supplied to the plating apparatus through a small container attached to the plating apparatus. Moreover, in certain embodiments, supplying the secondary electrolyte is done through a bulk facility supply (e.g., a source that is available to multiple tools in a fabrication facility, and may be plumbed through the facility). (10) In some embodiments, the secondary electrolyte is introduced to the main electroplating solution reservoir. Also, in certain embodiments, the secondary electrolyte is introduced to the cathode chamber of a plating cell and/or to an auxiliary cathode chamber of the plating cell. In some applications, the secondary electrolyte is introduced to the anode chamber of a plating cell. This latter application may help maintain the cathode side electrolyte concentration to specification. Various orientations and/or configurations of the supply of secondary electrolyte to various components of the electrolyte management system100, shown inFIG.1, are shown inFIGS.7,9,11A, and12and will be described in further detail below. When specifying concentration values, “substantially the same” means within about +/−5% from a specified target value. For example, a concentration that is substantially the same as 2 g/l may be within a range of about 1.9 to 2.1 g/l. Unless otherwise noted when specifying concentration values, “significantly deviate from,” “is significantly different than,” and the like mean that the more concentrated component has a concentration that is between about 1.3 times and 50 times the concentration of the less concentrated component. In some cases, the concentration difference of a component in (a) a secondary electroplating solution and (b) a primary electroplating solution or a make up solution, is between about 5 to 50 times. For example, the concentration of component A is about 5 to 50 times greater in the secondary electroplating solution than in the primary electroplating solution, or vice versa. In another example, the concentration of component A is about 5 to 20 times greater in the secondary electroplating solution than in the primary electroplating solution, or vice versa. In yet another example, the concentration of component A is about 15 to 30 times greater in the secondary electroplating solution than in the primary electroplating solution, or vice versa. As described previously, component concentration drift in a plating electrolyte may be common. This is especially true for a plating apparatus with separate anodic and cathodic portions, but may not be necessarily tied to that kind of design. To maintain both catholyte and anolyte concentration to acceptable level to ensure acceptable electrochemical plating performance, a general approach in controlling the electrolyte concentration is to adopt a high electrolyte replenish (e.g., “bleed and feed”) rate. However, doing so may increase operational costs of running plating processes significantly, and sometimes make the plating process prohibitively expensive. In addition, in some cases, application and/or usage of a high bleed and feed rate alone may not adequately address the electrochemical plating performance related problems. A second approach that could be used is to have separate dosing for each and every component in the electrolyte. However, doing so could make the dosing algorithm extremely complicated. Additionally, dosing of every component to the plating electrolyte would generate a diluting effect to all other components in the plating electrolyte. Thus, the plating apparatus could end up being in dosing/calculating status all the time. Accordingly, this approach is generally avoided. By adopting a “complementary” secondary electroplating solution, the replenish rate could be significantly reduced, while the concentration drift in the primary plating electrolyte could be significantly reduced. By designing the secondary electrolyte properly, the usage of secondary electrolyte could be minimized so that adopting secondary electrolyte would not contribute toward substantial additional costs to setting up and running the plating apparatus. Example (1)—Copper Electroplating Cu-like plating process, where Cu plating current efficiency is high (close to 100%), and where there is a strong acid metal ion partition effect in the anodic electrolyte. As described in the previous sections and shown inFIG.2andFIGS.3A through3D, a potential issue with this plating process is acid drifting low and Cu concentration drifting high in the anodic portion, and acid drifting high and Cu drifting low in the cathodic portion. In certain embodiments, the copper electroplating solution includes copper sulfate, sulfuric acid, chloride ions, organic additives, and deionized (DI) water as needed. Typical concentration ranges for such components include about 1-25 g/l Cu ion, about 10-175 g/l acid, about 40-100 ppm chloride ion, and about 20-400 ppm additives. In certain embodiments, a low concentration copper electroplating solution is used; i.e., a solution having about 10 g/l Cu ion or less and about 5-50 g/l acid. In certain embodiments, a low concentration copper electroplating solution contains about 4-10 g/l Cu ions and about 5-20 g/l acid. FIG.7illustrates a plating electrolyte concentration control scheme with secondary electrolyte. Like reference numerals refer to like elements, thus a redundant description of the same will be omitted. Building upon that described in detail for system100shown inFIG.1, system700further may be configured to include delivery of incoming plating electrolyte, which now may include metal ions of a first defined concentration level, denoted by [Me+]a, acid, e.g., ionized and/or hydrogen ions shown by [H+]a, and chloride ions, shown by [Cl−]a. Unlike system100, system700shown byFIG.7has an additional line704feeding, or otherwise supplying, secondary electrolyte, e.g., to compensate for undesirable plating solution concentration fluctuation on the cathodic side122, and/or the anodic side126. The secondary electrolyte may include metal ions of a second defined concentration level, different from the first defined concentration level, denoted by [Me+]b, and may otherwise have the original concentration levels of acid as the incoming plating electrolyte, e.g., hydrogen ions shown by [H+]a, and chloride ions, shown by [Cl−]a. In the depicted embodiment, secondary electrolyte is supplied to bath102contained in reservoir150. In one implementation, the secondary electrolyte is designed to have significantly higher [Me+] (e.g., Cu2+) than in the bath, while other components (e.g., acid, Cl−) remain of the same concentration. The plating electrolyte concentration could be maintained by dosing secondary electrolyte when [Me+] in cathodic side drifts low, doing so will bring [Me+] up to target without impacting other components; dosing DI when [H+] in the cathodic portion drift high, and add secondary electrolyte to maintain the [Me+] concentration, if needed. As an example, the make-up solution includes about 1-5 g/l copper ion, about 5-20 g/l acid, and about 40-80 ppm chloride ion, while the secondary electroplating solution includes about 30-80 g/l copper ion, about 5-20 g/l acid, and about 40-80 ppm chloride ion. Either or both of the make up solution and the secondary electroplating solution optionally include one or more organic plating additives. In another implementation, the secondary electrolyte could be designed to have significantly higher [Me+] concentration, yet slightly higher concentration in [Cl−], and slightly lower concentration in [W]. For example, assuming a target electroplating solution of about 1-25 g/l Cu ion, about 10-175 g/l acid, about 40-100 ppm chloride ion, and about 20-400 ppm additives, the secondary electroplating solution may have a concentration in the range of about 20-70 g/l copper ion, about 8-10 g/l acid, and about 50-100 ppm chloride ion. In yet another embodiment, the secondary electrolyte could be designed to be metal sulfate (CuSO4) solid powder. In that case, a very small amount of powder addition to the bath would bring anion concentration back to target, yet it will not cause plating bath volume change so it will not impact the concentration levels of the other components. FIGS.8A and8Bshow typical [Cu2+] and acid concentration trends, respectively, in a plating electrolyte on a plating apparatus that has adopted secondary electrolyte. This shows significant improvement in [Cu2+] and acid concentration drift. Example (2)—Cobalt Electroplating Plating processes for electroplating cobalt onto a wafer, where acid/metal ion partition effect in the anodic side is not significant, and where metal plating current efficiency is <100%, are shown in detail by system900inFIG.9. Over long term of plating, Co2+concentration may drift high, while acid (H2SO4) concentration drift low over time, with boric acid concentration remaining relatively stable. SeeFIGS.5A-5C. As illustrated inFIG.5AandFIG.6C, depending on the control algorithm used on the plating apparatus, with acid dosing enabled, the end results could be either Co2+concentration getting too high (if Co2+is not controlled) over time, or H3BO3concentration drifting low (if Co2+concentration is controlled with DI addition).FIG.9illustrates a plating electrolyte control schematic on a Co plating apparatus. In certain embodiments, the cobalt electroplating solution includes cobalt sulfate, sulfuric acid, boric acid, organic additives, and deionized water as needed. Typical concentration ranges for such components include about 2-40 (Co2+)g/l, about 10-40 g (H3BO3)/l (boric acid), about 0.01-0.1 g (H2SO4)/l (e.g., sulfuric acid), and about 20-400 ppm organic plating additives. Similar to that introduced for system700shown byFIG.7, system900, which may be used for plating cobalt, may include the delivery of a secondary electrolyte via line904to bath102. Like reference numerals refer to like elements, thus a redundant description of the same will be omitted. In system900, both the incoming plating electrolyte and the secondary electrolyte may include boric acid, e.g., H3BO3, as a constituent in solution, sometimes used in place of Cl−in cobalt plating solutions. In the depicted embodiment, the make-up solution provided via line108includes metal ion (e.g., cobalt ion), acid (e.g., sulfuric acid), and boric acid. In this embodiment, the secondary electrolyte is supplied to bath102contained in reservoir150. The secondary electrolyte is designed to have significantly lower Co2+concentration (as low as 0 g/l) yet have the same concentration of H3BO3and acid. For example, the secondary electroplating solution may contain between 0-1 g (Co2+)/l (e.g., cobalt ion), between about 10-40 g (H3BO3)/l (e.g., boric acid), and about 0.01-0.1 g (H2SO4)/L (e.g., sulfuric acid). In a specific example, the secondary electroplating solution contains about 0 g/l of cobalt ion, about 30 g/l boric acid, and about 0.1 g/l sulfuric acid. The plating electrolyte concentration could be maintained by dosing secondary electrolyte when [Me+] in cathodic side drift high; this will bring [Me+] down to target without impacting other components; dosing acid when [H+] in the cathodic portion drift low. The secondary electrolyte could also be designed to have significantly higher H3BO3concentration than in the primary electrolyte. For example, the secondary electroplating solution may have between 0-1 g/l cobalt ion, between about 40-50 g/l boric acid, and about 0.01-0.1 g/l sulfuric acid. In a specific example, the secondary electroplating solution has about 3 g/l Co2+, about 45 g/l boric acid, and about 0.1 g/l sulfuric acid. The plating electrolyte concentration could be maintained by dosing DI to the central bath when Co2+drift high; this will bring [Me+] down to target while at the same time, diluting acid and H3BO3concentration; the acid concentration could be compensated with acid dosing, while the H3BO3concentration could be brought up by dosing the secondary electrolyte. The above two approaches could be combined by adopting a secondary electrolyte with significantly lower Co2+concentration and significantly higher H3BO3concentration. For example, the secondary electroplating solution may have between 0-1 g/l cobalt ion, between about 40-50 g/L boric acid, and about 0.01-0.1 g/l sulfuric acid. In a specific example, the secondary electroplating solution has about 0 g/l cobalt ion, about 45 g/l boric acid, and about 0.1 g/l sulfuric acid. FIGS.10A-10Cillustrate how the three major components respond over time with secondary electrolyte dosing implemented on the plating apparatus with lower metal ion concentration secondary electrolyte approach as described. Example 3— Electroplating in Systems Having an Auxiliary Electrode Certain configurations of electroplating systems and/or apparatuses may include an auxiliary electrode chamber contained therein, or connected thereto. Such an auxiliary electrode chamber may be controlled locally, or centrally. As described in previous sections, it is common for a plating apparatus to have more than one cathode or anode chamber. At times, the concentration of the electrolyte component in the auxiliary electrode chamber needs to be different from the main cathodic solution. One such example is illustrated inFIG.11A. In this apparatus, there is a secondary cathode that is contained in a separate chamber. The secondary cathode is added to help maintain the performance of the main cathode (wafer substrate) (to improve plating uniformity on wafer, for example). To support higher plating current capability on the dual cathode, it is desirable to have higher anion concentration in the electrolyte than in the main cathodic solution, yet the usage of the secondary electrolyte is not significant. Adding a secondary electrolyte source through a bottle (or through facility supply) with significantly high anion concentration would help significantly increase the dual cathode current capability since the current the electrolyte could support without gas evolution is directly proportional to the concentration of the anion in the electrolyte. The actual electrolyte to be used in the secondary cathodic chamber could be secondary electrolyte itself, or could be a mixture of secondary electrolyte with the primary electrolyte, depending on the needs of secondary cathode plating current. In one example, the primary electroplating solution contains about 1-5 g/l copper ion, while the secondary electroplating solution, which is provided to one or more auxiliary cathodes contains about 30-70 g/l copper ion. This may increase DC current capability in the auxiliary cathodes by about 6-70 times. System1100A, shown byFIG.11A, likewise builds upon that introduced by system100shown byFIG.1earlier. Like reference numerals refer to like elements, thus a redundant description of the same will be omitted. Secondary plating electrolyte is delivered, or flowed, via line1102into the cathodic side122. In certain embodiments, solution contained in the auxiliary cathode may be the secondary plating electrolyte alone, or a mixture of the secondary plating electrolyte and the incoming plating electrolyte depending on the particular needs of a given application.FIG.11Bshows graph1100B of the maximum auxiliary current as a function of cation concentration in the solution. Graph1100B includes data generated with secondary electrolyte dosing of various concentration levels, e.g., shown by area1102B, as well as a baseline data value without secondary electrolyte, e.g. show by area1104B. Example (4)—Cobalt Electroplating with Secondary Electrolyte to Cathode Chamber The cathode electrolyte concentration on a plating apparatus may be controlled by adopting secondary electrolyte on the anode side, e.g., flowing secondary electrolyte directly into the anode chamber. As previous described, one potential problem with the mass/charge balance in a Co-like plating system is that more metal ion is released from the anode to the plating electrolyte than pulled out of the electrolyte by plating onto the cathode; while hydrogen ions are consumed without being replenished on the cathode side. Thus over time, metal ion concentration drift up, and acid concentration drift down. By introducing into the anode chamber a secondary electrolyte with higher acid, and low or zero metal ion concentration, the system may utilize the selectivity of the cation exchange membrane in transferring hydrogen ions and metal ions to let more hydrogen ion passing the membrane to replenish acid (which was consumed during plating process), and let less metal ion pass through the membrane to avoid accumulation. In this way, provision of the secondary electrolyte to the anode chamber helps to balance the metal ion and hydrogen ion consumption and generation/adding rate in the cathode side. In some embodiments, the primary electroplating solution contains about 2-40 g/L cobalt ion, about 10-40 g/l boric acid, about 0.01-0.1 g/l sulfuric acid, and about 20-400 ppm additives (e.g., about 3 g/l cobalt ion, about 0.1 g/l sulfuric acid, and about 30 g/l boric acid). In such embodiments, the secondary electroplating solution may contain about 0-1 g/l cobalt ion, about 0.1-0.5 g/l sulfuric acid, and about 0-40 g/l boric acid (e.g., about 0 g/l cobalt ion, about 2 g/l sulfuric acid, and about 30 g/l boric acid). As may result from operating a system1200shown inFIG.12, the concentrations of all components contained within the primary electroplating solution and the cathode chamber may be stable over long-term. Likewise, the anode side solution concentrations may also stabilize over time to a level that is different from the target concentrations, due to the addition of secondary electrolyte with a significantly higher acid content. FIGS.13A-13Cillustrate generally stable concentrations of cobalt ion, sulfuric acid, and boric acid in the primary electroplating solution of a cobalt plating system employing direct anode chamber injection of a secondary electroplating solution as illustrated inFIG.12.FIGS.13D and13Eillustrate generally stable concentrations of cobalt ion and sulfuric acid in the anolyte of the cobalt plating system employing direct anode chamber injection of a secondary electroplating solution as illustrated inFIG.12. Note that “SAC” in the figures refers to the anode chamber (separated anode chamber). While similar to the other systems discussed earlier, system1200varies from system100in flowing secondary electrolyte directly to the anode chamber126to assist with stabilizing electroplating solution compositions. Note that systems employing direct anode chamber introduction of secondary electroplating solution are not limited to cobalt plating; they can in some cases be used for plating other metals. Apparatus Many apparatus configurations may be used in accordance with the embodiments described herein. One example apparatus includes a clamshell fixture that seals a wafer's backside away from the plating solution while allowing plating to proceed on the wafer's face. The clamshell fixture may support the wafer, for example, via a seal placed over the bevel of the wafer, or by means such as a vacuum applied to the back of a wafer in conjunction with seals applied near the bevel. The clamshell fixture should enter the bath in a way that allows good wetting of the wafer's plating surface. The quality of substrate wetting is affected by multiple variables including, but not limited to, clamshell rotation speed, vertical entry speed, and the angle of the clamshell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Pat. No. 6,551,487, incorporated by reference herein. In certain implementations, the electrode rotation rate is between about 5-125 RPM, the vertical entry speed is between about 5-300 mm/s, and the angle of the clamshell relative to the surface of the plating bath is between about 1-10 degrees. One of the goals in optimizing these variables for a particular application is to achieve good wetting by fully displacing air from the wafer surface. The electrodeposition methods disclosed herein can be described in reference to, and may be employed in the context of, various electroplating tool apparatuses. One example of a plating apparatus that may be used according to the embodiments herein is the Lam Research Sabre tool. Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus.FIG.14shows a schematic of a top view of an example electrodeposition apparatus. The electrodeposition apparatus1400can include three separate electroplating modules1402,1404, and1406. The electrodeposition apparatus1400can also include three separate modules1412,1414, and1416configured for various process operations. For example, in some embodiments, one or more of modules1412,1414, and1416may be a spin rinse drying (SRD) module. In other embodiments, one or more of the modules1412,1414, and1416may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules1402,1404, and1406. The electrodeposition apparatus1400includes a central electrodeposition chamber1424. The central electrodeposition chamber1424is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules1402,1404, and1406. The electrodeposition apparatus1400also includes a dosing system1426that may store and deliver additives for the electroplating solution. A chemical dilution module1422may store and mix chemicals to be used as an etchant. A filtration and pumping unit1428may filter the electroplating solution for the central electrodeposition chamber1424and pump it to the electroplating modules. A system controller1430provides electronic and interface controls required to operate the electrodeposition apparatus1400. The system controller1430(which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus1400. The system controller1430typically includes one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller1430or they may be provided over a network. In certain embodiments, the system controller1430executes system control software. The system logic (e.g., control software) in the electrodeposition apparatus1400may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus1400. The system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for a low copper concentration electrolyte. For example, the system control logic may be configured to provide a relatively low current density during the bottom-up fill stage and/or a higher current density during the overburden stage. The control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating. For example, the control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating such that the substrate does not encounter depleted copper conditions. In certain embodiments the control logic may operate to provide different levels of mass transfer at different stages of the plating process (e.g., higher mass transfer during the bottom-up fill stage than during the overburden stage, or lower mass transfer during the bottom-up fill stage than during the overburden stage). Further, the system control logic may be configured to maintain the concentration of one or more electrolyte components within any of the ranges disclosed herein. As a particular example, the system control logic may be designed or configured to maintain the concentration of copper cations between about 1-10 g/l. System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. The logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle. In some embodiments, system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an electroplating process may include one or more instructions for execution by the system controller1430. The instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase. In some embodiments, the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase. The control logic may be divided into various components such as programs or sections of programs in some embodiments. Examples of logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component. In some embodiments, there may be a user interface associated with the system controller1430. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc. In some embodiments, parameters adjusted by the system controller1430may relate to process conditions. Non-limiting examples include bath conditions (temperature, composition, and flow rate), substrate position (rotation rate, linear (vertical) speed, angle from horizontal) at various stages, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller1430from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions. In one embodiment, the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate. A hand-off tool1440may select a substrate from a substrate cassette such as the cassette1442or the cassette1444. The cassettes1442or1444may be front opening unified pods (FOUPs). A FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems. The hand-off tool1440may hold the substrate using a vacuum attachment or some other attaching mechanism. The hand-off tool1440may interface with a wafer handling station1432, the cassettes1442or1444, a transfer station1450, or an aligner1448. From the transfer station1450, a hand-off tool1446may gain access to the substrate. The transfer station1450may be a slot or a position from and to which hand-off tools1440and1446may pass substrates without going through the aligner1448. In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool1446for precision delivery to an electroplating module, the hand-off tool1446may align the substrate with an aligner1448. The hand-off tool1446may also deliver a substrate to one of the electroplating modules1402,1404, or1406or to one of the three separate modules1412,1414, and1416configured for various process operations. An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure in the electroplating module1404; (2) rinse and dry the substrate in SRD in module1412; and, (3) perform edge bevel removal in module1414. An apparatus configured to allow efficient cycling of substrates through sequential plating, rinsing, drying, and PEM process operations may be useful for implementations for use in a manufacturing environment. To accomplish this, the module1412can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module1412, the substrate would only need to be transported between the electroplating module1404and the module1412for the copper plating and EBR operations. In some implementations, a controller (e.g., system controller1430) is part of a system, which may be part of the above-described examples. The controller may contain control logic or software and/or execute instructions provided from another source. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system. Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operations described herein, enable cleaning operations, enable endpoint measurements, metrology, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber. Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. An alternative embodiment of an electrodeposition apparatus1500is schematically illustrated inFIG.15. In this embodiment, the electrodeposition apparatus1500has a set of electroplating cells1507, each containing an electroplating bath, in a paired or multiple “duet” configuration. In addition to electroplating per se, the electrodeposition apparatus1500may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example. The electrodeposition apparatus1500is shown schematically looking top down inFIG.15, and only a single level or “floor” is revealed in the figure, but it is to be readily understood by one having ordinary skill in the art that such an apparatus, e.g. the Lam Sabre™ 3D tool, can have two or more levels “stacked” on top of each other, each potentially having identical or different types of processing stations. Referring once again toFIG.15, the substrates1506that are to be electroplated are generally fed to the electrodeposition apparatus1500through a front end loading FOUP1501and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus1500via a front-end robot1502that can retract and move a substrate1506driven by a spindle1503in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations1504and also two front-end accessible stations1508are shown in this example. The front-end accessible stations1504and1508may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot1502is accomplished utilizing robot track1502a. Each of the substrates1506may be held by a cup/cone assembly (not shown) driven by a spindle1503connected to a motor (not shown), and the motor may be attached to a mounting bracket1509. Also shown in this example are the four “duets” of electroplating cells1507, for a total of eight electroplating cells1507. The electroplating cells1507may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure. A system controller (not shown) may be coupled to the electrodeposition apparatus1500to control some or all of the properties of the electrodeposition apparatus1500. The system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein. The electroplating apparatus/methods described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Generally, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film generally includes some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible, UV, or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed. The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof. | 72,578 |
11859302 | DESCRIPTION OF THE EMBODIMENTS In the following, exemplary embodiments of the invention are comprehensively described with reference to figures, but the invention may also be implemented in various different forms and should not be construed as limited to the embodiments of the specification. In the figures, for clarity, the size and thickness of each region, portion, and layer do not need to be shown to actual scale. For ease of explanation, the same devices below are provided with the same reference numerals. The invention is more comprehensively described with reference to the figures of the present embodiments. However, the invention may also be implemented in various different forms, and is not limited to the embodiments in the present specification. The thickness, size, or dimensions of layers or regions in the drawings may be exaggerated for clarity. The same or similar reference numerals represent the same or similar elements and are not repeated in the following paragraphs. Directional terms (e.g., up, down, right, left, front, back, top, bottom) as used herein are used for reference only as shown in the drawings and are not intended to imply absolute orientation. It should be understood that, even though terms such as “first”, “second”, “third”, etc., in the specification may be used herein to describe various components, members, regions, layers, and/or parts, these components, members, regions, and/or parts should not be limited by these terms. These terms are only used to distinguish one device, member, region, layer, or part from another device, member, region, layer, or part. Unless otherwise stated, all of the terminology used in the present specification (including technical and scientific terminology) have the same definition as those commonly understood by those skilled in the art of the invention. FIG.1Ais a flowchart of an electroplating method according to an embodiment of the invention.FIG.1Bis a schematic side view of an electroplating apparatus of an embodiment of the invention.FIG.1Cis a schematic top view of a regulating plate of an electroplating apparatus of an embodiment of the invention. Please refer toFIG.1A,FIG.1B, andFIG.1C, the following describes the main flow of the electroplating method of an embodiment of the invention via the drawings. First, an electroplating apparatus100is provided (step S100), wherein the electroplating apparatus100includes an anode110and a cathode120, a power supply130, a regulating plate,140and a controller150. More specifically, the power supply130is electrically connected to the anode110and the cathode120, the regulating plate140is disposed between the anode110and the cathode120(one regulating plate140is schematically shown between the anode110and the cathode120inFIG.1B), wherein the regulating plate140includes an insulation grid plate142and a plurality of wires144, and the controller150is electrically connected to the plurality of wires144. In addition, the electroplating apparatus100may further include an electrolytic cell (not shown) containing an electrolyte (including a metal ion Y to be plated), and both the anode110and the cathode120are disposed in the electrolytic cell. Here, the materials and types of the electrolytic cell, the electrolyte, the anode110, and the cathode120may be adjusted according to the type of the actual metal to be plated (e.g., copper plating), which is not limited in the invention. It should be noted that other specific details of the electroplating apparatus100will be further described below. Next, a substrate S to be plated is fixed on the cathode120, wherein the substrate S to be plated includes a dry film40, the dry film40has at least a first opening42A and a second opening42B, and the first opening42A is smaller than the second opening42B (step S200). Here, the material of the dry film40is, for example, an insulating material, and the thickness thereof may be determined according to actual design requirements. Then, the power supply130supplies power to form a plurality of electric lines of force L moving from the anode110to the cathode120(which may be the moving direction of electrons released after the anode110is electrically conducted) (step S300). Moreover, the controller150controls the state of the electromagnetic field around the plurality of wires144to change the incident angle of the plurality of electric lines of force L passing through the regulating plate140relative to the substrate S to be plated, such that the number of the electric lines of force L entering the first opening42A is less than the number of the electric lines of force L entering the second opening42B (step S400). Then, a metal coating10is formed on the substrate S to be plated (step S500). Here, “around” may be defined by the current magnetic effect phenomenon (electromagnetic field) in which a magnetic field is generated around the wires144after electrical conduction, and the direction of the magnetic field generated by the wires144may be determined by Ampere's right-hand rule, as shown by the direction of rotation around the wires in FIG. IB. Based on the above, the electroplating apparatus100of the present embodiment has the design of the regulating plate140between the anode110and the cathode120, and the controller150thereof may control the state of the electromagnetic field around the plurality of wires144on the regulating plate140, in order to change the incident angle of the electric lines of force L passing through the regulating plate140relative to the substrate S to be plated (via the action of the Lorentz force generated between the electric lines of force L and the regulating plate140), such that the number of the electric lines of force L entering an opening of a smaller size (such as the first opening42A ofFIG.1B) is less than the number of the electric lines of force L entering an opening of a larger size (such as the first opening42B ofFIG.1B). Since the number of the electric lines of force L (the driveable metal ion Y concentration) is positively related to the thickness of the metal coating10formed, the number of the electric lines of force L entering the opening may be effectively controlled, so that the portion on the substrate S to be plated where a circuit is to be formed has a consistent electric line of force density. Therefore, the issue of poor electroplating thickness uniformity of the metal coating on the substrate S to be plated is alleviated and better operating freedom is achieved. It should be noted that, inFIG.1B, the spiral electric lines of force L after passing through the regulating plate140have the same spiral electric lines of force L, which are only schematic representations, and do not represent the spiral angle of the actual spiral electric lines of force L. That is, the spiral electric lines of force L after passing through the regulating plate140may be the electric lines of force L having different spiral angles. Here, the Lorentz force may be expressed as F=q(E+v×B), wherein F is the Lorentz force, q is the charge of the charged particle, E is the electric field strength, v is the velocity of the charged particle, and B is the magnetic induction intensity. In addition, in the invention, the moving direction of the electric line of force may be regarded as the moving direction of the metal ion Y in the electrolyte. Moreover, the size of the opening may be defined by the line width of the opening. For example, the line width of the first opening42A may be 20 μm, and the line width of the second opening42B may be 40 μm, but the invention is not limited thereto. In some embodiments, the plurality of lines of force L are moved linearly before passing through the regulating plate140, and the plurality of lines of force L are moved spirally after passing through the regulating plate140. That is, the plurality of lines of force L may be emitted from the anode120in parallel and uniformly, then, after passing through the regulating plate140, the metal ion Y in the electrolyte is driven in a spiral movement to reach the opening on the substrate S to be plated to form the metal coating10, but the invention is not limited thereto. In some embodiments, the current intensity of the plurality of wires144is controlled via the controller150to control the state of the electromagnetic field. Since the current intensity on the wires144directly affects the corresponding magnetic field intensity thereof, thus affecting the magnitude of the Lorentz force, the incident angle of the electric lines of force L passing through the regulating plate140with respect to the substrate S to be plated may be controlled by the above design. Here, each of the wires144on the insulation grid plate142represents an angle at which a corresponding number of positions may be controlled. In some embodiments, during the forming of the metal coating10, the controller150may control the current intensity of the plurality of wires144repeatedly. For example, the repeated control may be to repeatedly change the frequency of the current intensity variation of the wires144. That is, during the forming of the metal coating10, for example, the current intensity may be changed 1000 times per second, and the current intensity may be different each time (similar to the frequency modulation concept of alternating current), and the above settings may all be determined according to actual design requirements. Moreover, different current intensities may also be set on different regions, and the current intensity of each of the wires144may be different (a portion of the wires144are different and some of the wires144are the same or all of the wires144are completely different). In this way, each of the wires144may flexibly operate the frequency of the current intensity variation, and therefore the operating freedom may be better improved via the controller150, but the invention is not limited thereto, and the controller150may also only control the current intensity of each of the wires144once, without performing the action of frequency modulation. In the present embodiment, the first opening42A has a first opening angle θ, the second opening42B has a second opening angle δ, the first opening angle θ is smaller than the second opening angle δ, the incident angles of the electric lines of force L entering the first opening42A are all less than or equal to the first opening angle θ, and the incident angles of the electric lines of force L entering the second opening42B are all less than or equal to the second opening angle δ. That is to say, the second opening angle δ is larger than the first opening angle θ so that the electric lines of force L of the incident angle in a wider range may be received, but the invention is not limited thereto. In some embodiments, the substrate S to be plated further includes a third opening42C. The third opening42C is larger than the first opening42A and the second opening42B, and the controller150may also control the state of the electromagnetic field around the plurality of wires144, in order to change the incident angle of the plurality of electric lines of force L passing through the regulating plate140relative to the substrate S to be plated, so that the number of the electric lines of force L entering the third opening42C is greater than the number of the electric lines of force L entering the first opening42A and the number of the electric lines of force L entering the second opening42B. For example, the third opening42C has a third opening angle φ, the third opening angle φ is larger than the first opening angle θ and the second opening angle δ, and the incident angles of the electric lines of force L entering the third opening42C are all less than or equal to the third opening angle φ. That is, the third opening angle φ may receive the electric lines of force L with a wider range of incident angles, but the invention is not limited thereto. Here, the line width of the third opening42C may be 120 μm, but the invention is not limited thereto. In some embodiments, the portion on the substrate S to be plated where a circuit is to be formed may include a circuit dense area and a circuit open area (not shown), and the issue of poor electroplating thickness uniformity of the metal coating of the circuit dense area is more significant. Therefore, the electroplating apparatus100of the present embodiment may more significantly alleviate the issue of poor electroplating thickness uniformity of the metal coating of the circuit dense area of the substrate S to be plated, but the invention is not limited thereto. An improvement effect may also be achieved in the circuit open area. In some embodiments, the current direction of the plurality of wires144is the same as the moving direction of the plurality of lines of force L before passing through the regulating plate140. For example, the current direction of the plurality of wires144is toward the cathode120, but the invention is not limited thereto. Specific details of the electroplating apparatus100are further described below. The insulation grid plate142has an opposite first surface142aand second surface142b, the first surface142ais close to the anode110, and the plurality of wires144are disposed on the first surface142a. More specifically, the plurality of wires144may be regularly arranged on the insulation grid plate142. For example, as shown inFIG.1C, the plurality of wires144may be disposed at the junctions of the line segments (e.g., line segments142A and142B) of the insulation grid plate142. In addition, the plurality of wires144may be bonded onto the insulation grid plate142via an adhesive20, wherein the adhesive20may be any suitable adhesive material, which is not limited in the invention. In some embodiments, each of the wires144may be extended in the same direction. For example, each of the wires144is extended between the insulation grid plate142and the anode110, but the invention is not limited thereto. In some embodiments, there is a distance d between all adjacent wires144, in other words, the adjacent wires144are not in contact with each other, but the invention is not limited thereto. In some embodiments, there is no magnetic substance on the regulating plate140, wherein the magnetic substance includes a magnet, a magnetic material, or a combination thereof, and therefore there is no magnetic field generated by a magnetic substance around the regulating plate140, but the invention is not limited thereto. In some embodiments, the distance between the regulating plate140and the substrate S to be plated may be between 2 millimeters (mm) and 8 centimeters (cm), but the invention is not limited thereto. In some embodiments, the substrate S to be plated may further include a seed layer30, and therefore the metal coating10may be plated on the seed layer30, but the invention is not limited thereto. Based on the above, the electroplating apparatus of the invention has the design of a regulating plate between the anode and the cathode, and the controller thereof may control the state of the electromagnetic field around the plurality of wires on the regulating plate, in order to change the incident angle of the electric lines of force passing through the regulating plate relative to the substrate to be plated (via the action of the Lorentz force generated between the electric lines of force and the regulating plate), such that the number of the electric lines of force entering an opening of a smaller size is less than the number of the electric lines of force entering an opening of a larger size. Since the number of the electric lines of force (the driveable metal ion concentration) is positively related to the thickness of the metal coating formed, the number of the electric lines of force entering the opening may be effectively controlled, so that the portion on the substrate to be plated where a circuit is to be formed has a consistent electric line of force density. Therefore, the issue of poor electroplating thickness uniformity of the metal coating on the substrate to be plated is alleviated and better operating freedom is achieved. Although the invention has been described with reference to the above embodiments, it will be apparent to one of ordinary skill in the art that modifications to the described embodiments may be made without departing from the spirit of the disclosure. Accordingly, the scope of the disclosure is defined by the attached claims not by the above detailed descriptions. | 16,574 |
11859303 | DETAILED DESCRIPTION Referring toFIG.1toFIG.7, a plating apparatus according to an exemplary embodiment of the present invention is illustrated. The plating apparatus includes a chamber body10. The chamber body10is supported by a pedestal20. The chamber body10is divided into an anode chamber11and a cathode chamber12. The anode chamber11and the cathode chamber12are separated by a membrane13which is positioned on a membrane frame14. The anode chamber11is divided into multiple anode zones111and every two adjacent anode zones111are separated by a vertically arranged partition112. The material of the partitions112is selected from non-conductive and chemical resistance plastics. The partitions112separate the electric fields and restrict the electrolyte flow fields. In an embodiment, as an example, no limit to the present invention, the anode chamber11is divided into two anode zones111. Each anode zone111accommodates an annular anode113which is connected to an independently controlled power supply channel114. Plating current or potential is supplied independently to each of the annular anodes113by the power supply channels114. Every power supply channel114is connected to a power supply which can be a DC or pulse power supply. The power supply channels114are housed in a protection shield115. The annular anodes113are made of soluble materials such as copper (Cu), nickel (Ni), stannum (Sn). Optionally, the annular anodes113are made of inert materials. Every anode zone111has an independent anolyte inlet116which is connected to an electrolyte flow control device for supplying anolyte to the anode zone111. Meanwhile, every anode zone111has an independent anolyte outlet117for discharging aged electrolyte, decomposition products, and particles from each anode zone111. The membrane13is a cation membrane for Cu, Ni, Sn plating. Besides, the membrane13may also be a proton exchange membrane or a normal membrane with textures for special using in alloy plating. The membrane13is attached on the membrane frame14. An annular fixing plate15is used to fix the peripheral edge of the membrane13on the membrane frame14. A first seal ring16is set between the peripheral edge of the membrane13and the membrane frame14. A second seal ring17is set between the peripheral edge of the membrane13and the annular fixing plate15. A plurality of fixing members18, such as screws, are used to fix the membrane frame14, the first seal ring16, the membrane13, the second seal ring17and the annular fixing plate15on the chamber body10to separate the anode chamber11and the cathode chamber12. A third seal ring19is set between the annular fixing plate15and the chamber body10. A catholyte inlet pipe30is mounted at the center of the membrane frame14for supplying catholyte to the cathode chamber12. A fourth seal ring31is set between the inner edge of the membrane13and the catholyte inlet pipe30. A fifth seal ring32is set between the inner edge of the membrane13and the membrane frame14. As shown inFIG.4, there is no complete isolation between the anode zones111. There is a distance between the top of the partitions112and the membrane13for gas bubbles passing through. A side wall of the anode chamber11defines a plurality of discharge holes118approaching to the membrane13. Every discharge hole118is connected to a discharge passage119. The gas bubbles in the anode zones111are collected and guided by the membrane13to the discharge holes118and discharged out from the discharge passages119. Please refer toFIG.20which is a simplified schematic view of the plating apparatus, mainly illustrating the anode electrolyte circulation and automatic cleaning of the annular anodes113. While plating, a third valve1162which is set on an anolyte inlet pipe1161is opened. The anolyte inlet pipe1161is connected to the anolyte inlet116. Meanwhile, a second valve1192which is set on a discharge pipe1191is opened. The discharge pipe1191is connected to the discharge passage119. The anode electrolyte is supplied to the anode zone111through the anolyte inlet pipe1161and the anolyte inlet116and then discharged through the discharge hole118, the discharge passage119and the discharge pipe1191to realize the anode electrolyte circulation. When the plating process reaches to conditions which are set in advance, for example, process time over 200 hours, it needs to clean the annular anodes113. For cleaning the annular anode113, firstly, the third valve1162and the second valve1192are closed to stop anode electrolyte circulation. Then, a fourth valve1172which is set on an anolyte outlet pipe1171is opened. The anolyte outlet pipe1171is connected to the anolyte outlet117. The anode electrolyte in the anode zone111is drained through the anolyte outlet117and the anolyte outlet pipe1171. Then, the fourth valve1172is closed. A first valve1194which is set on a DIW (deionized water) inlet pipe1193and a fifth valve1174which is set on a DIW outlet pipe1173are opened. The DIW inlet pipe1193is connected to the discharge passage119. The DIW outlet pipe1173is connected to the anolyte outlet117. DIW is supplied to the anode zone111of the anode chamber11to flush the annular anode113through the DIW inlet pipe1193, the discharge passage119and the discharge hole118and then drained through the anolyte outlet117and the DIW outlet pipe1173to remove anode slime. The flow rate of DIW is in the range of 0.5 lpm to 10 lpm. There is another way to clean the annular anode113, including the following steps: open the first valve1194to supply a certain amount of DIW into the anode chamber11; close the first valve1194; open the fifth valve1174to drain the DIW and remove anode slime; close the fifth valve1174; repeat these steps until the annular anode113are cleaned. In theFIG.20, it just shows one anode zone111. It should be realized that the anode electrolyte circulation and automatic cleaning of the annular anodes113in the other anode zone111are the same as that showed one. The membrane frame14with the membrane13is horizontally arranged for separating the anode chamber11and the cathode chamber12. Referring toFIG.8andFIG.9, the membrane frame14is a rigid perforated or meshed frame. The membrane frame14is substantially dish-shaped and has a catholyte inlet141at the center thereof. The catholyte inlet141is connected to the catholyte inlet pipe30. The membrane frame14further has a plurality of branch pipes142extending from the center of the membrane frame14to the edge of the membrane frame14. Every branch pipe142is connected to the catholyte inlet141. Every branch pipe142has a plurality of spray holes143. The diameter of the plurality of spray holes143on each branch pipe142can be the same or not. Since on the substrate, with the increase of radius, the area increases so that need more flow to meet the plating mass transfer. Therefore, the diameter of the spray holes143gradually increases from the center to edge of the membrane frame14. For example, corresponding to the radius of 50 mm, the diameter of the spray holes143is 2 mm, and corresponding to the radius of 100 mm, the diameter of the spray holes143is 4 mm, and corresponding to the radius of 150 mm, the diameter of the spray holes143is 6 mm, and so on. In another way, the density of the spray holes143on each branch pipe142can be the same or not. The density of the spray holes143gradually increases from the center to edge of the membrane frame14. According to an exemplary embodiment, the opening direction of every spray hole143is tilted relative to a vertical plane for avoiding the catholyte spraying to the same place to cause impact. The plurality of spray holes143on each branch pipe142can be divided into two groups. The opening directions of the two groups of spray holes143are opposite. Optionally, the opening directions of every two adjacent spray holes143on each branch pipe142are opposite. In an embodiment, the membrane frame14has six branch pipes142for flow uniform distribution. The membrane frame14has a center passage144passing through the center of the membrane frame14. A holding cavity145is defined at the center of the membrane frame14. A bottom end of the center passage144is connected to the catholyte inlet141and a top end of the center passage144is connected to the holding cavity145. The membrane frame14further defines a plurality of fixing holes146in the holding cavity145. Because the plating at the substrate center range of which diameter is about 0-60 mm is difficult to control, especially the uniformity of the electrolyte flow and the electric field at the substrate center range being difficult to control, for solving this problem and breaking through the limitation of the plating at the center range to the entire plating, the plating apparatus of the present invention further includes a center cap40and an adjustable member50. The center cap40is fixed at the holding cavity145of the membrane frame14. Referring toFIG.10andFIG.11, the center cap40has a through-hole41defined at the center of the center cap40. The center cap40has a plurality of first holes42which are arranged radially on the top of the center cap40for flow uniform distribution. The diameter of the first holes42can be the same or not. Since on the substrate, with the increase of radius, the area increases so that need more flow to meet the plating mass transfer. Therefore, the diameter of the first holes42gradually increases from the center to edge of the center cap40. In another way, the density of the first holes42on the center cap40can be the same or not. The density of the first holes42gradually increases from the center to edge of the center cap40. Preferably, a side wall of the center cap40has a plurality of second holes43. The opening direction of every second hole43is obliquely upward. In plating, if only the top of the center cap40has the first holes42, when the catholyte is sprayed to the cathode chamber12from the first holes42, there may be a cavitation formed in the area around the center cap40and the electrolyte flow around the center cap40will be not enough. This problem is solved by setting the second holes43on the side wall of the center cap40. The diameter or density of the second holes43distributed on the side wall of the center cap40can be different from the diameter or density of the first holes42distributed on the top of the center cap40. The top of the center cap40has a plurality of mounting holes44. The center cap40is fixed at the holding cavity145of the membrane frame14by using a plurality of screws. The screws are respectively inserted in the mounting holes44of the center cap40and the fixing holes146of the membrane frame14. An o-ring45is set between the center cap40and the membrane frame14. The catholyte is supplied to the branch pipes142and the center cap40through the catholyte inlet pipe30, the catholyte inlet141and the center passage144. The catholyte is sprayed into the cathode chamber12through the spray holes143on the branch pipes142, the first holes42and the second holes43on the center cap40. The flow rate of the catholyte in the catholyte inlet pipe30is capable of reaching more than 30 LPM (Liter per Minute), generally in the range of 2 LPM to 60 LPM. Although the flow rate of the catholyte is increased, due to the center cap40and the novel design of the branch pipes142of the membrane frame14, the uniformity of the electrolyte flow and the electric field across the entire substrate is improved, which further improves the plated film uniformity on the entire substrate. Besides, since a large and stable electrolyte flow can be obtained, the plating rate is raised comparing to a conventional plating apparatus. If there is no center cap40, because the catholyte rushes upward directly from the catholyte inlet pipe30and the catholyte inlet141of the membrane frame14, the flow is fast and the impact force is great, causing jetting phenomenon at the substrate center area, further causing the shape of plated pillars at the substrate center area is abnormal. By setting the center cap40and increasing the number of the first holes42and the second holes43, the flow is slow down and the impact force is small. On the other hand, the flow of the catholyte can be adjusted by the distribution of the first holes42and the second holes43, further improving the uniformity of catholyte supplied to the substrate center area. Referring toFIG.12, the adjustable member50is configured to regulate the flow of catholyte supplied to the center cap40, further regulating the flow of catholyte supplied to the center range of the substrate. The adjustable member50is inserted in the through-hole41of the center cap40and located at the top end of the center passage144of the membrane frame14for center flow control. The adjustable member50is capable of completely blocking the top end of the center passage144of the membrane frame14so that the catholyte cannot be supplied to the center cap40. By gradually raising the adjustable member50upward, the top opening of the center passage144is gradually opened so that the catholyte is supplied to the center cap40through a gap147formed between the adjustable member50and the center passage144. Therefore, the flow of catholyte supplied to the center range of the substrate is capable of being regulated by changing the size of the gap147. The size of the gap147is capable of being changed by raising or descending the adjustable member50. According to an embodiment, the adjustable member50has a base body51and a blocking component52formed at the bottom of the base body51. The base body51is cylinder-shaped. The blocking component52is inverted cone-shaped. The top of the base body51defines a groove-shaped opening53for conveniently rotating the adjustable member50by using a tool such as a screw driver so that the adjustable member50moves upward or downward in the through-hole41of the center cap40for adjusting the size of the gap147, regulating the flow of catholyte supplied to the center cap40, further regulating the flow of catholyte supplied to the center range of the substrate. It can be seen that the flow of catholyte supplied to the center cap40is controlled independently by the adjustable member50. The adjustable member50can be a set screw. Furthermore, when the flow of catholyte in the catholyte inlet pipe30is given, if the flow of catholyte supplied to the center cap40is small, then the flow of catholyte supplied to the branch pipes142of the membrane frame14will be large, conversely, if the flow of catholyte supplied to the center cap40is large, then the flow of catholyte supplied to the branch pipes142of the membrane frame14will be small. Therefore, the flow of catholyte supplied to the center cap40and the flow of catholyte supplied to the branch pipes142of the membrane frame14are adjustable. Through adjusting the size of the gap, the flow of the substrate center area can be controlled. If the gap is small, the flow rate of the substrate center area is small. Conversely, if the gap is large, the flow rate of the substrate center area is large. The gap is adjusted by turning the adjustable member50. The adjustable member50takes a turn upward or downward, and correspondingly, the size of the gap increases or decreases 1 mm. Please refer toFIG.21. It can be seen fromFIG.21that at the substrate center area, the gap is larger, and the average height of plated pillars in one die is higher, which means that the plated pillar height can be controlled by adjusting the size of the gap. At the substrate center area, the gap is larger, and correspondingly the flow is stronger, and correspondingly the plated pillar height is higher, which solves the problem of the plating of the substrate center area. For more uniform control, including the electric field uniform control and the flow of electrolyte uniform control, the plating apparatus of the present invention includes at least one diffusion plate having a plurality of small apertures. In an exemplary embodiment, the plating apparatus has two diffusion plates fixed on the top of the membrane frame14. Please refer toFIG.5,FIG.6,FIG.13andFIG.14. A first diffusion plate60has a plurality of apertures61. In one embodiment, the apertures61are of uniform size and the density of the apertures61distributed on the first diffusion plate60is also uniform. The diameter of the apertures61is 0.5 mm to 5 mm. In another embodiment, the density of the apertures61distributed on the first diffusion plate60is uniform, but the diameter of the apertures61may be different. Specifically, the diameter of the apertures61distributed at the center region of the first diffusion plate60is larger than the diameter of the apertures61distributed at the edge region of the first diffusion plate60, which can enhance the electric field intensity of the center region, further raising the plating rate of the substrate center area. The material of the first diffusion plate60can be PVC, PP, PEEK, PVDF, PFA, Teflon, etc. The thickness of the first diffusion plate60is 2 mm to 20 mm. A second diffusion plate70has a plurality of apertures71. In one embodiment, the apertures71are of uniform size and the density of the apertures71distributed on the second diffusion plate70is uniform. The diameter of the apertures71is 0.5 mm to 5 mm. In another embodiment, the density of the apertures71distributed on the second diffusion plate70is uniform, but the diameter of the apertures71may be different. Specifically, the diameter of the apertures71distributed at the center region of the second diffusion plate70is larger than the diameter of the apertures71distributed at the edge region of the second diffusion plate70, which can enhance the electric field intensity of the center region, further raising the plating rate of the substrate center area. The material of the second diffusion plate70can be PVC, PP, PEEK, PVDF, PFA, Teflon, etc. The thickness of the second diffusion plate70is 2 mm to 20 mm. The first diffusion plate60and the second diffusion plate70can be the same or not. Preferably, the density of the apertures61distributed on the first diffusion plate60is greater than the density of the apertures71distributed on the second diffusion plate70, and the first diffusion plate60is set above the second diffusion plate70. Since the second diffusion plate70is closer to the membrane13and the annular anodes113, it can control the distribution of electric field so that the redistribution of electric field can be realized and the problem of edge effect can be solved. Due to the photoresist on the substrate and the resistance of seed layer, the resistance at the substrate center area is larger, and the closer the edge of the substrate, the less resistance. Therefore, the second diffusion plate70is mainly to adjust the electric field in the circuit. The diameter of the apertures71distributed at the center region of the second diffusion plate70is larger, which is 4 mm. The diameter of the apertures71gradually decreases from the center to edge of the second diffusion plate70. The diameter of the apertures71distributed at the edge of the second diffusion plate70is 2.5 mm. In this way, the electric field of the center will be enhanced and the electric field of the edge will be weakened, solving the problem of edge effect. The first diffusion plate60is closer to the substrate and is mainly to achieve smooth flow and fluid distribution. But considering the distance effect of electric field distribution, the distance between the first diffusion plate60and the second diffusion plate70cannot be too large. If the distance between the first diffusion plate60and the second diffusion plate70is too large, the electric field distribution effect of the second diffusion plate70is obviously weakened. The distance between the first diffusion plate60and the second diffusion plate70is 1 mm to 20 mm. As shown inFIG.5andFIG.6, an annular middle plate80is set between the first diffusion plate60and the second diffusion plate70for controlling the height of plated pillars at the edge area of the substrate. A seal ring62is set between the first diffusion plate60and the middle plate80. Another seal ring82is set between the middle plate80and the second diffusion plate70. Another seal ring72is set between the second diffusion plate70and the top of the membrane frame14. A plurality of locating members90is used to fix the first diffusion plate60, the seal ring62, the middle plate80, the seal ring82, the second diffusion plate70and the seal ring72on the top of the membrane frame14. As shown inFIG.15A, preferably, the middle plate80has a plurality of convex portions81and a plurality of concave portions82at the inner edge of the middle plate80for improving the uniformity of the plated pillars at the edge of the substrate. The convex portion81and the concave portion82are arranged alternately. The middle plate80is set between the first diffusion plate60and the second diffusion plate70. The convex portions81block the corresponding apertures61distributed at the edge of the first diffusion plate60for preventing electrolyte from passing through these apertures61. Other apertures61distributed at the edge of the first diffusion plate60are corresponding to the concave portions82and are not blocked so that the electrolyte is capable of passing through these apertures61which are not blocked by the middle plate80. Preferably, half of the apertures61distributed at the edge of the first diffusion plate60are blocked by the convex portions81of the middle plate80and the other half of the apertures61distributed at the edge of the first diffusion plate60are not blocked. FIG.15Ashows the middle plate80with a plurality of convex portions81and a plurality of concave portions82so that half of the apertures61distributed at the edge of the first diffusion plate60are blocked by the convex portions81of the middle plate80and the other half of the apertures61distributed at the edge of the first diffusion plate60are not blocked.FIG.15Bshows a middle plate80′ which is completely incapable of blocking the apertures61distributed at the edge of the first diffusion plate60so that the electrolyte is capable of passing through all the apertures61distributed at the edge of the first diffusion plate60.FIG.15Cshows a middle plate80″ which is capable of blocking all the apertures61distributed at the edge of the first diffusion plate60so that the electrolyte cannot pass through these apertures61. It can be seen fromFIG.22that the middle plate mainly brings an influence on the plated thickness at the edge of the substrate. Under the condition that all the apertures61distributed at the edge of the first diffusion plate60are blocked, since the edge power line is decreasing, the plated thickness at the edge of the substrate is lower than the other area of the substrate. Conversely, under the condition that all the apertures61distributed at the edge of the first diffusion plate60are not blocked, the plated thickness at the edge of the substrate is higher than the other area of the substrate. Under the two conditions described above, the height of the plated pillars at the edge of the substrate is not within the scope of process requirement, which causes the yield loss. The present invention utilizes the middle plate80with a plurality of convex portions81and a plurality of concave portions82to selectively block a part of the apertures61distributed at the edge of the first diffusion plate60. The plated thickness on the entire substrate is substantially uniform and within the scope of process requirement. Therefore, the plated thickness at the edge of the substrate can be well controlled. Referring toFIG.4, the cathode chamber12has an inner side wall121and an outer side wall122. A recess trough123is formed between the inner side wall121and the outer side wall122. The top of the inner side wall121has notches124. The bottom of the recess trough123defines catholyte outlets125. The electrolyte of the cathode chamber12flows through the notches124to be received in the recess trough123and drained through the catholyte outlets125. A substrate rinse nozzle126is positioned in the cathode chamber12for cleaning the plated film of the substrate. Referring toFIG.2, a shroud1010is fixed on the top of the cathode chamber12for avoiding the electrolyte splash while plating. The shroud1010has a collecting groove1011. A drain passage1012is connected to the collecting groove1011. Liquid in the collecting groove1011is drained through the drain passage1012. A side wall of the shroud1010defines a cleaning liquid inlet1013for cleaning the collecting groove1011. A gas vent1030is connected to the cathode chamber12for gas exhaust. The plating apparatus can also include a level sensor1040for monitoring the liquid level of the cathode chamber12. A chuck cleaning nozzle1020is positioned above the shroud1010for spraying cleaning liquid to clean a chuck100which is used for holding the substrate for plating. While cleaning the chuck100, the cleaning liquid sprayed from the chuck cleaning nozzle1020is collected by the collecting groove1011of the shroud1010and drained through the drain passage1012. The chuck100is described in detail in the PCT patent application number PCT/CN2015/096402, filed on Dec. 4, 2015, which is hereby incorporated by reference. Referring toFIG.16toFIG.19, the chuck100has a chuck cup101, three upright columns120positioned on the top of the chuck cup101for supporting and electric transmission, a conduct ring having a plurality of finger portions2011which contact with the edge of the front side of the substrate, and a seal shell having a lip seal portion1115for sealing the edge of the front side of the substrate so that electrolyte cannot get to the edge of the front side of the substrate and the back side of the substrate while the substrate is immersed into the electrolyte for plating. The chuck cleaning nozzle1020sprays the cleaning liquid to clean the finger portions2011of the conduct ring and the lip seal portion1115of the seal shell. After the substrate has been plated, the finger portions2011of the conduct ring and the lip seal portion1115of the seal shell may have residual plating solution. If residual plating solution is not cleaned in time, the residual plating solution will form crystals. The crystals on the lip seal portion1115of the seal shell will affect the seal between the lip seal portion1115and the edge of the front side of the substrate, causing the plating solution contacts with the conduct ring, resulting in plated out issue. Therefore, it is necessary to clean the finger portions2011of the conduct ring and the lip seal portion1115of the seal shell after each piece of the substrate has been plated. However, since the chuck100keeps rotating during the cleaning process, the cleaning liquid sprayed from the chuck cleaning nozzle1020will hit the three upright columns120, causing the cleaning liquid splash. In order to solve this problem, a controller comprising a timer is provided to control an on-off valve which is set on a supply pipeline. The supply pipeline is connected to the chuck cleaning nozzle1020for supplying the cleaning liquid to the chuck cleaning nozzle1020. The controller is configured to control the on-off valve based on the timer to: close the on-off valve during the period that each of the upright columns120passes the chuck cleaning nozzle1020to stop spraying the cleaning liquid; and open the on-off valve after the upright column120has passed the chuck cleaning nozzle1020to spray the cleaning liquid. For example, the rotation speed of the chuck100is 20 rpm and the time that the chuck100turns a circle is 3 s. The chuck100has three upright columns120and the time that each upright column120passes the chuck cleaning nozzle1020is 0.1 s. The on-off valve is closed for 0.1 s when a first upright column passes the chuck cleaning nozzle1020. Then the on-off valve is opened for 0.9 s. Then the on-off valve is closed for 0.1 s again when a second upright column passes the chuck cleaning nozzle1020. Then the on-off valve is opened for 0.9 s again. Then the on-off valve is closed for 0.1 s again when a third upright column passes the chuck cleaning nozzle1020. Repeat in this way, avoiding the cleaning liquid hitting the upright columns120. The foregoing description of the present invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications and variations are possible in light of the above teaching. Such modifications and variations that may be apparent to those skilled in the art are intended to be included within the scope of this invention as defined by the accompanying claims. | 28,824 |
11859304 | DETAILED DESCRIPTION OF THE INVENTION Electroplating of a transition metal oxide (TMO) was carried out using a 3-electrode system where a working electrode, a counter (Ni foil), and a pseudo reference (Co metal) electrode were immersed into a eutectic solution, which is also called a molten salt, containing a transition metal ion source. The transition metal ion source can be aluminum, copper, chromium, cobalt, manganese, nickel, silver, gold, tin, platinum, zinc, tungsten, tantalum, rhodium, molybdenum, titanium, iron, zirconium, vanadium, hafnium, and the alloys thereof. The transition metal ion source in the plating bath can further comprise at least one of an oxide doping agent selected from the group consisting of Al2O3, AlOH3, and combinations thereof. The working electrode can be an electrically conductive material selected from the group consisting of electrically conductive carbon, metal, metal alloys, metallic ceramics, oxides, polymers, and combinations thereof. Preferably, the working electrode is an electrically conductive metal selected from the group consisting of aluminum, copper, chromium, cobalt, manganese, nickel, silver, gold, tin, platinum, zinc, tungsten, tantalum, rhodium, molybdenum, titanium, iron, zirconium, vanadium, hafnium, and the alloys thereof. The eutectic system can provide a relatively low synthesis temperature. The eutectic temperature is known as the melting point which is lower than any composition made up of the mixture. Above the eutectic temperature, the liquid phase is generally called molten salt. In the present invention, the term of molten salt system is used to define all liquid phases including eutectic composition. For electrodepositing on a working electrode, a molten salt system should have low temperature to protect the working electrode and possess high solubility of transition metal sources. Low-temperature molten salt is usually selected by checking the eutectic points in the phase diagrams. The molten salt bath is prepared with at least one of the following chemicals: including hydroxides (KOH, NaOH, RbOH, CsOH etc), halides (KF, KCl, NaCl, NaF, NaBr, KBr, NaI, KI, AlCl3etc), nitrates (NaNO3, KNO3), nitrites (NaNO2, KNO2), and sulfates (Na2SO4, K2SO4). A Ni crucible was used as the reaction vessel and a glass lid was used to hang abovementioned electrodes into the eutectic solution. All three electrodes were connected to a power supply which provides sufficient voltage or current densities where electrochemically active transition metal oxide materials were produced. These materials are of great interest to the battery, optic, catalyst, sensor and supercapacitor industries. FIG.1shows the Cyclic voltammetry (CV) of a molten salt system (KOH/NaOH eutectic mixture) which contains CoOH2(98% purity) species. The working electrode is employed as both SSF and Pt foil to evaluate if there are any impurities possibly dissolving from SSF that can be deposited. As can be seen from the CV profiles, in both cases Co2+ions are being oxidized at relatively similar potentials i.e. above 0.6V. For SSF case, the broad oxidation peak is due to the high surface area of the fibers compared to Pt foil. Both profiles resemble each other smoothly suggesting that no impurities are present in the fibers. The sharp peak appearing at around 1.2V is due to the oxidation of OH− groups originating from KOH and LiOH salts, which are the major components of the molten salt. From these results, Applicants demonstrated that starting with 0.6V, any voltage pulses up to 1.4V will produce Co3O4nano-flakes. Low potential (e.g. 0.6-0.7V) pulses will require longer on-time while high potential (e.g. 1.4V) needs less on-times. Applicants find the optimum voltage pulse to be 1.2V with 1 s on-time. All voltage values are reported versus Co/Co2+pseudo reference electrode. During electroplating, the rest time is crucial as this affects the conformal coating of SSF with Co3O4nano-flakes. As can be seen fromFIGS.2A and2B, approximately 2 minutes rest time between each voltage pulse was sufficient to allow Co2+ions to diffuse to the pores of the SSF. Stable current responses inFIG.2Bare an essential indicator of conformal Co3O4deposition. If the rest time is less than 2 minutes, the OCV readings would rise and current responses would diminish which would lead to non-conformal coating and surface clogging of Co3O4electrode which are parameters that would lead to catastrophic electrochemical failure of the cell. The molten salt bath temperature typically is around 150-170° C. which is achieved by mixing NaOH and KOH salts. The molar ratio of NaOH/(NaOH+KOH) was 0.515 in order to get to the eutectic point. One can still melt the salt mixture with different molar ratio at the expense of increasing the temperature. The eutectic mixture can be also reinforced by using CsOH and/or RbOH to extend low temperature solubility of molten salt. It should be also noted if the molten salt is achieved by only melting NaOH, the final product will form sodiated metal oxides which are receiving great attention as they can be used as cathode materials for Na-ion batteries. See, for example, YasuhikoTakahashi, YoshitoGotoh, JunjiAkimoto, Single-crystal growth, crystal and electronic structure of NaCoO2, Journal of Solid State Chemistry Volume_172, Issue 1, April 2003, Pages 22-26, incorporated by reference herein. The Co source could be CoO, CoOH2, CoCl2, CoSO4, or Co(NO3)2salts. In one example, 110 g of KOH, 50 g NaOH, and 8 g CoOH2are added to the bath and monitored until they are dissolved thoroughly. The color of the melt changed from transparent color to blue as the divalent Co2+ions are coordinated by hydroxide ions. This is followed by immersing the 3-electrode lid into the molten salt. Afterwards, 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter, and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 s to 2 minutes). This resting period allows ions to move into the voids of SSF, so that conformal deposition can be achieved. The number of deposition cycles (duty cycle refers to on/off time) determines the loading of the sample. Constant voltage or current densities will also lead to the formation of the metal oxide; however, the electroplated material will not cover the 3D substrate conformally. Nevertheless, one can use this method to obtain powder form of the metal oxide. In Li-ion battery language, the Li ion insertion voltage is defined as the lithiation potential. The lower the lithiation potential for an anode material, the better output potential for a battery would be. This is because overall voltage of a battery is given by the equation of Vcell=Vcathode−Vanode. By simply changing the transition metal to a Mn source, one also can produce Mn3O4material which has lower lithiation potential leading to higher voltage outputs in a full cell configuration. To obtain Al doped Co3O4, in one example, 110 g of KOH, 50 g NaOH, 8 g CoOH2, and 0.4 g Al2O3or AlOH3were added to the bath and monitored that they were dissolved thoroughly. Afterwards, 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 s to 2 minutes). This resting period allows ions to move into the voids of SSF thereby conformal deposition is achieved. To obtain Mg doped Co3O4, 110 g of KOH, 50 g NaOH, 8 g CoOH2, and 0.4 g MgOH2were added to the bath and monitored that they were dissolved thoroughly. Afterwards, 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 seconds to 2 minutes). This resting period allows ions to move into the voids of SSF thereby conformal deposition is achieved. To obtain Mn and Co co-plated MnCo2O4, 110 g of KOH, 50 g NaOH, 4 g CoOH2, and 2 g MnCl2were added to the bath and monitored that they were dissolved thoroughly. Afterwards, 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 s to 2 minutes). This resting period allows ions to move into the voids of SSF thereby conformal deposition is achieved. Different ratio of Mn, Co will lead different stochiometric of MnxCoyOzproduct. See for example, Guoyong Huang, Shengming Xu, Zhenghe Xu, Hongyu Sun and Linyan Li, Core-Shell Ellipsoidal MnCo2O4 Anode with Micro-/Nano-Structure and Concentration Gradient for Lithium-Ion Batteries, ACS Appl. Mater. Interfaces, 2014, 6 (23), pp 21325-21334, incorporated herein by reference. After electroplating metal oxide on the working electrode, the electrode is quickly rinsed with deionized water thoroughly to ensure no residual KOH or NaOH salts remain. Since CoOH2is not soluble in water, a chelating agent, such as citric acid, would help to dissolve Co2+If CoOH2traces are not removed, during heat treatment this would form another type of Co3O4particles that are not formed during electroplating. Removal of Co2+ions can be simply done by immersing the electrode into an approximately 0.4M citric acid aqueous solution for 1 minute. The rinsing can also be performed by using pure eutectic solution, where no Co species are present, to dissolve the CoOH2particles dried on the working electrode. At the end of either rinsing procedure, a warm water rinse is performed where the electrode is left in warm water for 20 minutes in order for water to diffuse into the pores of the fibers. This ensures full dissolution of any residual LiOH and KOH salts. Subsequently, the electrode was dried in an oven and heated up to 450° C. for 2 h under ambient atmosphere. The heat treatment can go up to higher temperatures as long as the substrate is stable. Applicants have treated the samples from 300° C. to 600° C. and found the optimum temperature to be around 450° C.FIG.3portrays the XRD patterns of the final Co3O4nano-flakes electroplated onto SSF. It has a cubic crystal structure with a phase group of Fd3m and all diffraction peaks can be indexed with the standard Joint Committee on Powder Diffraction Standards (JCPDS) card no. 42-1467. The peak broadening seen in the pattern is due to nano-sized Co3O4flakes. The XRD patterns of bare SSF and Co3O4plated SSF showed that the peaks corresponding to plain SSF are shifted towards to higher degrees suggesting lattice shrinkage of SSF. This is due to the heat treatment of SSF at 450° C. for 2 h and exposure to the oxidative nature of molten salt. FIG.4contains several High-Resolution Scanning Electron Microscopy (HRSEM) images along with optical photographs of the final products with different substrates.FIG.4Adisplays desert rose like flakes that are connected to each other. The figure revealed that flakes have 100 nm wall thicknesses. Higher deposition potentials lead to larger crystalline sizes and thereby higher surface areas. Higher temperature and higher ion concentration can also lead to higher nucleation of metal oxide.FIG.4Bshows the coating thickness which was calculated to be around 10 μm, which lead to high power densities.FIG.4Cshows the conformal Co3O4plating on SSF. Images of the fibers collected at different depth of fields (located far behind the front fibers) showed Co3O4active materials. Inset figure ofFIG.4Cshows the HRSEM image of plain fibers. One aspect of this invention is also elimination of conductive carbon and polymeric binder additives where both additives decrease volumetric energy densities of the battery. In addition, they increase the production cost of active materials for Li-ion batteries. Although Applicants prefer to utilize 3D stainless steel fiber scaffolds as a working electrode, it can be any geometrical shape as long as it is conductive. A conductive electrode is required in order for electron transfer. For example, the following materials can be used as working electrodes: Ni foil, commercial Ni foam, Ni—Cr mesh, stainless steel, platinum, and copper foils. Having such flexibility to choose working electrode during electroplating allows this technique to be implemented in currently used commercial production lines immediately.FIG.4Dpresents two 3D scaffolds, namely SSF and Ni foam, which are electroplated with Co3O4nano-flakes. One can also synthesize powder form of metal oxide on a metal substrate, highly conductive graphite, or polymeric components. After deposition the materials can be scraped off and grinded for further processing. One example to obtain Co3O4is to mix 110 g KOH, 50 g NaOH and 8 g CoOH2together and heat up to 170° C. under inert atmosphere to prevent hydrolysis of molten salts and oxidation of Co2+. For this purpose, Applicants used a glove box specially designated for electroplating experiments. Using the same setup, it is also possible to produce the same metal oxide outside of the glove box with an inert atmosphere (supplied by either nitrogen or Argon gases) pressure more than 1 atm. The pressure is an important factor as it will disable any hydrolysis of KOH or LiOH salts or oxidation of CoOH2species present in the molten salt. Similar to Co3O4, different Mn based oxides (MnxOy) were produced also with the same eutectic mixture except that CoOH2was replaced with MnCl2. Depending on the applied potential, different forms of manganese oxides can be obtained. Among the Mn oxides having higher than Mn2+oxidation state, MnO2is the most stable in the air. However, one can obtain Mn3O4or Mn2O3as well by changing the deposition parameters. The MnCl2source can be replaced with MnSO4, MnOOH, Mn2O3or MnO. Instead of applying anodic electrodeposition (1.2V versus Co metal pseudo reference electrode), cathodic electrodeposition of MnO2can also be attained. In the presence of KMnO4, Mn7+ions can be reduced to Mn4+and form MnO2by applying cathodic potential of 1.2V versus Co metal. Many transition metals can be doped into Mn or Co based metal oxides with the appropriate stoichiometry. These transition metals can be Al, Fe, Cr, Ti, Ni, V, Cu, Zn or other non-transition metals like Mg and Ca. A low temperature environment is crucial as this will lead to working electrode options to expand and protect the electrode from adverse effect of high temperature. In one experiment, Applicants employed stainless steel fibers (SSF) as the 3D scaffold working electrode. The plating procedure is as follows: 1.2V (versus Co/Co2+) voltage pulses for 1 s on-time followed by 2 minutes rest between each voltage pulses. This ensured transition metal ions to diffuse inner pores of 3D scaffold leading to conformal plating. Approximately, 10 cycles of these pulse plating cycles resulted in a 2 mAh/cm2loading electrodes with around 1200 mAh/g specific capacity, i.e. more than 90% capacity of reported practical values in the literature referenced in this provisional patent application. If any of the plating parameters are changed, the electrochemical performance of final product would change dramatically. For example, if the rest time between each pulse is 1 minute then the conformal plating is not achieved leading to poor electrochemical performance. The electrochemical charge and discharge profiles of Co3O4on SSF were plotted inFIG.5. The coin cell was constructed with Co3O4anode deposited onto SSF versus Li foil and separated with a commercially available 25 um polymer separator. The conventional electrolyte was used which has the formulation of 1.15M LiPF6salt dissolved in EC/EMC/DEC at the ratio of 20/60/20 v/v. The lithiation and delithiation potentials are in agreement with practical potentials reported by G. Huang, S. Xu, S. Lu, L. Li, H. Sun,ACS Applied Materials&Interfaces,6, 7236 (2014). Color coded charge-discharge profiles inFIG.5displays the different Co3O4delithiation C-rates. A C-rate is a measure of the rate at which a Li-ion battery is discharged or charged relative to its practical capacity. For example 1C charge rate means that the charge will take 1 hour or 2C charge rate means that the charge will take 30 minutes. Even at high rates (10C-discharging in 6 minutes) the material holds 80% of the initial capacity, an outstanding feature compare to available literature reported by Z.-S. Wu, W. Ren, L. Wen, L. Gao, J. Zhao, Z. Chen, G. Zhou, F. Li, H.-M. Cheng,ACS Nano,4, 3187 (2010), X. Hu, H. Huang, J. Zhang, J. Shi, S. Zhu, N. Su,RSC Advances,5, 99899 (2015), Y. Fan, H. Shao, J. Wang, L. Liu, J. Zhang, C. Cao,Chemical Communications,47, 3469 (2011) and N. Yan, L. Hu, Y. Li, Y. Wang, H. Zhong, X. Hu, X. Kong, Q. Chen,The Journal of Physical Chemistry C,116, 7227 (2012). Inset figure ofFIG.5exhibits excellent cycle life in a half cell where almost no capacity fade was observed at C/2 rates (slow rate is usually used for cycle life assessments as it correctly establishes the structural robustness). This electrode delivers approximately 2 mAh/cm2practical capacity. In another example, a Co based full cell was assembled employing an electroplated LiCoO2cathode and a pre-cycled Co3O4anode. Pre-cycling was a necessary step to avoid Li plating which exacerbates the cycle life of the battery even in the first 10 cycles. As can be seen fromFIG.6, the output potential of the battery is around 2-2.2V during discharge. This potential can be used for chip and optic industries where less than 2.5V output potentials are required. Currently, these industries are employing either NiMH or NiCd low voltage (1.2V) secondary batteries. Ni based batteries are toxic in addition to their memory effect which necessitates frequent full discharge and possess high self-discharge which requires recharging after storage. Power tests revealed that 70% specific capacity was retained at 10C (6 minutes discharge). Cycle life tests were carried out for around 50 cycles and no capacity degradation was observed. This can be seen in the inset ofFIG.6. In another example, the molten salt is achieved only by melting NaOH, the final product will form sodiated metal oxides which are receiving great attention as they can be used as cathode materials for Na-ion batteries. The Co source could be CoO, CoOH2, CoCl2, CoSO4, or Co(NO3)2salts. In one example, 50 g NaOH, and 0.5 g CoOH2are added to the bath and monitored until they are dissolved thoroughly. The color of the melt changed from transparent color to blue as the divalent Co2+ions are coordinated by hydroxide ions. This is followed by immersing the 3-electrode lid into the molten salt. Afterwards, 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter, and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 s to 2 minutes). This resting period allows ions to move into the voids of SSF, so that conformal deposition can be achieved. The number of deposition cycles (duty cycle refers to on/off time) determines the loading of the sample. Changing the applied potential (starting from 0.6V to 1.4V) will lead to different stochiometric sodiated metal oxide. Similarly, in another example, 50 g NaOH, and 0.5 g MnCl2are added to the bath and monitored until they are dissolved thoroughly. 1.2V (versus cobalt wire reference electrode) potential pulses were applied. The pulse range is from 100 μs to 20 s where a SSF, Ni foil and Co wire are used as working, counter, and pseudo reference electrodes, respectively. Between pulses, there was an open circuit voltage period (ranging from 3 s to 2 minutes). This resting period allows ions to move into the voids of SSF, so that conformal deposition can be achieved. One can tune the plating parameters e.g. constant or pulse voltages, constant or pulse currents or combination of them in order to achieve different morphology and structure of the final material. Mn-based transition metal oxide was also obtained in another embodiment of the present invention. In order to obtain MnO2, 110 g KOH, 50 g NaOH and 8 g MnCl2was mixed together and heated up to 170° C. under inert atmosphere. The plating procedure is as follows: 1.2V (versus Co/Co2+) voltage pulses for is on-time followed by 2 minutes rest between each voltage pulses. This ensured transition metal ions to diffuse into inner pores of the 3D scaffold leading to conformal plating. One striking feature of Mn-based anode material is that it has lower lithiation potential which ultimately increases the output potential of a full cell favoring its application even to consumer electronics.FIG.7shows the voltage profiles of the first (black) and second (red) cycles of Mn3O4electrode tested versus Li foil in a coin cell. The potentials and capacities resemble the data reported by Jie Yue, Xin Gu, Liang Chen, Nana Wang, Xiaolei Jiang, Huayun Xu, Jian Yang and Yitai Qian,J. Mater. Chem. A,2014, 2, 17421-17426. Based on the aforementioned Mn3O4studies, a 3V output potential is anticipated if employed in a full cell versus LiCoO2. Although the conductivity, and hence the power capabilities of Co based anode materials are better than Mn-based ones, the lower lithiation potential provides much higher output potentials. Applicants are synthesizing Mn—Co composites that are expected to have low lithiation potentials without compromising the power capabilities. Other transition metal doping, such as Al3+, and metal ion doping, e.g. Mg2+, Ti′ can further stabilize the structure. In another example,FIG.8shows the CVs of two NaOH/KOH molten salt systems containing low purity (50%) of Co(OH)2in which the impurities were 25% CoSO4, 25% MgOH2in which the bath temperature was set to 170° C. The plating procedure is as follows: 1.2V (versus Co/Co2+) voltage pulses for is on-time followed by 2 minutes rest between each voltage pulses. This ensured transition metal ions to diffuse inner pores of 3D scaffold leading to conformal plating. Approximately, 10 cycles of these pulse plating procedures resulted in a 2 mAh/cm2loaded electrodes with around 1200 mAh/g specific capacities, i.e. more than 90% capacity of reported practical values in the literature referenced in this provisional patent application. The 50% pure Co(OH)2powders were prepared by mixing 98% Co(OH)2powders with the appropriate percentages of CoSO4and Mg(OH)2, commonly found impurities in Co(OH)2(seeProcessing Considerations for Cobalt Recovery from Congolese Copperbelt Ores, B Swartz, S. Donegan, S. Amos, Hydrometallurgy Conference2009, The Southern African Institute of Mining and Metallurgy,2009). The weight percentages of the CoSO4and Mg(OH)2impurities were 1/1. In the CV study, the Pt foil working electrode is employed to evaluate if there is any side reactions stemming from impurities of Co(OH)2precursors. As can be seen from CV profile, Co2+ions are started to be oxidized at relatively similar potentials of the bath containing highly pure CoOH2i.e. above 0.6V. Both CV profiles inFIGS.8and1resemble each other suggesting that no impurities are present during electroplating. As can be seen fromFIG.9, discharge capacity of Co3O4electrode obtained from low impurity of Co(OH)2delivered identical values which is around 1000 mAh/g in the second cycle. Voltage profiles, also resembled each other suggesting that CoSO4and Mg(OH)2impurities do not have adverse effect on the final product of Co3O4. The electrochemical discharge profiles of Co3O4plated from low purity CoOH2precursor on SSF were plotted inFIG.9. The coin cell was constructed with Co3O4anode deposited onto SSF versus Li foil and separated with a commercially available 25 um polymer separator. The conventional electrolyte was used which has the formulation of 1.15M LiPF6salt dissolved in EC/EMC/DEC at the ratio of 20/60/20 v/v. The lithiation and delithiation potentials bode well with the Co3O4anode that was obtained from high purity CoOH2precursors. In conclusion, the technique described above has several advantages and these are listed below: It eliminates the use of binder and conductive carbon additives which increases the volumetric energy density of the battery Provides faster way to obtain final electrode to be utilized in battery applications. One can get the final product in less than 20 minutes. Can convert metal hydroxide precursors to metal oxide counterparts (e.g. CoOH2—Co3O4) at temperatures as low as 150° C. By just changing the voltage and deposition parameters, this technique allows for tailoring the morphology and texture of desired metal oxide. Single step synthesis which phases out any intermediate steps reported in above articles. These steps increase the manpower need and hence cost. Preserving stable voltage and capacities, and high power capabilities of a battery without conductive additives. Side products of the synthesis is 99.9% Co metal which can be channeled to other applications for industrial purposes. Since the electroplating is element specific, the precursors do not need to be high purity, a requirement for conventional methods which spikes up the cost of the product. | 25,734 |
11859305 | BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, the invention will be described in detail with reference to the embodiments. The embodiments are not limited to those described below and may he modified into various forms as long as the gist of the invention is not altered. Throughout the present specification, when a part is referred to as “comprising” an element, it is understood that other elements may be comprised, rather than other elements are excluded, unless specifically stated otherwise. All numbers and expressions related to the quantities of components, reaction conditions, and the like used herein are to be understood as being modified by the term “about,” unless otherwise indicated. Process for Growing a Semi-Insulating Silicon Carbide Single Crystal Ingot (1) Conventionally, in order to grow a SiC single crystal ingot, a method of loading a dopant to a porous graphite container or having a dopant contained in SiC through synthesis has been used.FIG.2shows a cross-sectional view of the conventional reaction vessel for growing a semi-insulating SiC single crystal ingot.FIG.2illustrates the structure of a reaction vessel in which a seed crystal (20) is mounted on the inner upper end, and SiC (10) and a porous graphite container (50) loaded with a dopant (40) are loaded in the inner lower region. However, this conventional process has a disadvantage in that it is complicated and that the cost is increased. In addition, since it is difficult to control the doping concentration due to the impurities formed in the porous graphite container, the quality of the semi-insulating SiC single crystal ingot can be hardly enhanced. In the process for growing a semi-insulating SiC single crystal ingot according to an embodiment, a SiC single crystal ingot is grown using a dopant coated with a carbon-based material, whereby it is possible to prevent the phenomenon that the dopant is sublimated before SiC. Thus, it is possible to minimize the non-uniformity of the doping concentration with respect to the thickness of the SiC single crystal ingot, thereby enhancing the quality of the semi-insulating SiC single crystal ingot. In addition, it is possible to grow a semi-insulating SiC single crystal ingot through a simple process in which a dopant coated with a carbon-based material is used and to minimize the amount of the unreacted raw material, thereby reducing the cost. Further, since the raw material is uniformly supplied by using a dopant coated with a carbon-based material, it is advantageous for enhancing the growth rate and quality of the SiC single crystal ingot, as well as for controlling the polymorphism. That is, if 4H—SiC is used, it is possible to lower the growth rate of 3C, 6H, and 15R while the growth rate of 4H is increased. Thus, the process for growing a semi-insulated SiC single crystal ingot according to an embodiment may provide a semi-insulating SiC single crystal ingot with enhanced quality of the SiC single crystal ingot while imparting a semi-insulating state thereto. FIG.1shows a cross-sectional view of the reaction vessel for growing a semi-insulating SiC single crystal ingot according to an embodiment.FIG.1illustrates the structure of a reaction vessel in which a seed crystal (20) is mounted on the inner upper end, and SiC (10) and a dopant (30) coated with a carbon-based material are loaded in the inner lower region. The process for growing a semi-insulating SiC single crystal ingot according to an embodiment comprises (1) loading SiC (silicon carbide) and a dopant coated with a carbon-based material to a reaction vessel mounted with a seed crystal; and (2) growing a SiC single crystal ingot on the seed crystal. First, in order to grow a SiC single crystal ingot, SiC and a dopant coated with a carbon-based material are loaded to a reaction vessel mounted with a seed crystal (step (1)). The reaction vessel may be a crucible and is made of a material having a melting point higher than the sublimation temperature of SiC. For example, it may be made of graphite, but it is not limited thereto. The seed crystal may be mounted on the inner upper end of the reaction vessel. As the seed crystal, any seed crystal having various crystal structures such as 4H—SiC, 6H—SiC, 3C—SiC, and 15R—SiC may be used depending on the kind of crystals to be grown. The SiC and the dopant coated with a carbon-based material may be loaded to the lower region of the reaction vessel. According to an embodiment, the reaction vessel loaded with the SiC and the dopant coated with a carbon-based material may be sealed. After the reaction vessel is wrapped with one or more layers of a thermal insulation material, it is placed in a reaction chamber (e.g., a quartz tube) equipped with a heating means. The thermal insulation material and the reaction chamber serve to maintain the temperature of the reaction vessel at a temperature for growing a SiC single crystal. The heating means may be induction heating means or resistance heating means. For example, a high-frequency induction coil through which high-frequency current flows may be used to heat the reaction vessel, thereby heating the SiC and the dopant coated with a carbon-based material to a desired temperature, but it is not limited thereto. According to an embodiment, the SiC may be in the form of SiC powder. For example, the SiC may be in the form of SiC powder having a particle size of 10 μm to 5,000 μm. Specifically, the size of the SiC particles may be 50 μm to 3,000 μm, 50 μm to 2,000 μm, 100 μm to 2,000 μm, or 100 μm to 1,000 μm, but it is not limited thereto. In an embodiment, the SiC may have a purity of 90% by weight to 99% by weight. Specifically, the SiC may have a purity of 91% by weight to 96% by weight or 92% by weight to 95% by weight, but it is not limited thereto. According to an embodiment, the carbon-based material may be carbon black, graphite, or a combination thereof. The dopant coated with a carbon-based. material is prepared by drying; curing; carbonization or graphitization; and pulverization of a composition comprising a carbon-containing polymer resin, a solvent, and a dopant. According to an embodiment, the carbon-containing polymer resin comprises at least one selected from the group consisting of phenolic resins, polyacrylamide resins, and thermosetting resins. The phenolic resin may be one or more selected from the group consisting of novolac resins and resol resins, but it is not limited thereto. The polyacrylamide resin may be a polyamic acid resin, but it is not limited thereto. The thermosetting resin may be one or more selected from the group consisting of polyurethane resins, melamine resins, and alkyd resins, but it is not limited thereto. The composition may comprise 1% by weight to 40% by weight of a carbon-containing polymer resin based on the total weight of the composition. For example, the to composition may comprise a carbon-containing polymer resin in an amount of 5% by weight to 35% by weight. 5% by weight to 30% by weight, or 10% by weight to 30% by weight, based on the total weight of the composition, but it is not limited thereto. According to an embodiment, the solvent may be one or more selected from the group consisting of ethanol, methanol, acetone, dimethylformamide, and dimethyl sulfoxide. Specifically, the solvent may be ethanol, but it is not limited thereto. The composition may comprise 1% by weight to 20% by weight of a solvent based on the total weight of the composition. For example, the composition may comprise a solvent in an amount of 5% by weight to 17% by weight, 5% by weight to 15% by weight, or 10% by weight to 15% by weight, based on the total weight of the composition, but it is not limited thereto. According to an embodiment, the dopant may be at least one selected from the group consisting of vanadium (V), chromium (Cr), manganese (Mn), and cobalt (Co). For example, the dopant may be a transition element (transition metal) and may be vanadium. Specifically, vanadium can form a deep energy level in any state of a donor or an acceptor in SiC crystals and compensates for the shallow donor or shallow acceptor impurities to make the crystals highly resistant, that is, to a semi-insulating state. The composition may comprise 0.5% by weight to 10% by weight of a dopant based on the total weight of the composition. For example, the composition may comprise a dopant in an amount of 0.5% by weight to 8% by weight, 1% by weight to 8% by weight, or 1% by weight to 5% by weight, based on the total weight of the composition, but it is not limited thereto. According to an embodiment, the drying may be carried out in a temperature range of 50° C. to 350° C. In addition, the curing may be carried out in a temperature range of 100° C. to 400° C. Specifically, when the above drying and curing conditions are satisfied, it may be advantageous for uniformly coating the carbon-based material on the dopant. For example, the drying may be carried out for 1 hour to 5 hours in a temperature range of 50° C. to 350° C. or 50° C. to 300° C., but it is not limited thereto. In addition, the curing may be carried out for 1 hour to 10 hours in a temperature range of 100° C. to 400° C. or 150° C. to 400 , but it is not limited thereto. According to an embodiment, the carbonization or graphitization is carried out in a temperature range of 200° C. to 2,200° C. and a pressure condition of 1 Torr to 1,500 Torr. Specifically, when the above carbonization or graphitization conditions are satisfied, it may be advantageous for coating the dopant with the carbon-based material. For example, the dopant subjected to the drying and curing steps is thermally treated in a temperature range of 300° C. to 600° C. and a pressure condition of 500 Torr to 700 Torr and then carbonized or graphitized in a temperature range of 2,000° C. to 2,200° C. and a pressure condition of 500 Torr to 800 Torr. In addition, the carbonization or graphitization may be carried out for 2 to 5 hours, but it is not limited thereto. According to an embodiment, the carbonization or graphitization refers to thermal treatment in an inert atmosphere. The inert atmosphere may be a nitrogen atmosphere or an argon atmosphere, but it is not limited thereto. According to an embodiment, the carbonized or graphitized dopant is subjected to a pulverization step. The pulverization may be carried out by a method of a pulverization step using a top-down, a ball mill, a jet mill, and the like, but it is not limited thereto. According to an embodiment, the particle size of the dopant coated with a carbon-based material may be 1 μm to 2,000 μm. For example, the particle size of the dopant coated with a carbon-based material may be 5 μm to 1,000 μm, 10 μm to 1,000 μm, or 10 μm to 500 μm, but it is not limited thereto. According to an embodiment, the outer surface of the respective particles of the dopant, in part or in its entirety, may be coated with the carbon-based material. Specifically, the entire outer surface of the dopant, or 50% or more of the outer surface thereof, may be coated with the carbon-based material. According to an embodiment, the coating thickness of the portion coated with a carbon-based material is 1 μm to 100 μm. Specifically, when the coating thickness of the portion coated with a carbon-based material satisfies the above thickness range, the doping concentration of the dopant at the initial, intermediate, and final stages may be made uniform in the step of growing the SiC single crystal ingot. For example, the coating thickness of the portion coated with a carbon-based material may be 5 μm to 50 μm, 5 μm to 40 μm, 10 μm to 40 μm, 10 μm to 30 μm, or 10 μm to 25 μm, but it is not limited to thereto. Next, a SiC single crystal ingot is grown on the seed crystal (step (2)). According to an embodiment, the step of growing a SiC single crystal ingot on the seed crystal in step (2) is a step of sublimating the SiC and the dopant coated with a carbon-based material loaded in step (1) to grow on the seed crystal. The sublimation point of the SiC is 2,000° C. to 2,800° C. In addition, the sublimation point of the dopant is 1,800° C. to 2,000° C., and the sublimation point of the dopant coated with a carbon-based material is 2,000° C. to 2,500° C. Specifically, when the sublimation point of the dopant coated with a carbon-based material satisfies the above range, the dopant may be sublimated within a temperature range similar to that of SiC. For example, the sublimation point of the dopant coated with a carbon-based material may be 2,100° C. to 2,500° C. or 2,100° C. to 2,300° C. , but it is not limited thereto. According to an embodiment, the temperature in step (2) may be 2,000° C. to 2,500° C., 2,200° C. to 2,500° C., or 2,250° C. to 2,300° C., but it is not limited thereto. In addition, the pressure in step (2) may be 1 Torr to 150 Torr, 1 Torr to 100 Torr, or 1 Torr to 50 Torr, but it is not limited thereto. According to an embodiment, the SiC single crystal ingot may have a resistivity of 0.1 Ωcm to 1×1010Ωcm. For example, the SiC single crystal ingot may have a resistivity of 0.1 Ωcm to 1×105Ωcm, 1 Ω cm to 1×108Ωcm, or 10 Ωcm to 1×105Ωcm, but it is not limited thereto. According to an embodiment, the dopant concentration of the SiC single crystal ingot is 5.5×1016atoms/cc to 1×1018atoms/cc. Specifically, the dopant concentration of the SiC single crystal ingot may be 5.5×1016atoms/cc to 1.5×1017atoms/cc or 1×1017atoms/cc to 5×1017atoms/cc. According to an embodiment, the SiC single crystal ingot has a purity of 95% to 99.9%. For example, the SiC single crystal ingot may have a purity of 95% to 99.5%, 97% to 99.5%, 98% to 99.5%, 98% to 99%, but it is not limited thereto. Process for Growing a Semi-Insulating Silicon Carbide Single Crystal Ingot (2) Conventionally, in order to grow a SiC single crystal ingot, a method of loading a dopant to a porous graphite container or having a dopant contained in SiC through to synthesis has been used.FIG.2shows a cross-sectional view of the conventional reaction vessel for growing a semi-insulating SiC single crystal ingot.FIG.2illustrates the structure of a reaction vessel in which a seed crystal (20) is mounted on the inner upper end, and SiC (10) and a porous graphite container (50) loaded with a dopant (40) are loaded in the inner lower region. However, this conventional process has a disadvantage in that it is complicated and that the cost is increased. Since it is difficult to control the doping concentration due to the impurities formed in the porous graphite container, the quality of the semi-insulating SiC single crystal ingot can be hardly enhanced. In addition, in order to solve the above problems, SiC and a dopant have been pulverized, or those having a large particle size have been used. However, this process has a disadvantage in that a separate thermal treatment step for the powder is required. In the process for growing a semi-insulating SiC single crystal ingot according to an embodiment, a composition comprising a carbon-containing, polymer resin, a solvent, a dopant, and SiC is solidified, and a SiC single crystal ingot is then grown, whereby it is possible to prevent the phenomenon that the dopant is sublimated before SiC. Thus, it is possible to minimize the non-uniformity of the doping concentration with respect to the thickness of the SiC single crystal ingot, thereby enhancing the quality of the semi-insulating SiC single crystal ingot. In addition, according to the process for growing a semi-insulating SiC single crystal ingot according to the embodiment, it is possible to suppress unintended mixing of impurities, to readily control the doping, to readily adjust the doping content, and to prevent agglomeration in some regions. Thus, the process for growing a semi-insulated SiC single crystal ingot according to an embodiment may provide a semi-insulating SiC single crystal ingot with enhanced quality of the SiC single crystal ingot while imparting a semi-insulating state thereto. Further, since the raw material is uniformly supplied, it is advantageous for enhancing the growth rate and quality of the SiC single crystal ingot, as well as for controlling the polymorphism. That is, if 4H—SiC is used, it is possible to lower the growth rate of 3C, 6H, and 15R while the growth rate of 4H is increased. FIG.13shows a cross-sectional view of the reaction vessel for growing a semi-insulating SiC single crystal ingot according to an embodiment.FIG.13illustrates the structure of a reaction vessel in which a seed crystal (20) is mounted on the inner upper end, and a solidified composition (60) is loaded in the inner lower region. The process for growing a SiC single crystal ingot according to an embodiment comprises (a) loading a composition comprising a carbon-containing polymer resin, a solvent, a dopant, and SiC (silicon carbide) to a reaction vessel; (b) solidifying the composition; and (c) growing a SiC single crystal ingot on the seed crystal provided in the reaction vessel. First, a composition comprising a carbon-containing polymer resin, a solvent, a dopant, and SiC (silicon carbide) is loaded to a reaction vessel (step (a)). The reaction vessel may be a crucible and is made of a material having a melting point higher than the sublimation temperature of SiC. For example, it may be made of graphite, but it is not limited thereto. The composition may be loaded to the inner lower region of the reaction vessel. According to an embodiment, the reaction vessel loaded with the composition may be sealed. After the reaction vessel is wrapped with one or more layers of a thermal insulation material, it is placed in a reaction chamber (e.g., a quartz tube) equipped with a heating means. The thermal insulation material and the reaction chamber serve to maintain the temperature of the reaction vessel at a temperature for growing a SiC single crystal. The heating means may be an induction heating means or a resistance heating means. For example, a high-frequency induction coil through which high-frequency current flows may be used to heat the reaction vessel, thereby heating the composition to a desired temperature, but it is not limited thereto. The details of the carbon-containing polymer resin are the same as described in step (1) above. The composition may comprise 1% by weight to 40% by weight of a carbon-containing polymer resin based on the total weight of the composition. For example, the composition may comprise a carbon-containing polymer resin in an amount of 5% by weight to 35% by weight. 5% by weight to 30% by weight, or 10% by weight to 30% by weight, based on the total weight of the composition, but it is not limited thereto. The details of the solvent are the same as described in step (1) above. According to an embodiment, the dopant may be at least one selected from the group consisting of vanadium (V), chromium (Cr), manganese (Mn), and cobalt (Co). For example, the dopant may be a transition metal and may be vanadium. Specifically, vanadium can form a deep level in any state of a donor or an acceptor in SiC crystals and compensates for the shallow donor or shallow acceptor impurities to make the crystals high resistance, that is, to a semi-insulating state. The composition may comprise 1% by weight to 20% by weight of a dopant based on the total weight of the composition. For example, the composition may comprise a dopant in an amount of 5% by weight to 17% by weight, 5% by weight to 15% by weight, or 10% by weight to 15% by weight, based on the total weight of the composition, but it is not limited thereto. The details of the SiC are the same as described in step (1) above. Next, in order to grow a SiC single crystal ingot, the composition is solidified (step (b)). Specifically, the solidification in step (b) undergoes drying; curing; and carbonization or graphitization of the composition. According to an embodiment, the drying may be carried out in a temperature range of 50° C. to 350° C . In addition, the curing may be carried out in a temperature range of 100° C. to 400° C. Specifically, when the drying and curing conditions are satisfied, it may be advantageous for carbonization or graphitization of the composition. For example, the drying may be carried out for 1 hour to 5 hours in a temperature range of 50° C. to 350° C. or 50° C. to 300° C., but it is not limited thereto. In addition, the curing may be carried out for 1 hour to 10 hours in a temperature range of 100° C. to 400° C. or 150° C. to 400° C., but it is not limited thereto. According to an embodiment, the carbonization or graphitization is carried out in a temperature range of 200° C. to 2,200° C. and a pressure condition of 1 Torr to 1,500 Torr. Specifically, when the temperature and pressure conditions are satisfied, it may be advantageous for carbonization or graphitization of the composition. For example, the dopant subjected to the drying and curing steps is thermally treated in a temperature range of 300° C. to 600° C. and a pressure condition of 500 Torr to 700 Torr and then carbonized or graphitized in a temperature range of 2,000° C. to 2,200° C. and a pressure condition of 500 Torr to 800 Torr. In addition, the carbonization or graphitization may be carried out for 2 to 5 hours, but it is not limited thereto. According to an embodiment, the carbonization or graphitization refers to thermal treatment in an inert atmosphere. The inert atmosphere may be a nitrogen atmosphere or an argon atmosphere, but it is not limited thereto. According to an embodiment, the composition subjected to step (b) is a solid that fills the entire inner bottom side and a part of the inner wall side of the reaction vessel. In such case, in the growth of a SiC single crystal ingot, it is possible to prevent SiC from bouncing and adhering to the seed crystal due to the thermal vibration or from interfering with the formation of the SiC flux pattern. In addition, it is possible to suppress unintended mixing of impurities and to prevent agglomeration in some regions. According to another embodiment, the composition subjected to step (b) may be a pellet-shaped solid. According to still another embodiment, the process may further comprise (a′) loading a pellet-shaped mold to the reaction vessel prior to step (a). The pellet shape prepared from the pellet-shaped mold may be a cylindrical or polygonal column shape. For example, it may be a geometric shape such as circle, triangle, square, pentagon, hexagon, octagon, or star, but it is not limited thereto. The composition solidified through steps (a′), (a), and (b) is separated from the pellet-shaped mold to thereby obtain a pellet-shaped solid. Since the composition for preparing a SiC single crystal ingot can be prepared in a pellet-shaped solid, it is possible to enhance the storage convenience as well as thermal resistance and moisture resistance. According to another embodiment, SiC is loaded to the lower region of the reaction vessel, and a pellet-shaped solid may then be loaded to a desired position. Specifically, the pellet-shaped solid may be loaded like the conventional porous graphite container that used to be loaded to the lower region of the reaction vessel as inFIG.2. Thus, the pellet-shaped solid has advantages in that it is easy to control doping, it can be used freely regardless of the structure of the reaction vessel, it is easy to store, and it is possible to enhance the thermal resistance and moisture resistance. According to an embodiment, a SiC single crystal ingot is not grown in step (b). Next, a SiC single crystal ingot is grown on the seed crystal provided in the reaction vessel (step (c)). The seed crystal may be mounted on the inner upper end of the reaction vessel. As the seed crystal, any seed crystal having various crystal structures such as 4H—Sic, 6H—Sic, 3C—SiC, and 15R—SiC may be used depending on the kind of crystals to be grown. According to an embodiment, the step of growing a SiC single crystal ingot on the seed crystal is a step of sublimating the composition subjected to step (b) to grow on the seed crystal. The sublimation point of the composition in step (c) is 2,000° C. to 2,500° C. Specifically, when the sublimation point of the composition satisfies the above temperature range, the dopant may be sublimated within a temperature range similar to that of SiC. For example, the sublimation point of the composition may be 2,100° C. to 2,500° C. or 2,100° C. to 2,300° C., but it is not limited thereto. According to an embodiment, the temperature in step (b) may be 2,000° C. to 2,500° C., 2,200° C. to 2,500° C., or 2,250° C. to 2,300° C., but it is not limited thereto. In addition, the pressure in step (b) may be 1 Torr to 150 Torr, 1 Torr to 100 Torr, or 1 Torr to 50 Torr, but it is not limited thereto. The details of the resistivity, dopant concentration, and purity of the SiC single crystal ingot are the same as described in step (2) above. Apparatus for Growing a Silicon Carbide Single Crystal Ingot Conventionally, in order to grow a SiC single crystal ingot, a method of loading a dopant to a porous graphite container or having a dopant contained in SiC through synthesis has been used. FIG.21shows a cross-sectional view of the conventional apparatus for growing a SiC single crystal ingot. Specifically,FIG.21illustrates an apparatus for growing a SiC single crystal ingot in which a reaction vessel cap (700′) is formed on the inner upper end of a reaction vessel (200′), a seed crystal (100′) is fixed to the lower end of the reaction vessel cap (700′), an ingot growing unit (300′) and a raw material receiving unit (400′) are formed in the upper and lower regions of the reaction vessel, respectively, and a porous graphite container (800′) to which a dopant has been loaded is formed in the raw material receiving unit (400′). However, this conventional process has a disadvantage in that it is complicated and that the cost is increased. Since it is difficult to control the doping concentration due to the impurities formed in the porous graphite container, the quality of the SiC single crystal ingot can be hardly enhanced. In addition, in order to solve the above problems, SiC and a dopant have been pulverized, or those having a large particle size have been used. However, this process has a disadvantage in that a separate thermal treatment step for the powder is required. Further, the size of the reaction vessel (200′) in the conventional apparatus for growing a SiC single crystal ingot is increased in order to grow a SiC single crystal ingot having a large diameter, which requires a large amount of energy to heat the SiC single crystal ingot to a temperature for growing it to a large diameter. Further, there is a disadvantage in that the temperature gradient is not uniform since heat is not well transferred to the center of the ingot growing unit (300′) where the ingot is grown and that the quality of the ingot is deteriorated. FIG.20shows a cross-sectional view of the apparatus for growing a SiC single crystal ingot according to an embodiment.FIG.20illustrates an apparatus for growing a SiC single crystal ingot in which a reaction vessel cap (700) is formed on the inner upper end of a reaction vessel (200), a seed crystal (100) is fixed to the lower end of the reaction vessel cap (700), a filter unit (500) that comprises an opening unit (510) forming the inner center of the reaction vessel and a porous body (520) surrounding the opening unit is formed in the lower region of the reaction vessel, a raw material receiving unit (400) is formed between the porous body and the inner wall of the reaction vessel, and a blocking unit (600) is formed at the upper end of the raw material receiving unit and at the upper end of the porous body According to the apparatus for growing a SiC single crystal ingot according to an embodiment, the raw material receiving unit (400) is formed between the porous body (520) and the inner wall of the reaction vessel. It is economical since it is possible to reduce the amount of energy required to grow a SiC single crystal ingot. In addition, the apparatus for growing a SiC single crystal ingot according to an embodiment is suitable for preparing a SiC single crystal ingot having a large diameter. Further, in the apparatus for growing a SiC single crystal ingot according to an embodiment, the porous body (520) is prepared through carbonization or graphitization of a SiC composition comprising a carbon-containing polymer resin, a SiC, a dopant, and a solvent and comprises a pore (523), a flowing path (524), and a pore wall (525), and the blocking unit (600) is formed at the upper end of the raw material receiving unit (400) and at the upper end of the porous body (520). Thus, even when heat is applied to the reaction vessel (200), the dopant is not sublimated first as compared with SiC. Specifically, when heat is applied to the reaction vessel (200), the SiC in a solid state in the raw material receiving unit (400) is sublimated into a gas, which moves to the opening unit (510) through the porous body (520), and is then sublimated to a solid in the lower region of the ingot growing unit (300), so that a SiC single crystal ingot is grown. Thus, the apparatus for growing a SiC single crystal ingot according to an embodiment can minimize the amount of the unreacted raw material, thereby reducing the cost. In addition, according to the apparatus for growing a SiC single crystal ingot according to an embodiment, it is possible to minimize the non-uniformity of the temperature gradient in the ingot growing unit, thereby preparing a SiC single crystal ingot with enhanced shape, growth rate, and quality. Specifically, if the temperature gradient in the ingot growing unit (300) is not uniform, the SiC single crystal ingot may be grown in a convex shape. However, since the temperature gradient in the ingot growing unit (300) in the apparatus for growing a SiC single crystal ingot according to an embodiment is uniform, the SiC single crystal ingot may be grown in a flat shape. Further, since the raw material is uniformly supplied by the uniform temperature gradient, it is advantageous for enhancing the growth rate and quality of the SiC single crystal ingot, as well as for controlling the polymorphism, That is, if 4H—SiC is used, it is possible to suppress the growth of such polymorphs as 3C, 6H, and 15R while the growth stability of 4H is increased. Further, according to the apparatus for growing a SiC single crystal ingot according to an embodiment, it is possible to suppress unintended mixing of impurities and to readily control the doping. The apparatus for growing a SiC single crystal ingot according to an embodiment comprises a seed crystal (100) and a reaction vessel (200), wherein the reaction vessel (200) comprises an ingot growing unit (300), a filter unit (500), a raw material receiving unit (400), and a blocking unit (600), and the filter unit (500) comprises an opening unit (510) and a porous body (520). Specifically, the apparatus for growing a SiC single crystal ingot according to an embodiment comprises a seed crystal (100) having a predetermined diameter; and a reaction vessel (200) for growing an ingot on the surface of the seed crystal while the seed crystal is fixed therein, wherein the reaction vessel (200) comprises an ingot growing unit (300) that forms at least a part of the upper region of the reaction vessel and that fixes the seed crystal at the upper end; a filter unit (500) that comprises an opening unit (510) forming the inner center of the reaction vessel and a porous body (520) surrounding the opening unit and that forms at least a part of the lower region of the reaction vessel while being located under the seed crystal; a raw material receiving unit (400) that is located between the porous body and the inner wall of the reaction vessel, that forms at least a part of the lower region of the reaction vessel, and that receives the raw material of the ingot therein; and a blocking unit (600) that is positioned at the upper end of the raw material receiving unit and at the upper end of the porous body. In addition, the apparatus for growing a SiC single crystal ingot according to another embodiment further comprises a reaction vessel cap (700) formed on the inner upper end of the reaction vessel (200), wherein the seed crystal may be fixed to the lower end of the reaction vessel cap. Seed Crystal (100) According to an embodiment, the seed crystal (100) may be fixed to the inner upper end of the reaction vessel (200). Specifically, the seed crystal (100) may be fixed to the lower end of the reaction vessel cap (700). In addition, as the seed crystal (100), any seed crystal (100) having various crystal structures such as 4H—SiC, 6H—SiC, 3C—SiC, and 15R—SiC may be used depending on the kind of crystals to be grown. According to an embodiment, the diameter (a) of the seed crystal is 4 inches or more. Specifically, the diameter (a) of the seed crystal may be 4 inches to 50 inches. More specifically, the diameter (a) of the seed crystal may be 4 inches to 30 inches, 4 inches to 20 inches, 4 inches to 15 inches, 4 inches to 10 inches, or 4 inches to 8 inches, but it is not limited thereto. According to an embodiment, the diameter of the SiC single crystal ingot grown on the lower end of the seed crystal (100) may be 4 inches or more or 6 inches or more. Specifically, the diameter of the SiC single crystal ingot grown on the lower end of the seed crystal (100) may be 4 inches to 55 inches, 4 inches to 35 inches, 4 inches to 25 inches, 4 inches to 15 inches, 6 inches to 55 inches, 6 inches to 35 inches, 6 inches to 20 inches, 6 inches to 15 inches or 4 inches to 8 inches, but it is not limited thereto. According to another embodiment, the diameter of the SiC single crystal ingot grown on the lower end of the seed crystal (100) may be equal to, or greater than, the diameter (a) of the seed crystal. Reaction Vessel (200) The reaction vessel (200) may be a crucible and is made of a material having a melting point a sublimation temperature of 2,600° C. to 3,000° C. For example, it may be made of graphite, but it is not limited thereto. In an embodiment, the reaction vessel (200) may have an inner space and may have a shape in which its top is open. The apparatus for growing a SiC single crystal ingot according to an embodiment may further comprise a thermal insulation material that wraps the reaction vessel (200). According to another embodiment, the reaction vessel loaded with the materials for the ingot may be sealed. After the reaction vessel (200) is wrapped with one or more layers of a thermal insulation material, it is placed in a reaction chamber (e.g., a quartz tube) equipped with a heating means. The thermal insulation material and the reaction chamber serve to maintain the temperature of the reaction vessel (200) at a temperature for growing a SiC single crystal. The heating means may be an induction heating means or a resistance heating means. For example, a high-frequency induction coil through which high-frequency current flows may be used to heat the reaction vessel (200), thereby heating the raw material to a desired temperature, but it is not limited thereto. Ingot Growing Unit (300) and Raw Material Receiving Unit (400) According to an embodiment, the reaction vessel (200) comprises an ingot growing unit (300) that forms at least a part of the upper region of the reaction vessel and that fixes the seed crystal (100) at the upper end. Specifically, when heat is applied to the reaction vessel (200), the SiC in a solid state contained in the raw material receiving unit (400) is sublimated into a gas, which moves to the opening unit (510) through the porous body (520), and is then sublimated to a solid in the lower region of the ingot growing unit (300), so that a SiC single crystal ingot is grown. According to another embodiment, the reaction vessel (200) comprises a raw material receiving unit (400) that is located between the porous body (520) and the inner wall of the reaction vessel, that forms at least a part of the lower region of the reaction vessel, and that receives the raw material of the ingot therein. According to an embodiment, the raw material is SiC powder, and the average diameter of the powder particles may be 10 μm to 5,000 μm. For example, the size of the SiC particles may be 50 μm to 3,000 μm or 100 μm to 1,000 μm, but it is not limited thereto. In an embodiment, the SiC may have a purity of 90% by weight to 99% by weight. Specifically, the SiC may have a purity of 91% by weight to 97% by weight or 93% by weight to 95% by weight, but it is not limited thereto. Filter Unit (500) According to an embodiment, the filter unit (500) comprises an opening unit (510) and a porous body (520) surrounding the opening unit. Specifically, the reaction vessel (200) comprises a filter unit (500) that comprises an opening unit (510) forming the inner center of the reaction vessel and a porous body (520) surrounding the opening unit (510) and that forms at least a part of the lower region of the reaction vessel while being located under the seed crystal (100). According to an embodiment, the filter unit (500) may form the inner center of the reaction vessel (200). In an embodiment, the filter unit (500) may be a cylindrical or polygonal column shape. For example, the cross-section of the filler unit (500) may be a geometric shape to such as circle, triangle, square, pentagon, hexagon, octagon, or star, but it is not limited thereto. FIG.22shows a filter unit (500) of the apparatus for growing a SiC single crystal ingot according to an embodiment.FIG.22illustrates a filter unit (500) having a cylindrical shape in which an opening unit (510) is formed therein and a porous body (520) is formed to surround the opening unit (510). FIG.23shows another filter unit of the apparatus for growing a SiC single crystal ingot according to an embodiment.FIG.23illustrates a filter unit (500) having a square column shape in which an opening unit (510) is formed therein and a porous body (520) is formed to surround the opening unit (510). In an embodiment, the porous body (520) may be prepared from a SiC composition comprising a carbon-containing polymer resin, SiC, a dopant, and a solvent. The carbon-containing polymer resin may comprise at least one selected from the group consisting of phenolic resins, polyacrylamide resins, and thermosetting resins. The phenolic resin may be one or more selected from the group consisting of novolac resins and resol resins, but it is not limited thereto. The polyacrylamide resin may be a polyamic acid resin, but it is not limited thereto. The thermosetting resin may be one or more selected from the group consisting of polyurethane resins, melamine resins, and alkyd resins, but it is not limited thereto. The SiC is in a powder form, and the average diameter of the powder particles may be 10 μm to 5,000 μm. For example, the size of the SiC particles may be 50 μm to 3,000 μm or 100 μm to 1,000 μm, but it is not limited thereto. In an embodiment, the SiC may have a purity of 90% by weight to 99% by weight. Specifically, the SiC may have a purity of 91% by weight to 97% by weight or 93% by weight to 95% by weight, but it is not limited thereto. The dopant may comprise at least one selected from the group consisting of vanadium (V), chromium (Cr), manganese (Mn), and cobalt (Co). For example, the dopant may be a transition metal. Specifically, it may be vanadium. For example, vanadium can form a deep level in any state of a donor or an acceptor in SiC crystals and compensates for the shallow donor or shallow acceptor impurities to make the crystals highly resistant, that is, to a semi-insulating state. The SiC composition may comprise 1% by weight to 20% by weight of a dopant based on the total weight of the SiC composition. For example, the SiC composition may comprise a dopant in an amount of 5% by weight to 17% by weight, 5% by weight to 15% by weight, or 10% by weight to 15% by weight, based on the total weight of the SiC composition, but it is not limited thereto. According to an embodiment, the solvent may be one or more selected from the group consisting of ethanol, methanol, acetone, dimethylformamide, and dimethyl sulfoxide. Specifically, the solvent may be ethanol, but it is not limited thereto. The SiC composition may comprise 1% by weight to 20% by weight of a solvent based on the total weight of the SiC composition. For example, the SiC composition may comprise a solvent in an amount of 5% by weight to 17% by weight, 5% by weight to 15% by weight, or 10% by weight to 15% by weight, based on the total weight of the SiC composition, but it is not limited thereto. The SiC composition may comprise 1% by weight to 40% by weight of a carbon-containing polymer resin based on the total weight of the SiC composition. For example, the SiC composition may comprise a carbon-containing polymer resin in an amount of 5% by weight to 35% by weight, 5% by weight to 30% by weight, or 10% by weight to 30% by weight, based on the total weight of the SiC composition, but it is not limited thereto. According to an embodiment, the solvent may be one or more selected from the group consisting of ethanol, methanol, acetone, dimethylformamide, and dimethyl sulfoxide. Specifically, the solvent may be ethanol, but it is not limited thereto. The SiC composition may comprise 1% by weight to 20% by weight of a solvent based on the total weight of the SiC composition. For example, the SiC composition may comprise a solvent in an amount of 5% by weight to 17% by weight, 5% by weight to 15% by weight, or 10% by weight to 15% by weight, based on the total weight of the SiC composition, but it is not limited thereto. In an embodiment, the porous body is prepared through carbonization or graphitization of the SiC composition. Specifically, the porous body may be prepared by subjecting the SiC composition to drying; curing; and carbonization or graphitization. According to an embodiment, the drying may be carried out in a temperature range of 30° C. to 400° C. or 50° C. to 350C . In addition, the curing may be carried out in a temperature range of 30° C. to 400° C.; or 100° C. to 400° C. When the drying and curing conditions are satisfied, it may be advantageous for carbonization or graphitization of the SiC composition. For example, the drying may be carried out for 1 hour to 5 hours in a temperature range of 30° C. to 400° C., 50° C. to 350° C., or 50° C. to 300° C., but it is not limited thereto. In addition, the curing may be carried out for 1 hour to 10 hours in a temperature range of 30° C. to 400° C., 100° C. to 400° C., or 150° C. to 400° C., but it is not limited thereto. According to an embodiment, the carbonization or graphitization is carried out in a temperature range of 200° C. to 2,200° C. and a pressure condition of 1 Torr to 1,500 Torr. When the temperature and pressure conditions are satisfied, it may be advantageous for carbonization or graphitization of the SiC composition. For example, the SiC composition subjected to the drying and curing steps is thermally treated in a temperature range of 300° C. to 600° C. and a pressure condition of 500 Torr to 700 Torr and then carbonized or graphitized in a temperature range of 2,000° C. to 2,200° C. and a pressure condition of 500 Torr to 800 Torr. In addition, the carbonization or graphitization may be carried out for 1 to 5 hours or 2 to 5 hours, but it is not limited thereto. According to an embodiment, the carbonization or graphitization refers to thermal treatment in an inert atmosphere. The inert atmosphere may be a nitrogen atmosphere or an argon atmosphere, but it is not limited thereto. In an embodiment, the porous body (520) may comprise a pore (523), a flowing path (524), and a pore wall (525), specifically, as the porous body (520) comprises a pore (523), a flowing path (524), and a pore wall (525), the raw material reacted with the dopant can move uniformly, and the C/Si ratio is increased as compared with the prior art, so that the stability of polymorphs can be enhanced. In an embodiment, the diameter (D11) of the pore may be in the range of 1 μm to 500 μm. For example, it may be 10 μm to 400 μm, 25 μm to 300 μm, 50 μm to 200 μm, or 75 μm to 100 μm, but it is not limited thereto. In another embodiment, the specific surface area of the porous body may be 1,000 m2/g to 4,000 m2/g. For example, it may be 1,200 m2/g to 3,500 m2/g, 1,300 m2/g to 3,000 m2/g, 1,400 m2/g to 2,500 m2/g, or 1,500 m2/g to 2,000 m2/g, but it is not limited thereto. In an embodiment, the porous body (520) may comprise an outer circumferential surface (522) and an inner circumferential surface (521). The thickness (T1) of the porous body refers to an average thickness between the outer circumferential surface (522) and is the inner circumferential surface (521) of the porous body. In an embodiment, the thickness (T1) of the porous body may be 5 mm to 20 mm. For example, it may be 7 mm to 20 mm, 7 mm to 18 mm, 10 mm to 18 mm, or 10 mm to 16 mm, but it is not limited thereto. In an embodiment, the diameter (D1) of the opening unit may be 15% to 40% of the diameter (a) of the seed crystal. For example, the diameter (D1) of the opening unit may be 15% to 35%, 17% to 35%, 17% to 33%, 20% to 33%, 20% to 30%, 23% to 30%, or 25% to 30% of the diameter (a) of the seed crystal, but it is not limited thereto. In addition, if the opening unit has a polygonal column shape, the diameter of the opening unit having a polygonal column shape refers to a diameter of a circle having the same area as that of the polygon. Blocking Unit (600) According to an embodiment, the reaction vessel (200) comprises a blocking unit (600) located at the upper end of the raw material receiving unit (400) and at the upper end of the porous body (520). As the blocking unit (600) is located at the upper end of the raw material receiving unit (400) and at the upper end of the porous body (520), it is possible to minimize the amount of the unreacted raw material, thereby reducing the cost. In addition, it is possible to suppress unintended mixing of impurities and to readily control the doping. FIG.24shows a blocking unit (600) of the apparatus for growing a SiC single crystal ingot according to an embodiment.FIG.24illustrates a blocking unit (600) having an inner space. In an embodiment, the diameter (D1) of the opening unit is greater than, or equal to, the inner diameter (D2) of the blocking unit. In an embodiment, the ratio of the diameter (D1) of the opening unit and the inner diameter (D2) of the blocking unit may be 1:0.8 to 1:1. For example, it may be 1:0.9 or to 1:1, but it is not limited thereto. In an embodiment, the blocking unit (600) may comprise at least one selected from the group consisting of graphite, tantalum (Ta), tantalum carbide (TaC), tungsten (W), and tungsten carbide (WC). In an embodiment, the thickness (T2) of the blocking unit may be 1 mm to 10 mm. For example, it may be 3 mm to 10 mm, 3 mm to 8 mm, or 5 mm to 8 mm, but it is not limited thereto. Reaction Vessel Cap (700) The apparatus for growing a SiC single crystal ingot according to an embodiment further comprises a reaction vessel cap (700) located at the inner upper end of the reaction vessel (200), wherein the seed crystal (100) is fixed to the lower end of the reaction vessel cap (700). The details of the resistivity and purity of the SiC single crystal ingot are the same as described in step (2) above. According to an embodiment, the dopant concentration of the SiC single crystal ingot prepared by the apparatus for growing a SiC single crystal ingot is 1×1015atoms/cc to 5×1017atoms/cc. Specifically, the dopant concentration of the SiC single crystal ingot may be 5×1015atoms/cc to 1×1017atoms/cc or 1×1016atoms/cc to 5×1016atoms/cc, but it is not limited thereto. MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described in detail with reference to examples. The following examples are only illustrative of the present invention, and the scope of the present invention is not limited thereto. EXAMPLE 1 80% by weight of a phenolic resin (product name: KC-5536, manufacturer: Kangnam Chemical) as a carbon-containing polymer resin, 18% by weight of an ethanol solvent (manufacturer: OCI), and 2% by weight of a vanadium dopant were mixed. It was dried at 200° C. for 3 hours and then cured at 400° C. for 2 hours. It was thermally treated under the conditions of 500° C. and 700 Torr, carbonized or graphitized for 5 hours under the conditions of 2,000° C. and 760 Torr, and then pulverized to prepare a dopant coated with a carbon-based material and having an average particle size of 10 μm. A seed crystal was mounted on the inner upper end of a graphite crucible, and SiC powder and the dopant coated with a carbon-based material were then loaded. The crucible was wrapped with a thermal insulation material and placed in a reaction chamber provided with a heating coil. The inside of the crucible was vacuumed, and argon gas was slowly charged thereto. In addition, the temperature in the crucible was raised to 2,400° C., and the pressure was raised to 700 Torr. Thereafter, the pressure was gradually lowered to reach 30 Torr, and a SiC single crystal ingot was grown on the seed crystal for 50 hours under these conditions to prepare a semi-insulating SiC single crystal ingot. COMPARATIVE EXAMPLE 1 A semi-insulating SiC single crystal ingot was prepared in the same manner as in the above Example, except that a porous graphite container loaded with a dopant was used instead of the dopant coated with a carbon-based material. EVALUATION EXAMPLE 1-1 Measurement of Dopant Concentration The semi-insulating SiC single crystal ingots prepared in Example 1 and Comparative Example 1 were measured for the concentration of the dopant using SIMS (secondary ion mass spectrometry). The results are shown in Table 1 below. TABLE 1Dopant concentration (atoms/cc)Example 11.1 × 1017Comparative Example 15.3 × 1016 As shown in Table 1 above, the concentration of the dopant of the semi-insulating SiC single crystal ingot prepared according to Example 1 was greater than the concentration of the dopant of the semi-insulating SiC single crystal ingot prepared according to Comparative Example 1. EVALUATION EXAMPLE 1-2 Evaluation of Surface Image The surface images of the semi-insulating SiC single crystal ingots prepared in Example 1 and Comparative Example 1 were evaluated with the naked eyes using an optical microscope. FIG.3shows a surface image of the semi-insulating SiC single crystal ingot of Example 1.FIG.4shows a surface image of the semi-insulating SiC single crystal ingot of Comparative Example 1. As shown inFIG.3, in the semi-insulating SiC single crystal ingot prepared according to Example 1, the dopant concentration was maintained uniformly in the step of growing the SiC single crystal ingot, so that the dopant was rarely precipitated. In contrast, as shown inFIG.4, in the semi-insulating SiC single crystal ingot of Comparative Example 1, excessive doping was taken place in the step of growing the SiC single crystal ingot, whereby the dopant was precipitated. EVALUATION EXAMPLE 1-3 Change in the Doping Concentration in the Growing Step For the semi-insulating SiC single crystal ingots prepared in Example 1 and Comparative Example 1, the change in doping concentration images at the initial, intermediate, and final stages in the growth of the SiC single crystal ingot on the seed crystal was evaluated using the substrate processing. FIGS.5to7show the doping concentration at the initial, intermediate, and final stages in the growth of the semi-insulating SiC single crystal ingot of Example 1.FIGS.8to10show the doping concentration at the initial, intermediate, and final stages in the growth of the semi-insulating SiC single crystal ingot of Comparative Example 1. As shown inFIGS.5to7, the semi-insulating SiC single crystal ingot prepared according to Example 1 was uniformly transparent through the entire substrate. In contrast, as shown inFIGS.8to10, the semi-insulating SiC single crystal ingot prepared according to Comparative Example 1 had a partially dark color and a non-uniform color distribution. EVALUATION EXAMPLE 1-4 Evaluation of Ingot Surface and UV Image The UV images of the semi-insulating SiC single crystal ingots prepared in Example 1 and Comparative Example 1 were evaluated with the naked eyes using UV lamp irradiation. FIG.11shows a UV image of the semi-insulating SiC single crystal ingot of Example 1.FIG.12shows a UV image of the semi-insulating SiC single crystal ingot of Comparative Example 1. The UV images ofFIGS.11and12confirm the control of polymorphs. Specifically, green represents 4H, red represents 6H, and black represents 15R. As shown inFIG.11, in the semi-insulating SiC single crystal ingot prepared according to Example 1, the desired 4H was uniformly formed. In contrast, as shown inFIG.12, in the semi-insulating SiC single crystal ingot prepared according to Comparative Example 1, 4H, 6H, and 15R were partially formed, resulting in a poor quality of the SiC single crystal ingot. EXAMPLE 2 80% by weight of a phenolic resin (product name: KC-5536, manufacturer: Kangnam Chemical) as a carbon-containing polymer resin, 18% by weight of an ethanol solvent (manufacturer: OCI), and 2% by weight of a vanadium dopant were mixed. It was dried at 200° C. for 3 hours and then cured at 400° C. for 2 hours. It was thermally treated under the conditions of 500° C. and 700 Torr and then carbonized or graphitized for 5 hours under the conditions of 2,000° C. and 760 Torr to solidify the composition. A seed crystal was mounted on the inner upper end of a graphite crucible, the crucible was then wrapped with a thermal insulation material, and it was placed in a reaction chamber provided with a heating coil. The inside of the crucible was vacuumed, and argon gas was slowly charged thereto. In addition, the temperature in the crucible was raised to 2,400° C., and the pressure was raised to 700 Torr. Thereafter, the pressure was gradually lowered to reach 30 Torr, and a SiC single crystal ingot was grown on the seed crystal for 50 hours under these conditions to prepare a semi-insulating SiC single crystal ingot. COMPARATIVE EXAMPLE 2 A semi-insulating SiC single crystal ingot was prepared in the same manner as in the above Example, except that a porous graphite container loaded with a dopant was used instead of the solidified composition. EVALUATION EXAMPLE 2-1 Measurement of Dopant Concentration The semi-insulating SiC single crystal ingots prepared in Example 2 and Comparative Example 2 were measured for the concentration of the dopant using SIMS (secondary ion mass spectrometry). The results are shown in Table 2 below. TABLE 2Dopant concentration (atoms/cc)Example 11.3 × 1017Comparative Example 15.3 × 1016 As shown in Table 2 above, the concentration of the dopant of the semi-insulating SiC single crystal ingot prepared according to Example 2 was greater than the concentration of the dopant of the semi-insulating SiC single crystal ingot prepared according to Comparative Example 2. EVALUATION EXAMPLE 2-2 Evaluation of Residual Powder Cross-Sectional Image The residual powder cross-sectional images of the semi-insulating SiC single crystal ingots prepared in Example 2 and Comparative Example 2 were evaluated with the naked eyes. FIG.14shows a cross-sectional image of residual powder of the semi-insulating SiC single crystal ingot of Example 2.FIG.15shows a cross-sectional image of residual powder of the semi-insulating SiC single crystal ingot of Comparative Example 2. As shown inFIG.14, in the semi-insulating SiC single crystal ingot prepared according to Example 2, sublimation was uniformly taken place through the entire region. In contrast, as shown inFIG.15, in the semi-insulating SiC single crystal ingot of Comparative Example 2, sublimation was mainly taken place at the location (70) where the porous container was. EVALUATION EXAMPLE 2-3 Evaluation of UV Image The UV images of the semi-insulating SiC single crystal ingots prepared in Example 2 and Comparative Example 2 were evaluated with the naked eyes using UV lamp irradiation. FIG.16shows a UV image of the semi-insulating SiC single crystal ingot of Example 2.FIG.17shows a UV image of the semi-insulating SiC single crystal ingot of Comparative Example 2. The UV images ofFIGS.16and17confirm the control of polymorphs. Specifically, green represents 4H, red represents 6H, and black represents 15R. As shown inFIG.16, in the semi-insulating SiC single crystal ingot prepared according to Example 2, the desired 4H was uniformly formed. In contrast, as shown inFIG.17, in the semi-insulating SiC single crystal ingot prepared according to Comparative Example 2, 4H, 6H, and 15R were partially formed, resulting in a poor quality of the SiC single crystal ingot. EVALUATION EXAMPLE 2-4 Evaluation of Surface Image The surface images of the semi-insulating SiC single crystal ingots prepared in Example 2 and Comparative Example 2 were evaluated using an optical microscope. FIG.18shows a surface image of the semi-insulating SiC single crystal ingot of Example 2.FIG.19shows a surface image of the semi-insulating SiC single crystal ingot of Comparative Example 2. As shown inFIG.18, the dopant concentration was maintained uniformly in the step of growing the SiC single crystal ingot in Example 2, so that the dopant was rarely precipitated. In contrast, as shown inFIG.19, excessive doping was taken place in Comparative Example 2, whereby the dopant was precipitated. EXAMPLE 3 A SiC single crystal ingot was prepared using the apparatus for growing a SiC single crystal ingot as shown inFIG.20. 10% by weight of a phenolic resin (product name: KC-5536, manufacturer: Kangnam Chemical), 70% by weight of an ethanol solvent (manufacturer: OCI), and 18% by weight of a vanadium carbide dopant were mixed. It was thermally treated under the conditions of 500° C. and 700 Torr and then carbonized or graphitized for 5 hours under the conditions of 2,000° C. and 760 Torr to prepare a porous body. A seed crystal was mounted on the inner upper end of a graphite crucible, the crucible was then wrapped with a thermal insulation material, and it was placed in a reaction chamber provided with a heating coil. The inside of the crucible was vacuumed, and argon gas was slowly charged thereto. In addition, the temperature in the crucible was raised to 2,400° C., and the pressure was raised to 700 Torr. Thereafter, the pressure was gradually lowered to reach 30 Torr, and a SiC single crystal ingot was grown on the seed crystal for 50 hours under these conditions to prepare a SiC single crystal ingot having a diameter of about 6 inches. COMPARATIVE EXAMPLE 3 A SiC single crystal ingot was prepared in the same manner as in the above Example, except that the conventional apparatus for growing a SiC single crystal ingot as shown inFIG.21was used. EVALUATION EXAMPLE 3-1 Evaluation of UV Image and Residual Powder The UV images and residual powder of the SiC single crystal ingots prepared in Example 3 and Comparative Example 3 were evaluated with the naked eyes using a UV lamp. FIG.25shows a UV image of the SiC single crystal ingot of Example 1.FIG.26shows a UV image of the SiC single crystal ingot of Comparative Example 3. The UV images ofFIGS.25and26confirm the control of polymorphs. Specifically, green represents 4H, red represents 6H, and black represents 15R. As shown inFIG.25, in the SiC single crystal ingot prepared according to Example 3, the desired 4H was uniformly formed. In contrast, as shown inFIG.26, in the SiC single crystal ingot prepared according to Comparative Example 3, 4H, 6H, and 15R were partially formed, resulting in a poor quality of the SiC single crystal ingot. | 60,450 |
11859306 | DESCRIPTION OF THE EMBODIMENTS FIG.1AtoFIG.3Aare schematic cross-sectional views of a manufacturing method of a silicon carbide ingot according to an embodiment of the disclosure.FIG.1BtoFIG.3Bare schematic top views of the seed, the growing body, and the silicon carbide ingot ofFIG.1AtoFIG.3B. With reference toFIG.1AandFIG.1B, a reactor100includes an induction coil102, a furnace body104, a graphite crucible106, and a seed support member108. The graphite crucible106and the seed support member108are disposed in the furnace body104. The induction coil102is disposed outside the graphite crucible106. A raw material300and a seed200located above the raw material300are provided in the reactor100. The raw material300and the seed200are spaced apart by a vertical distance vi. The raw material300is disposed in the graphite crucible106. The raw material300contains carbon and silicon, and the raw material300is, for example, silicon carbide powder. The seed200is disposed on the seed support member108. In some embodiments, the seed200is fixed on the seed support member108by an adhesive layer (not shown). The material of the seed200includes silicon carbide. For example, the seed200is 6H-silicon carbide or 4H-silicon carbide. A first surface202of the seed200faces the raw material300. A diameter of the seed200is D1. In some embodiments, the diameter D1 of the seed200is 25 mm to 250 mm. In some embodiment, the first surface202of the seed200has a surface roughness (Ra) less than 2 nm, preferably a surface roughness (Ra) less than 0.5 nm, and more preferably a surface roughness (Ra) less than 0.3 nm. In some embodiments, the seed200has a total thickness variation (TTV) of less than 2 μm, a warp of less than 30 μm, and a bow of less than ±20 μm. In some embodiments, the first surface202of the seed200is the basal plane (0001) of silicon carbide. In some embodiments, a thickness T of the seed200is greater than 0.2 mm, thereby increasing the area of a sidewall204of the seed200. The sidewall204of the seed200is a ground smooth surface, thereby facilitates the growth of silicon carbide on the sidewall204. In this embodiment, a lateral distance H1 between the side wall204of the seed200and the inner wall of the reactor100is 50 mm to 150 mm. Accordingly, space is sufficient for gas transmission between the side wall204of the seed200and the inner wall of the reactor100, and the risk of contact of silicon carbide growing on the side wall204with the reactor100can be reduced. With reference toFIG.2AandFIG.2B, a silicon carbide material210is formed on the seed200by physical vapor transport (PVT). In this embodiment, the reactor100and the raw material300are heated by the induction coil102to form the silicon carbide material210on the first surface202of the seed200and on the sidewall204of the seed200. The silicon carbide material210grows along a direction RD perpendicular to the sidewall204of the seed200, and the silicon carbide material210grows along a direction GD perpendicular to the first surface202of the seed200. The direction RD is the radial direction of the seed200. In this embodiment, when the raw material300at the bottom of the graphite crucible106is heated to a high temperature (higher than 1,900° C., for example) by the induction coil102, the raw material300is sublimated, and under the drive of a temperature gradient, is transferred to the first surface202of the seed200and the sidewall204of the seed200and forms the silicon carbide material210on the seed200, to form a growing body GB containing the seed200and the silicon carbide material210. The growing body GB grows along the radial direction (the direction RD) of the seed200, and the growing body GB grows along the direction GD perpendicular to the first surface202of the seed200. During the growing process of the growing body GB (or the silicon carbide material210), the growing body GB (or the silicon carbide material210) has a temperature gradient of 1° C./cm to 30° C./cm (which is to say, the entire thermal field in the radial direction RD has a temperature gradient of 1° C./cm to 30° C./cm) in the radial direction RD of the seed200(or the growing body GB), thus facilitating the growth of the growing body GB (or the silicon carbide material210) along the direction RD. In this embodiment, during the growing process of the growing body GB (or the silicon carbide material210), the growing body GB (or the silicon carbide material210) also has a temperature gradient in the direction GD, such that the growing body GB (or the silicon carbide material210) accordingly grows along the direction GD. In some embodiments, a growth rate of the growing body GB (or the silicon carbide material210) in the direction RD is lower than a growth rate of the growing body GB (or the silicon carbide material210) in the direction GD. With reference toFIG.3AandFIG.3B, after the growing body GB grows to the required size, the reactor100and the raw material300are cooled to obtain the growing body that has completed growth. The growing body that has completed growth is a silicon carbide ingot GB′, and the silicon carbide ingot GB′ includes the silicon carbide material210that has completed growth and the seed200. A diameter D2 of the silicon carbide ingot GB′ is greater than the diameter D1 of the seed200. D1:D2 is 1:8 to 7.5:8. A through screw dislocation (TSD) of the silicon carbide ingot GB′ obtained from the above process has a density of, for example, less than 100 pcs/cm2. FIG.4is a flowchart of a manufacturing method of a silicon carbide ingot according to an embodiment of the disclosure. With reference toFIG.4. In step S401, a raw material containing carbon and silicon and a seed located above the raw material are provided in a reactor, where a first surface of the seed faces the raw material. In step S402, the reactor and the raw material are heated to form a silicon carbide material on the seed. In step S403, the reactor and the raw material are cooled to obtain a silicon carbide ingot, and a diameter of the silicon carbide ingot is greater than a diameter of the seed. Several experiments are provided as follows to verify the effects of the disclosure, but the content of the experiments are not intended to limit the scope of the disclosure. Preparation Example 1 A silicon carbide ingot was manufactured by utilizing physical vapor transport. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of greater than 31° C./cm in the radial direction of the seed (or the growing body). Since the temperature gradient was overly great, the silicon carbide material was not likely to grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 1:1. In this case, the silicon carbide ingot obtained did not exhibit good quality and many defects were present. Preparation Example 2 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 6° C./cm to 30° C./cm (preferably 7° C./cm to 18° C./cm, and more preferably 7° C./cm to 10° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 7.5:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 2> was about 30% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. Preparation Example 3 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 5° C./cm to 18° C./cm (preferably 6° C./cm to 16° C./cm, and more preferably 6° C./cm to 7° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 6:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 3> was about 40% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. Preparation Example 4 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 3° C./cm to 16° C./cm (preferably 3° C./cm to 14° C./cm, and more preferably 5° C./cm to 6° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 4:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 4> was about 50% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. Preparation Example 5 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 3° C./cm to 14° C./cm (preferably 3° C./cm to 10° C./cm, and more preferably 4° C./cm to 5° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 3:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 5> was about 60% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. Preparation Example 6 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 3° C./cm to 12° C./cm (preferably 3° C./cm to 9° C./cm, and more preferably 3° C./cm to 4° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 2:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 6> was about 70% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. Preparation Example 7 A silicon carbide ingot was manufactured by utilizing physical vapor transport. For the manufacturing method, reference may be made toFIG.1AtoFIG.3AandFIG.1AtoFIG.3B. During the growing process of the growing body (or the silicon carbide material), the growing body (or the silicon carbide material) had a temperature gradient of 1° C./cm to 10° C./cm (preferably 3° C./cm to 8° C./cm, and more preferably 2° C./cm to 3° C./cm) in the radial direction of the seed (or the growing body). Based on the temperature gradient, the silicon carbide material might grow along the radial direction of the seed, such that a ratio of the diameter of the seed used to the diameter of the silicon carbide ingot obtained was about 1:8. In this case, the quality of the silicon carbide ingot obtained was better than the quality of the silicon carbide ingot obtained from <Preparation example 1>. In addition, the defect of the silicon carbide ingot obtained from <Preparation example 7> was about 80% less than the defect of the silicon carbide ingot obtained from <Preparation example 1>. The results based on Preparation example 1 to Preparation example 7 are shown in Table 1 below. According to Table 1, during the growing process of the growing body (or the silicon carbide material), where the growing body (or the silicon carbide material) has a temperature gradient of less than 30° C./cm in the radial direction of the seed (or the growing body), it may be facilitate forming a silicon carbide ingot having a diameter greater than a diameter of the seed. Since the diameter of the silicon carbide ingot is greater than the diameter of the seed, a large-sized silicon carbide ingot may be obtained without using a large-sized seed, thereby reducing the manufacturing costs of the silicon carbide ingot. TABLE 1TemperatureDifferenceSeedgradientfromdiameter:(°C./cm) ofdefects ofPreparationingotseed in radialPreparationexamplediameterdirectionResultexample 111:1greater than 31excessive defects/27.5:86 to 30defects successfully30%reducedreduced36:85 to 18defects successfully40%reducedreduced44:83 to 16defects successfully50%reducedreduced53:83 to 14defects successfully60%reducedreduced62:83 to 12defects successfully70%reducedreduced71:81 to 10defects successfully80%reducedreduced It will be apparent to those skilled in the art that various modifications and variations can be made to the disclosed embodiments without departing from the scope or spirit of the disclosure. In view of the foregoing, it is intended that the disclosure covers modifications and variations provided that they fall within the scope of the following claims and their equivalents. | 15,207 |
11859307 | To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation. DETAILED DESCRIPTION Embodiments disclosed herein generally relate to methods and apparatus for aligning a susceptor in a thermal processing chamber, such as an epitaxial deposition chamber or a rapid thermal processing chamber, among other chambers utilized to thermally process substrates. FIG.1illustrates a schematic sectional view of a thermal processing chamber100according to one embodiment. The thermal processing chamber100may be used as an epitaxial deposition chamber, a rapid thermal processing chamber, or other thermal treatment chamber. The process chamber100may be used to process one or more substrates, including the deposition of a material on an upper surface of a substrate102, heating of a substrate102, etching of a substrate102, or combinations thereof. The process chamber100generally includes an array of radiant heating lamps104for heating, among other components, a susceptor106disposed within the process chamber100. The susceptor106may be a disk-like substrate support as shown, or may be a ring-like substrate support (not shown), which supports the substrate from the edge of the substrate, which exposes a backside of the substrate102to heat from the radiant heating lamps104. The susceptor106may be formed from silicon carbide or graphite coated with silicon carbide to absorb radiant energy from the lamps104and conduct the radiant energy to the substrate102, thereby heating the substrate102. The susceptor106is located within the process chamber100between an upper dome108and a lower dome110. The upper dome108and the lower dome110, along with a base ring112that is disposed between the upper dome108and lower dome110, generally define an internal region111of the process chamber100. The substrate102can be transferred into the process chamber100and positioned onto the susceptor106through a loading port (not shown) formed in the base ring112. A process gas inlet114and a gas outlet116may be provided in the base ring112. The susceptor106includes a shaft or stem118that is coupled to a motion assembly120. The motion assembly120includes one or more actuators and/or adjustment devices that provide movement and/or adjustment of the stem118and/or the susceptor106within the internal region111. For example, the motion assembly120may include a rotary actuator122that rotates the susceptor106about a longitudinal axis A of the process chamber100. The longitudinal axis A may include a center of an X-Y plane of the process chamber100. The motion assembly120may include a vertical actuator124to lift and lower the susceptor106in the Z direction. The motion assembly120may include a tilt adjustment device126that is used to adjust a planar orientation of the susceptor106in the internal region111. The motion assembly120may also include a lateral adjustment device128that is utilized to adjust the positioning of the stem118and/or the susceptor106side to side within the internal region111. In embodiments where one or both of the following is necessary, the lateral adjustment device128is utilized to adjust positioning of the stem118and/or the susceptor106in the X and/or Y direction while the tilt adjustment device126adjusts an angular orientation (a) of the stem118and/or the susceptor106. In one embodiment, the motion assembly120includes a pivot mechanism130. As the lower dome110is rigidly fixed to the process chamber100by the base ring112, the pivot mechanism130is utilized to allow the motion assembly120to move the stem118and/or the susceptor106at least in the angular orientation (a) to reduce stresses on the lower dome110. The susceptor106is shown in an elevated processing position but may be lifted or lowered vertically by the motion assembly120as described above. The susceptor106may be lowered to a transfer position (below the processing position) to allow lift pins132to contact the lower dome110. The lift pins132are disposed in holes in the susceptor106and as the susceptor106is lowered, the lift pins132raise the substrate102from the susceptor106. A robot (not shown) may then enter the process chamber100to engage and remove the substrate therefrom though the loading port. A new substrate102may be loaded onto the lift pins132by the robot, and the susceptor106may then be actuated up to the processing position to place the substrate102, with its device side150facing up. The lift pins132include an enlarged head allowing the lift pins132to be suspended in openings by the susceptor106in the processing position. In one embodiment, stand-offs134coupled to the lower dome110are utilized to provide a flat surface for the lift pins132to contact. The stand-offs provide a surface that is parallel to the X-Y plane of the process chamber100and may be used to prevent binding of the lift pins132that may occur if the end thereof is allowed to contact the curved surface of the lower dome110. The stand-offs134may be made of an optically transparent material to allow energy from the lamps104to pass therethrough. The susceptor106, while located in the processing position, divides the internal volume of the process chamber100into a process gas region136that is above the susceptor106, and a purge gas region138below the susceptor106. The susceptor106is rotated during processing by the rotary actuator122to minimize the effect of thermal and process gas flow spatial anomalies within the process chamber100and thus facilitates uniform processing of the substrate102. The susceptor106is supported by the stem118, which is generally centered on the susceptor106and facilitates movement of the susceptor106substrate102in a vertical direction (Z direction) during substrate transfer, and in some instances, processing of the substrate102. In general, the central window portion of the upper dome108and the bottom of the lower dome110are formed from an optically transparent material such as quartz. The thickness and the degree of curvature of the upper dome108may be configured to provide a flatter geometry for uniform flow uniformity in the process chamber. One or more lamps, such as an array of the radiant heating lamps104, can be disposed adjacent to and beneath the lower dome110in a specified manner around the stem118. The radiant heating lamps104may be independently control or controlled in zones in order to control the temperature of various regions of the substrate102as the process gas passes thereover, thereby facilitating the deposition of a material onto the upper surface of the substrate102. While not discussed here in detail, the deposited material may include silicon, doped silicon, germanium, doped germanium, silicon germanium, doped silicon germanium, gallium arsenide, gallium nitride, or aluminum gallium nitride. The radiant heating lamps104may include a radiant heat source, depicted here as a lamp bulb141, and may be configured to heat the substrate102to a temperature within a range of about 200 degrees Celsius to about 1,600 degrees Celsius. Each lamp bulb141can be coupled to a standoff a power distribution board, such as printed circuit board (PCB)152, through which power is supplied to each lamp bulb141. In one embodiment, the radiant heating lamps104are positioned within a lamphead145which may be cooled during or after processing. A circular shield146may be optionally disposed around the susceptor106and coupled to sidewall of the chamber body148. The shield146prevents or minimizes leakage of heat/light noise from the lamps104to the device side150of the substrate102in addition to providing a pre-heat zone for the process gases. The shield146may be made from CVD SiC, sintered graphite coated with SiC, grown SiC, opaque quartz, coated quartz, or any similar, suitable material that is resistant to chemical breakdown by process and purge gases. In some embodiments, the shield146is coupled to a liner163disposed on the base ring112. Substrate temperature is provided by sensors configured to measure temperatures at the bottom of the susceptor106. The sensors may be pyrometers (not shown) disposed in ports formed in the lamphead145. Additionally or alternatively, one or more sensors155, such as a pyrometer, may be directed to measure the temperature of the device side150of the substrate102. A reflector154may be optionally placed outside the upper dome108to reflect infrared light that is radiating off the substrate102and redirect the energy back onto the substrate102. The reflector154may be secured to the upper dome108using a clamp ring156. The reflector154can be made of a metal such as aluminum or stainless steel. Process gas supplied from a process gas supply source172is introduced into the process gas region136through the process gas inlet114formed in the sidewall of the base ring112. The process gas inlet114is configured to direct the process gas in a generally radially inward direction. During a film formation process, the susceptor106is located in the processing position, which is adjacent to and at about the same elevation as the process gas inlet114, thus allowing the process gas to flow generally along flow path173across the upper surface of the substrate102. The process gas exits the process gas region136(along flow path175) through the gas outlet116located on the opposite side of the process chamber100as the process gas inlet114. Removal of the process gas through the gas outlet116may be facilitated by a vacuum pump180coupled thereto. Purge gas supplied from a purge gas source162is introduced to the purge gas region138through a purge gas inlet164formed in the sidewall of the base ring112. The purge gas inlet164is disposed at an elevation below the process gas inlet114. If the circular shield146is used, the circular shield146may be disposed between the process gas inlet114and the purge gas inlet164. In either case, the purge gas inlet164is configured to direct the purge gas in a generally radially inward direction. If desired, the purge gas inlet164may be configured to direct the purge gas in an upward direction. During a film formation process, the susceptor106is located at a position such that the purge gas flows generally along flow path165across a back side of the susceptor106. The purge gas exits the purge gas region138(along flow path166) and is exhausted out of the process chamber through the gas outlet116located on the opposite side of the process chamber100as the purge gas inlet164. FIG.2is a side cross-sectional view of a portion of the process chamber100ofFIG.1showing one embodiment of a motion assembly200. The motion assembly200may be used as the motion assembly120ofFIG.1. The motion assembly200includes a pivot mechanism130which allows a movable portion205, which is operably coupled to the stem118, to move at least angularly relative to the lower dome110. Dynamic seals206, which may be an elastomeric o-ring, may be coupled between an outer housing207and an inner portion208of the lower dome110. The movable portion205includes the rotary actuator122that is coupled to a rotary stage210disposed in a housing212. In some embodiments, the rotary stage210is operably coupled to the stem118by a tube214. The rotary stage210may be coupled to the tube214by a magnetic coupling. The tube214includes an inner dimension sized to receive an outer diameter of the stem118. The tube214captures and vertically supports the stem118. The tube214and/or the stem118may include fasteners or indexing features (i.e., flats, grooves, and the like) that facilitate positive engagement therebetween to facilitate rotation of the stem118based on rotation of the rotary stage210. The movable portion205also includes a vertical actuator124, which may include a rotary motor216that is operably coupled to the stem118. In one embodiment, the vertical actuator124includes a screw drive218coupled to the rotary motor216and one or more brackets220that are coupled to the stem118. The bracket(s)220may be coupled to the tube214when the tube214is used. Rotation of the screw drive218raises or lowers the stem118(having the susceptor106(shown inFIG.1) coupled thereto) in the Z direction. A first flexible seal222, such as a bellows, may be disposed between a base224of the vertical actuator124and the one or more brackets220. The motion assembly200also includes an adjustment portion226operably coupled to one or more components of the movable portion205. The adjustment portion226includes the lateral adjustment device128and the tilt adjustment device126. The adjustment portion226also includes a second flexible seal228, such as a bellows. The second flexible seal228is adapted to move independently of the first flexible seal222, and vice-versa. The second flexible seal228allows all components within the motion assembly200to share the same environment as the purge gas region138of the process chamber100up to the rotary stage210, in one embodiment. Thus, a reduced pressure environment may be contained in the motion assembly200while the rotary stage210is in ambient pressures. The second flexible seal228may terminate at a base plate230of the housing212of the rotary stage210. The lateral adjustment device128and the tilt adjustment device126may comprise a manual adjustment apparatus for centering of the stem118as well as adjusting planarity and/or tilt of the stem118and the susceptor106coupled thereto. In some embodiments, the vertical actuator124includes a bracket225that extends vertically from the base224. The bracket225may be integral to the vertical actuator124and may be substantially parallel to a longitudinal axis of the stem118. Substantially parallel as used herein includes parallel (e.g., 0 degrees relative to the longitudinal axis A) as well as a +/−5 degree angle, or less, relative to the longitudinal axis A. The tilt adjustment device126may move the base224laterally in the X and/or the Y direction by pushing or pulling on the bracket225, which may be used to adjust the tilt of the stem118and/or the planarity of the susceptor106. The tilt adjustment device126may be an actuator, a screw, or other adjustable fastener that pushes or pulls on the bracket225. In one embodiment, the lateral adjustment device128may include an X adjustment plate232that is coupled to the base plate230by a fastener234, such as by a bolt or screw. The base plate230may be disposed at an angle that is substantially normal to the stem118and/or parallel to a plane of a surface of the susceptor106(shown inFIG.1), and the X adjustment plate232may be disposed at an angle that is substantially normal to a plane of the base plate230and/or the rotational axis of the stem118. Substantially normal as used herein includes 90 degrees as well as +/−5 degrees, or less. The X adjustment plate232includes an adjustment feature236, which may be a set screw that contacts a surface of the housing212of the rotary stage210. The adjustment feature236may push and/or pull the housing212to displace the housing212in the X direction. The displacement of the housing212is utilized to center the stem118relative to the lower dome110and/or center the susceptor106relative to the circular shield146(shown inFIG.1). While not shown in this view, a Y adjustment plate may be coupled to the base plate230and operates similar to the X adjustment plate232except for providing displacement in the Y direction. When the stem118is out of alignment with the longitudinal axis A, which may cause the susceptor106to tilt, the tilt adjustment device126may be utilized to correct the tilt of the susceptor106. The displacement of the housing212may be limited to the portion of the motion assembly200below the base plate230which allows the first flexible seal222to remain on-axis (i.e., centered about the stem118). Any transverse displacement in the assembly is accommodated by the second flexible seal228which moves in the X and/or Y direction while the first flexible seal222remains substantially parallel to the longitudinal axis A. Thus, expansion and contraction of the second flexible seal228is limited or non-existent, which reduces fatigue and extends the lifetime of the second flexible seal228. In some embodiments, the first flexible seal222is substantially limited to movement along the longitudinal axis A of the process chamber100while the second flexible seal228is substantially limited to lateral movement (in the X and Y directions) of the process chamber100. Substantially limited in movement as used herein includes no movement as well as movement within 5 millimeters, or less. FIG.3is an exploded isometric view of one embodiment of an adjustment portion300of the motion assembly200ofFIG.2. The adjustment portion300includes the X adjustment plate232that is adapted to couple to the base plate230using one or more fasteners234. A Y adjustment plate305may also be coupled to the base plate230at a substantially orthogonal angle relative to the X adjustment plate232using one or more fasteners234. Both of the X adjustment plate232and the Y adjustment plate305include at least one adjustment feature236that contacts a surface310of the housing212. The adjustment feature236may be rotated toward or away from the housing212for transverse adjustment of the housing212and the stem118(shown inFIG.2) in order to center the stem118in the X-Y plane of the process chamber100(shown inFIG.1). The adjustment features236utilized on the X adjustment plate232and the Y adjustment plate305may be a set screw that is rotated against the surfaces310of the housing212and pushes the housing212away from a respective plate232or305, in one embodiment. In another embodiment, the adjustment features236may engage the surfaces310such that rotation of the adjustment features236pulls the housing212toward a respective plate232or305. FIGS.4A and4Bare schematic cross-sectional views showing one embodiment of an installation and alignment procedure400of a susceptor106. The installation and alignment procedure400includes an initial installation of the susceptor106. The susceptor106may be inserted into the tube214(shown inFIG.2) and coupled to the rotary stage210within the housing212by a magnetically-coupled drive assembly. As shown inFIG.4A, the susceptor106is off-center (i.e., misaligned in X-Y). As shown inFIG.4B, the adjustment feature236provided in a bracket405moves the housing212in the X direction, which moves the stem118and the susceptor106in the X direction thereby substantially centering the stem118and the susceptor106relative to the circular shield146. While not shown, the stem118and the susceptor106may be adjusted in the Y direction if needed. FIGS.5A-5Care schematic cross-sectional views showing another embodiment of an installation and alignment procedure500of a susceptor106. The installation and alignment procedure500includes an initial installation of the susceptor106in the stem assembly similar toFIG.4A, except the stem118and the susceptor106are tilted relative to the longitudinal axis A and the X-Y plane, respectively, as shown inFIG.5A. FIG.5Bshows a tilt correction provided by the tilt adjustment device126. The tilt adjustment device126is utilized to push against the bracket405which alleviates the tilt of the susceptor106. Thus, one or both major surfaces505A,505B of the susceptor106may be parallel to the X-Y plane, which provides uniform processing of a substrate (as opposed to a tilted susceptor) which reduces chamber matching problems, among other processing issues. FIG.5Cshows a transverse alignment correction provided by the lateral adjustment device128, which generally centers the susceptor106relative to the circular shield146. Embodiments of the tilt adjustment device126and the lateral adjustment device128provide more precise alignment and/or positioning of a susceptor106in a process chamber. The embodiments disclosed herein provide positioning of a susceptor that may be aligned simultaneously in tilt and centering. The positioning is achieved by providing separate actuation of the tilt alignment and the centering alignment. According to the embodiments, four degrees of freedom in alignment control are provided, such as tilt correction along the X-axis, tilt correction along the Y-axis, translation along the X-axis, and translation along the Y-axis. Correction of tilt may move the entire assembly (i.e., X and Y alignment devices, Z motion alignment and rotation devices). The centering and tilt correction provided by the embodiments reduces chamber matching issues, among other processing non-uniformities. The second flexible seal228may be adapted to move only in X and/or Y directions while the first flexible seal222moves primarily in the vertical (Z) direction. This differs from conventional susceptor assemblies where a single bellows assembly (which may be a bellows device coupled directly to and extending from another bellows device) is typically utilized such that the entire assembly is subject to tilt and multiple cycles of expansion and contraction. However, the first flexible seal222and the separate second flexible seal228limits fatigue of the seals by reducing transverse displacement in the first flexible seal222and reducing expansive/contractive movement in the second flexible seal228. Tilting of the stem118and/or the susceptor106may be inherent due to multiple tolerances when assembling dozens of parts together in the construction of the thermal processing chamber100. The inner portion208of the lower dome110within the pivot mechanism130has no vertical reference. Hence, the tilt adjustment device126is utilized to correct this tilt that may be caused by the tolerances of the parts when assembled. In one embodiment, correction of the tilt may be carried out by using the tilt adjustment device126before any lateral correction is provided. Then centering of the susceptor106(pure X-Y) relative to the circular shield146may be performed using the lateral adjustment device128. Although not impossible, it has been determined that it is difficult to perform X-Y adjustments before tilt adjustment because tilt adjustment affects X-Y centering while X-Y centering does not affect tilt. While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. | 22,582 |
11859308 | DETAILED DESCRIPTIONS For simplicity and clarity of illustration, elements in the figures are not necessarily drawn to scale. The same reference numbers in different figures denote the same or similar elements, and as such perform similar functionality. Moreover, descriptions and details of well-known steps and elements are omitted for simplicity of the description. Furthermore, in the following detailed description of the present disclosure, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. However, it will be understood that the present disclosure may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail so as not to unnecessarily obscure aspects of the present disclosure. Examples of various embodiments are illustrated and described further below. It will be understood that the description herein is not intended to limit the claims to the specific embodiments described. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the present disclosure as defined by the appended claims. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the present disclosure. As used herein, the singular forms “a” and “an” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising”, “includes”, and “including” when used in this specification, specify the presence of the stated features, integers, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, operations, elements, components, and/or portions thereof. Unless otherwise defined, all terms including technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this inventive concept belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. First, prior to describing the single crystal transition metal dichalcogenide thin film according to the present disclosure and the method for synthesizing the, a phrase “high index surface (high index plane)” used herein will be described in detail with reference to the drawings. FIG.1is a diagram showing a crystallographic plane of a cubic crystal structure to describe a high index surface having a Miller index according to the present disclosure. (a) inFIG.1is a diagram for describing a low index plane, and shows crystallographic planes having (110), (110) and (111) low indices of a cubic crystal structure. (b) inFIG.1is a diagram for describing a high index plane, and shows high index planes having (123) and (113) Miller indexes of a cubic crystal structure. Referring toFIG.1, a crystallographic plane of the solid may be represented by the Miller index (Miller index, (khl)), where each of h, k and l may be an integer independently of each other. The low index plane may mean a crystallographic plane in which each of h, k, and l is independently an integer of −1 inclusive to +1 inclusive. For example, the low index plane may mean a crystallographic plane having a Miller index such as (001), (101), and (111). The high index plane may mean a crystallographic plane in which each of h, k, and l is independently an integer, and at least one of h, k, and l is an integer greater than or equal to +2 or smaller than or equal to −2. For example, the high index plane may mean a crystallographic plane having a Miller index such as (113) or (169). FIG.2is a diagram showing a high index plane of a face-centered cubic (FCC) crystal structure to describe the high index surface having the Miller index according to the present disclosure. (a) inFIG.2shows a side view of a high index plane having a (113) Miller index of a FCC crystal structure. (b) inFIG.2shows a schematic diagram of a high index plane having a (169) Miller index of a FCC crystal structure. Both the (113) and (169) crystallographic planes have steps and terraces. Referring toFIG.2, the high index plane having a (113) Miller index may be formed by a combination of low index planes having (001) and (111) Miller indexes, respectively. In this connection, the two low index planes meet with each other to form a step and a terrace. The step may be formed at a point where the two low index planes meet with each other. A periodicity of the steps may be determined based on the terrace. Therefore, as a difference between h, k and l constituting the Miller index increases, a slope of the step may increase, and a width of the terrace may decrease. The high index surface as used herein may mean a crystallographic plane in which the width of the terrace corresponds to a size of 2 or more atoms. FIG.3is a diagram for describing a single crystal transition metal dichalcogenide thin film according to the present disclosure and a method for synthesizing the same according the present disclosure. Referring toFIG.3, a method for synthesizing a single crystal transition metal dichalcogenide thin film according to the present disclosure includes processing a metal substrate such that a high index surface thereof having a Miller index of (hkl) is exposed; and synthesizing a single crystal transition metal dichalcogenide on the high index surface using a chemical vapor deposition, wherein each of h, k, and l is independently an integer, and at least one of h, k, and l is an integer greater than or equal to +2 or smaller than or equal to −2. In treating the single crystal metal substrate, the metal substrate may include a metal that does not react with a transition metal and chalcogen elements, for example, one selected from gold (Au), nickel-gallium alloy (Ni—Ga alloy), etc. Preferably, the metal substrate may be made of gold (Au) having an FCC crystal structure. In one embodiment, the single crystal metal substrate may be prepared by performing heat treatment at a temperature close to a melting point of the metal substrate. In synthesizing the single crystal transition metal dichalcogenide, the chemical vapor deposition may be performed using a chemical vapor deposition apparatus. As shown inFIG.3, the chemical vapor deposition apparatus may include a deposition chamber, precursor supply means and carrier gas supply means. The metal substrate may be disposed in the deposition chamber. The precursor supply means and the carrier gas supply means may supply the precursor and the carrier gas to the deposition chamber. The carrier gas may act as moving precursor molecules onto the metal surface, and may include one selected from nitrogen (N2) and argon (Ar). In the chemical vapor deposition, when the precursor and the carrier gas are injected into the chamber through the precursor supply means and the carrier gas supply means in a state in which the metal substrate is disposed in the chamber, the carrier gas may move the precursor molecules onto the metal substrate surface to cause a chemical reaction therebetween, so that a single crystal transition metal dichalcogenide thin film may be formed on the metal substrate. In one embodiment, the chemical vapor deposition may be performed at a high temperature for the chemical reaction of the precursor molecules. For example, the chemical vapor deposition may be performed in a temperature range of 500 to 900° C. The transition metal dichalcogenide may contain one transition metal element selected from molybdenum (Mo), tungsten (W) and chromium (Cr), and one chalcogen element selected from sulfur (S), selenium (Se), and tellurium (Te). For example, the transition metal dichalcogenide may be tungsten disulfide (WS2). The transition metal dichalcogenide thin film as prepared according to the present disclosure may have a single crystal structure having a constant crystallographic direction and free of grain boundaries. The transition metal dichalcogenide thin film may have a large area size which may be about 0.5 to 4 inches in a longitudinal length, and about 0.5 to 4 inches in a transverse length. According to the single crystal transition metal dichalcogenide thin film according to the present disclosure and the method for synthesizing the same according to the present disclosure, the metal having the high index surface may be used as the substrate to prepare the single crystal transition metal dichalcogenide thin film which may have significantly improved durability, compared to that of a conventional transition metal dichalcogenide thin film as prepared using the metal and insulator substrates. Further, the large area single crystal transition metal dichalcogenide thin film may be prepared. Hereinafter, an Example and a Comparative Example of the present disclosure will be described in detail. However, following Examples are only some embodiments of the present disclosure, and a scope of the present disclosure is not limited to the following Examples. Example 1 Tungsten disulfide (WS2) was synthesized on the metal substrate made of gold using a chemical vapor deposition method, wherein a high index surface thereof having a (113) Miller index was exposed. Example 2 Tungsten disulfide (WS2) was synthesized on the metal substrate made of gold using a chemical vapor deposition method, wherein a high index surface thereof having (169) and (1410) Miller indexes was exposed. Comparative Example 1 Tungsten disulfide (WS2) was synthesized on a metal substrate made of gold using a chemical vapor deposition method, wherein the metal substrate was a polycrystalline metal substrate having a crystallographic plane having (123) and (101) Miller indexes. Experimental Example 1: Analysis of Result of Synthesis of Transition Metal Dichalcogenide FIG.4is a diagram showing a result of synthesis of tungsten disulfide (WS2) as prepared according to Example 1 of the present disclosure. (a) inFIG.4is a diagram showing a result of EBSD measurement in which a crystallographic direction of the gold (Au) substrate surface is expressed based on a color. (b) inFIG.4is an SEM image of tungsten disulfide (WS2) grains as prepared according to Example 1. (c) inFIG.4is a diagram showing a crystallographic direction statistical graph of tungsten disulfide (WS2) grains as prepared according to Example 1. Referring toFIG.4, (a) it may be identified that in the diagram showing the result of electron backscatter diffraction (EBSD) in which the crystallographic direction of the metal substrate is expressed in color, all directions are expressed in pink. Thus, it may be identified that the metal substrate has a (113) high index plane. (b) based on the SEM image of the WS2grains as synthesized on the substrate, it may be identified that all of triangular-shaped WS2grains are oriented in the same direction. (c) it may be seen that the crystallographic directions of at least 500 WS2grains as synthesized on the (113) surface are aligned in substantially the same direction (inclined by about 15° relative to a horizontal line). Based on this analysis, it may be identified that the transition metal dichalcogenide thin film based on single crystals aligned in the same direction may be synthesized using the method for synthesizing the thin film according to the present disclosure. FIG.5is a diagram showing the synthesis result of tungsten disulfide (WS2) as prepared according to Example 2 of the present disclosure. (a) inFIG.5is a diagram showing the result of EBSD measurement in which the crystallographic direction of the gold (Au) substrate surface is expressed in color. (b) inFIG.5is an SEM image of tungsten disulfide (WS2) grains as prepared according to Example 2. Referring toFIG.5, (a) in the diagram showing the result of electron backscatter diffraction (EBSD) in which the crystallographic direction of the metal substrate is expressed in color, it may be identified that crystallographic directions are expressed in yellow green and orange colors, which express (169) and (1410) crystallographic planes, respectively. Thus, it may be identified that the metal substrate has a high index plane. (b) based on the SEM image of the WS2grains as synthesized on the substrate, it may be identified that most of the triangular WS2grains are aligned in one direction. FIG.6is a diagram showing a synthesis result of tungsten disulfide (WS2) prepared according to Comparative Example 1 of the present disclosure. (a) inFIG.6is a diagram showing the result of EBSD measurement in which the crystallographic direction of the gold (Au) substrate surface is expressed in color. (b) inFIG.6is an SEM image of tungsten disulfide (WS2) grains prepared according to Comparative Example 1. (c) and (d) inFIG.6are diagrams showing crystallographic direction statistical graphs of tungsten disulfide (WS2) grains as prepared according to Comparative Example 1. Referring toFIG.6, (a) in the diagram showing the result of electron backscattering diffraction (EBSD) in which the crystallographic direction of the metal substrate is expressed in color, it may be seen that the crystallographic directions are expressed in two colors, that is, light green and dark green. Light green represents a (123) crystallographic plane, and dark green represents a (101) crystallographic plane. Thus, it may be seen that the metal substrate of Comparative Example 1 has crystallographic planes having (123) and (101) Miller indexes. Further, (b) based on the SEM images of the WS2grains as synthesized on the substrate, it may be identified that the triangular shaped WS2grains oriented in opposite directions were synthesized on the surfaces of (123) and (101) grains. In (c), it may be identified that the crystallographic directions of the WS2grains synthesized on the (123) and (101) surfaces were distributed in two directions having a difference of about 60° therebetween. Thus, it may be identified that when preparing a thin film made of the transition metal dichalcogenide using a polycrystalline metal substrate, a polycrystalline transition metal dichalcogenide may be synthesized. Experimental Example 2: Analysis of Transition Metal Dichalcogenide Grain Boundary FIG.7is a diagram showing a result of grain boundary analysis of tungsten disulfide (WS2) prepared according to Example 1 of the present disclosure. (a) inFIG.7is a diagram showing a SEM image of tungsten disulfide (WS2) prepared according to Example 1. (b) and (c) inFIG.7are TEM images of tungsten disulfide (WS2) prepared according to Example 1. (d) inFIG.7show STEM images measured at various11positions on the grain boundaries and a single overlapping image of 11 Fast Fourier Transformation (FFT) patterns as extracted from 11 regions, respectively. Referring toFIG.7, (a) based on the SEM image of WS2grains grown on a high index (113) gold surface, it may be seen that all of the triangular-shaped WS2grains are aligned in the same direction (where a dark color represents WS2, and a bright color represents the gold surface). In (b) to (d), it may be identified that the atoms in each grain are arranged in the same direction. It may be seen that no atomic defects are observed in an area where the grain boundary is expected to be formed. Thus, it may be seen that grain boundaries are not formed between grains having the same crystal orientation. FIG.8is a diagram showing a result of grain boundary analysis of tungsten disulfide (WS2) prepared according to Comparative Example 1 of the present disclosure. (a) and (b) inFIG.8are diagrams respectively showing low magnification based and enlarged TEM images measured at the grain boundary between tungsten disulfide (WS2) grains. (c) and (d) inFIG.8are diagrams showing STEM images measured while a white dotted circle area shown in (b) inFIG.8is expanded. (e) inFIG.8is a diagram showing a Fast Fourier Transformation (FFT) image extracted from each of yellow and red dotted box regions in (d) inFIG.8. Referring toFIG.8, in (a) and (b), based on the SEM image, it may be identified that WS2grains whose crystal orientations are not identical with each other. It may be identified that in (c) and (d) in which a zoom-in region indicated by the white dotted line in (b) is enlarged, two grains, that is, a grain as expressed in yellow and a grain expressed in red are present. With reference to (e), it may be seen that the orientations of tungsten atoms in the two grains differs from each other by about 4°. Thus, it may be seen that when the arrangement directions of the atoms are different from each other, a space where atoms are empty, that is, structure defects are formed in the grain boundary. In the above descriptions, the present disclosure has been described with reference to the preferred embodiments. However, those skilled in the relevant technical field variously modify the present disclosure without departing from the spirit and scope of the present disclosure described in the following claims. | 17,415 |
11859309 | BEST MODE Hereinafter, a focus ring used in a semiconductor-manufacturing apparatus having an improved etching characteristic according to an embodiment of the present invention will be described in detail. The detailed description of common techniques necessary for explaining the present invention may be omitted. First, a conventional general chemical vapor deposition method will be described as follows. In the silicon carbide production operation by the chemical vapor deposition method, a silicon carbide precursor gas such as a mixture of methyltrichlorosilane (MTS), hydrogen, and argon is supplied to a deposition chamber and is then heated to the temperature at which silicon carbide is manufactured using reactions. Silicon carbide is deposited as a film or a shell on a solid mandrel provided in the deposition chamber. After silicon carbide having a desired thickness is deposited on the mandrel, the coated mandrel is removed from the deposition chamber and the deposit is separated from the mandrel. Monolithic silicon carbide plates and cylinders have been produced by applying such chemical vapor deposition (CVD) techniques using substrates having suitable shapes or mandrel shapes. Accordingly, the present invention provides a method of producing silicon carbide by a chemical vapor deposition (CVD) method, and in particular, a method of producing silicon carbide having an improved etching characteristic. Meanwhile, the physicochemical characteristics of the silicon carbide manufactured by the above-described manufacturing method of the present invention are analyzed for use in a semiconductor process. A chemical vapor deposition (CVD) system is used to produce silicon carbide products. In addition, methyltrichlorosilane (MTS) is provided, and argon is used as a carrier gas for MTS. In addition, argon is supplied together with the floating MTS in a sealed state, and is then mixed with hydrogen and nitrogen (N2). In addition, the mixed precursor gases are supplied to a deposition furnace through a sprayer. In addition, dissociation of the MTS and silicon carbide deposits is caused, and exhaust gases generated in a dissociation reaction are discharged. Meanwhile, a silicon carbide precursor is selected from among materials capable of reacting to form silicon carbide. These materials generally include a component capable of reacting to form a silicon moiety such as silane or chlorosilane and a component capable of reacting to form a carbon moiety such as hydrocarbons. Hydrocarbon-substituted silanes are preferred silicon carbide precursors, and this is because the silanes contain silicon and carbon moieties within a single compound. Moreover, inert and non-reactive gases such as argon, helium, or other inert gases may be used as carriers for precursors of normal liquids (e.g., liquids at typical STP). In particular, methyltrichlorosilane (MTS) is a preferred precursor when used together with hydrogen (H2) for removing chlorine that is released while MTS is dissociated. For MTS (which is liquid at room temperature), argon is generally used as an inert and non-reactive carrier gas. Argon acts as a diluent. Further, a conventional general focus ring and a method of manufacturing the focus ring will be described as follows. A focus ring of a semiconductor-manufacturing device serves to improve the etching uniformity of a wafer. Plasma-based devices are frequently used to form a thin film on a silicon wafer or to perform etching in the manufacture of semiconductor devices. In a plasma-etching device, among the above-described devices, a silicon wafer to be treated is disposed on a lower electrode, a reactive gas is introduced from an upper electrode, and a high-frequency voltage is applied to both electrodes, which generates high-frequency plasma between the two electrodes to thus etch the silicon wafer. In such a plasma device, it is necessary to make the plasma supplied to the silicon wafer uniform. For this reason, conventionally, a focus ring is disposed around the wafer, thereby enlarging the plasma to the outside of the wafer and improving the uniformity of the plasma on the wafer. The silicon wafer is disposed in the inner region of the focus ring. The focus ring generally includes the same material as the silicon wafer, that is, silicon. Meanwhile, the method of manufacturing the focus ring will be described as follows. The focus ring of the present invention is manufactured by processing silicon carbide manufactured by the chemical vapor deposition method. That is, a typical method of manufacturing a focus ring of a dry-etching device includes the steps of: preparing a graphite disk having a diameter larger than the diameter of a semiconductor wafer; depositing SiC on the entire surface of the graphite disk to form a SiC layer; vertically cutting the SiC layer so as to have a circular shape and expose the edges of the graphite disk (S13); cutting a structure, in which the SiC layer is layered on the upper and lower surfaces of the graphite disk having the exposed lateral surface, among the substances resulting from the step of vertically cutting the SiC layer so as to have the circular shape, so that the central portion of the graphite disk is cut in a transverse direction, thereby obtaining two structures in which the SiC layer is layered on one surface of the graphite disk; removing the graphite disk from the substances resulting from the step of vertically cutting the SiC layer so as to have the circular shape, thus obtaining two disk-type SiC layers; and vertically cutting the two disk-type SiC layers so as to have the circular shape, thus simultaneously manufacturing a dummy wafer and a focus ring. FIG.1is a view of an embodiment showing a process chart for transporting MTS and mixing used gases in the present invention. The steps of the process chart are as follows: the following is an initial step of mixing gases in the pre-deposition-furnace step. 1) The MTS (methyltrichlorosilane) is transported from a main tank where the MTS is stored to a buffer tank. Hydrogen (H2) gas and nitrogen (N2) gas are supplied together. For reference, the buffer tank is a tank having a function of supplying a predetermined amount of content, and a device having a function of supplying a predetermined amount of content is added thereto. 2) The MIS present in the buffer tank is transported to a service tank. Hydrogen (H2) gas is supplied thereto together with the MTS. The service tank is a tank having a transportation function of directly supporting the MTS to a vaporizer. 3) The MTS is supplied from the service tank to the vaporizer, and the MTS is vaporized in the vaporizer. The vaporized MTS is supplied to a gas-mixing system line together with nitrogen and hydrogen gases. Hydrogen is continuously supplied to the vaporizer. With respect thereto, all of the processes are performed while being controlled at a set temperature. As a result, a constant supply of MTS is possible, and uniform mixing of hydrogen and nitrogen is induced. That is, with respect to a method of supplying MTS to a conventional deposition device, a single-stage mixing system is used in which the MTS located in a thermostat is supplied through a line and in which argon, nitrogen, and hydrogen are directly supplied to the MTS supply line. However, in the present invention, a buffer tank and a service tank are disposed at an intermediate position between the MTS supply unit and the deposition device, and the MIS is set so as to pass through a vaporizer after passing through the tanks. FIG.2is a view of an embodiment showing a gas-mixing system line for spraying into a deposition furnace. The gas-mixing system of the present invention includes a header10and a spray line20. The header10is an outer tube surrounding the spray line20, and the diameter of the header10used in the present invention is 80 mm. In addition, the diameter of the spray line20provided in the header used in the present invention is 10 mm. The header is sanitary-fastened30using sanitary parts, and is made to withstand pressure and to secure a high sealing effect. The MTS supplied from a vaporizer, nitrogen, and hydrogen are supplied through a supply tube31to the inlet side of the header10. In addition, the diameter of the supply tube31used in the present invention is 25 mm and the supply tube is connected to the front side of the header10. In addition, at least two nozzles32are connected to the header10. As shown in the drawings, in an embodiment of the present invention, four nozzles32are used. The MTS, nitrogen, and hydrogen are sprayed onto a deposition furnace40from the respective nozzles32. The diameter of the nozzle32used in the present invention is25mm. Meanwhile, as shown in the drawings, nitrogen is supplied to the inlet side of the spray line20provided in the header10, and a plurality of 1 mm holes are extensively formed all over the spray line. Moreover, the values of the diameters of the header, the line, and the nozzle shown in the above-described embodiment are only examples, and the features of the present invention are not necessarily limited to the above-described diameter values. FIG.3is a simplified view showing a reactor and a scrubber. InFIG.3, the reactor means a deposition furnace40in which a CVD process is performed. That is, SiC is deposited using the mixed gas sprayed from the spray line20, thus manufacturing a deposit. In addition, deposition is performed in the deposition furnace40, and the exhaust gases generated during a dissociation reaction after the deposition are discharged through an exhaust port (a portion that is positioned at the lower end of the deposition furnace40and is connected to a scrubber50in the drawing). The deposition furnace40is a water-cooled housing made of stainless steel. In this housing, a graphite mandrel, a graphite heating member, and a graphite insulating tube are provided. In addition, the mixed precursor gases introduced into the deposition furnace40pass over the inner surface of the heated graphite mandrel, thus causing dissociation of the deposit of MTS and silicon carbide on the inner surface of the mandrel. From the exhaust gases discharged from the exhaust port of the deposition furnace40, floating solid materials are removed through a filter, although this is not separately shown in the drawing. Thereafter, the exhaust gases pass through a vacuum pump for controlling the reduced pressure in the deposition furnace. In addition, the exhaust gases pass through a scrubber50for recovering required gases and are then discharged to the atmosphere. Dopant Supply Amount The present invention relates to a chemical-vapor-deposition (CVD) silicon carbide bulk and a method of manufacturing the same, and it is possible to manufacture SiC having low resistance by improving a gas-mixing system even when N2is added in an amount smaller than in a conventional method. Tables 1 and 2 show the content of each component in the gas supplied to the deposition furnace40. First, Table 1 shows the component content in the method by this company, and Table 2 shows the component content in the conventional technology (assuming that the sum of MTS and hydrogen is 100%). When comparing Tables 1 and 2, it can be seen that, in the present invention, the supply gas contains nitrogen at a content of 0.00062% to 0.65% or at a content of 0.65% or higher in order to manufacture SiC (assuming that the sum of MTS and hydrogen is 100%). In Tables 1 and 2, ‘SLPM’ means ‘standard liter per minute’. However, conventionally, nitrogen needs to be present at a content of 10 to 60% in order to manufacture SiC (assuming that the sum of MTS and hydrogen is 100%). As a result, in the present invention, the ratio of nitrogen gas may be greatly reduced. TABLE 1Reagent0.3 Ω · cm0.10.008NoteH2/slpm18518518592.5%MTS/slpm1515157.5%N2/slpm2030120 TABLE 2Ultra-lowNormal resistanceLow resistanceresistanceReagent1~15 Ω0.1~1 Ω0.001~1 ΩNoteH2/slpm92929292%MTS/slpm8888%N2/slpm0.005~0.00620.0062~0.650.65 or higher FIGS.4and5are views of embodiments showing the nitrogen concentration in the silicon carbide bulk manufactured according to the manufacturing process of the present invention using a chemical vapor deposition (CVD) method. The nitrogen concentration value is shown at a depth of 1,500 nm or more (metastable layer) from the surface of the silicon carbide bulk. For this, specimens were analyzed using scanning ion mass spectroscopy. Further, for impurities of trace elements, gas discharge mass spectroscopy (GDMS) may be used to analyze the specimens. FIG.4is a graph showing the measured value of the nitrogen concentration in the SiC having the low resistance of 0.3 Ω or less. As shown inFIG.4, the nitrogen concentration is 4.0×1018atoms/cm3or more at a depth of 1,500 nm or more (metastable layer). Accordingly, it can be seen that the nitrogen concentration value is smaller than 1.0×1019atoms/cm3, which is the nitrogen concentration value proposed in the conventional technology. FIG.5is a graph showing the measured value of the nitrogen concentration in a new silicon carbide bulk which is currently used and which has a low resistance value of 0.003 Ω or less. In addition, the figure shows the nitrogen concentration value at a depth of 1,500 nm or more (metastable layer) from the surface of the silicon carbide bulk, and it can be seen that the nitrogen concentration is 4.0×1019atoms/cm3or more. FIG.6is a view of an embodiment showing the nitrogen concentration in the silicon carbide bulk having a normal resistance manufactured using a chemical vapor deposition (CVD) method. That is,FIG.6is a view showing the nitrogen concentration in SiC having a normal resistance value of 1 Ω·cm or more, and the concentration-measuring method is the same as that described inFIGS.4and5. From the drawings, it can be seen that the nitrogen concentration is 1.4×1018atoms/cm3or less around a depth of 1,500 nm or more (metastable layer) from the surface of the silicon carbide bulk. Characteristics for each CVD-SiC Structure Table 3 shows the characteristics for each CVD-SiC structure. 3C-SiC is included in β-SiC, which is a low-temperature stable phase, and 2H-SiC, 4H-SiC, and 6H -SiC are included in α-SiC, which is a high-temperature stable phase. In addition, the 3C-SiC, which is β-SiC, is silicon carbide manufactured at a temperature of 1500° C. or lower. Further, the 2H-SiC, 4H-SiC, and 6H-SiC, which are α-SiC, are silicon carbide manufactured at a high temperature of 1500° C. or higher. Meanwhile, since α-SiC has an etching rate lower than that of β-SiC (high etch resistance), in the etching process, yield is improved, and the usable period thereof is increased, thereby reducing costs and increasing productivity. However, since α-SiC must be manufactured through a high-temperature process, it is difficult to increase the size thereof, and mass productivity thereof is low, and therefore this is not a practical alternative. TABLE 3Polytype3C—SiC2H—SiC4H—SiC6H—SiCcharacterization(β-SiC)(α-SiC)(α-SiC)(α-SiC)Structural groupF43mP63mcP63mcP63mcHexagonality(%)01005033Layer alternationABCABABCBABCACB(see FIG. 1)Latticespacing/nma0.43589-0.30753-0.30700.3073-0.435960.30810.30810.3081c—0.5031-1.0053-1.51092-0.50481.0081.512Tabulatedvalues/nma0.43590.30790.30730.3080c—0.50531.0531.5117Density/g cm−33.2153.2193.2153.212Band gap/eV2.393.333.263.02Electron mobility≤1000—≤850≤450at 300 K/cm2V1s1Hole mobility≤40—≤120≤100at 300 K/cm2V1s1 Meanwhile, the paper “Angle etch control for silicon cabide power devices”, (F. Lanois, P. Lassagne, Appl. Phys. Lett. 69(2), 8 Jul. 1996, France) reports that an etching rate of 6H-SiC is similar to that of 3C-SiC although the 6H-SiC is etched about 10% more slowly than the 3C-SiC because the density of a 6H-Si face is higher than that of a 3C-Si face. For reference, the 6H-Si face has 1.22×1015atoms/cm2and the 3C-Si face has 1.06×1015atoms/cm2. Further, the paper “Residue-Free Reactive Ion Etching of 3C-SiC and 6H-SiC in Fluorinated Mixture Plasmas” (P.H Yihm A. J. Steckl, J. Electrochem. Soc., Vol. 142, No. 8, August 1995, US) provides a technical explanation stating that the etch resistance of 6H-SiC is higher than that of 3C-SiC. α-SiC Phase-Transition Process In the present invention, the silicon carbide obtained through the embodiment ofFIG.3is subjected to heat treatment. The heat-treatment process is performed through two steps. The first heat-treatment process is a process for surface high-refining (referred to as “D-clean” in the present invention), and the second heat-treatment process is a heat-treatment process for improving an etching characteristic (referred to as “H-clean”). The silicon carbide obtained through the embodiments ofFIGS.1to3of the present invention is 3C-SiC, which is β-SiC. The 3C-SiC, that is, the β-SiC, is converted into 6H-SiC, which is α-SiC, through the phase-transition process. (1) Surface High-Refining Heat-Treatment Process (D-Clean) D-clean is a first-step heat-treatment process for silicon carbide obtained through the embodiment ofFIG.3. The processing conditions are set such that the silicon carbide is put into a heat-treatment oven and maintained at a temperature of 500 to 1500° C. for 30 minutes to 6 hours. The temperature and time may be adjusted according to the required process conditions. In addition, the optimum heat treatment conditions, obtained based on the results of repeated experimentation, include maintaining a temperature of 1100 to 1300° C. for 2 to 5 hours. In addition, the heat-treatment oven is maintained under the atmospheric condition and atmospheric pressure condition, and water vapor (H2O) is supplied thereto. Water vapor is supplied thereto so that metallic adsorbates or ionized contaminants on the surface of the silicon carbide are oxidized and final oxides are removed, thereby achieving high purity of the surface. (2) Heat-Treatment Process for Improving an Etching Characteristic (H-Clean) H-clean is a process for improving an etching characteristic using the α-SiC phase-transition process for the silicon carbide obtained through the embodiment ofFIG.3. A vacuum state is maintained using a high-temperature vacuum furnace oven or a microwave vacuum oven. A process temperature is 1,500 to 3,000° C. and a maintenance time is 30 minutes to 6 hours. As such, the time may be adjusted according to the required process conditions. The temperature and time may be adjusted according to the required process conditions. In addition, the optimum heat-treatment conditions, obtained based on the results of repeated experimentation, include maintaining a temperature of 2,000 to 2,500° C. for 3 to 4 hours. XRD Analysis Result of Conventional CVD-SiC XRD analysis was performed to confuin the lattice structure (crystal structure) of conventional CVD-SiC. FIG.7is a graph showing the peak value of a conventional product with respect to an XRD analysis result. In addition, Table 4 shows a peak list, and values thereof are numerical values representing the graph values ofFIG.7through a table. Further, Table 5 shows an identified pattern list ofFIG.7and Table 4, and a reference code is shown. That is, in Table 5, the number “03-065-0360” is the reference code. TABLE 4Pos.HeightFWHMd-spacingRel. Int.Tip width[°2Th.][crs][°2Th.][Å][%][°2Th.]Matched by35.734920179.830.08362.51062100.000.100403-065-036041.50815946.190.10202.1737929.470.122403-065-036060.07798677.150.10201.5387943.000.122403-065-036071.84758034.190.12241.3129239.810.146903-065-036075.5752600.890.14281.257152.980.171403-065-0360 TABLE 5DisplacementScaleChemicalVisibleRef. CodeScoreCompound Name[°2Th.]FactorFormula*03-065-036091Silicon Carbide0.0770.876SiC FromFIG.7and Tables 4 and 5, it can be confirmed that the lattice structure of the conventional CVD-SiC is 3C-SiC. XRD Analysis Result of the Present Invention XRD analysis was performed in order to confirm the lattice structure (crystal structure) of CVD-SiC after using the process of the present invention and performing heat treatment (H-clean) to improve the etching process. FIG.8is a graph showing a peak value with respect to an XRD analysis result after the two-stage heat treatment (H-clean) using the process of the present invention. When comparingFIGS.7and8, five sharp peaks may be observed inFIG.7. InFIG.8, five sharp peaks may be observed, but small peaks may also be observed around the sharp peak. In addition, when the small peaks are observed around the sharp peak as shown inFIG.8, it can be seen that 6H-SiC is obtained. Table 6 shows a peak list, and values thereof are values more specifically representing the graph values ofFIG.8through a table. Further, Table 7 shows an identified pattern list, and also shows reference codes ofFIG.8and Table 6. That is, in Table 7, the number “03-065-0360” is the reference code representing 3C-SiC, and the number “00-049-1428” is the reference code representing 6H-SiC. That is, 6H-SiC is confirmed, in addition to 3C-SiC, at the peaks ofFIG.8. Meanwhile, in Table 6, it can be confirmed that there are six peaks having the reference code (004-049-1428) of 6H-SiC (shown in red in Table 6). TABLE 6Pos.HeightFWHMd-spacingRel. Int.Tip width{°2Th.][cts][°2Th.][Å][%][°2Th.]Matched by34.17051440.340.23422.621903.850.281000-049-142835.741937428.680.06122.51015100.000.073403-065-0360;00-049-142838.2289658.160.36722.352381.760.440600-049-142803-065-0360;41.47739162.670.08162.1753324.480.097900-049-142800-049-142845.183929.540.97922.005120.081.175003-065-0360;00-049-142860.04675982.160.08161.5395115.980.097970.9507121.220.32641.327290.320.391771.826412395.270.08161.3132533.120.097903-065-036073.4117154.220.48961.288760.410.587575.56331556.310.10201.257324.160.122403-065-0360 TABLE 7 In conclusion, fromFIG.8and Tables 6 and 7, which are the embodiments of the present invention, it can be confirmed that the lattice structure of the CVD-SiC of the present invention is 6H-SiC. Measurement of Ratio Change between 3C-SiC and 6H-SiC The ratio of 6H-SiC could be confirmed from the result of XRD analysis shown inFIG.8. That is, the component ratio can be confirmed using the height difference between 3C-SiC and 6H-SiC peaks. When the temperature of the α-SiC phase-transition heat treatment (H-clean) was set to 1,500° C. and the CVD-SiC was maintained for about 1 hour after the CVD-SiC was manufactured by introducing the manufacturing process conditions of the present invention, it could be seen that the ratio of 6H-SiC was 10%. In addition, when the ratio of 6H-SiC was measured after the time of the α-SiC phase-transition heat treatment (H-clean) was increased up to 7 hours, it could be seen that the ratio of 6H-SiC was increased to 70%. That is, it can be seen that the ratio of 6H-SiC to total SiC is 10 to 70%. In other words, it can be expressed as follows. 10≤((mass of 6H-SiC)/((mass of 6H-SiC) +(mass of 3C-SiC)))×100≤70. Meanwhile, when 6H-SiC is increased, the density of the tissue increases and the etching characteristics are improved. Accordingly, it is possible to manufacture CVD-SiC so that the yield is improved and costs are reduced to thus increase productivity in the etching manufacturing process. FIG.9is a photograph showing the crystal structures of conventional SiC and 6H-SiC of the present invention. As can be seen fromFIG.9, when the CVD-SiC microstructures were compared, the density of the tissue was much denser after the α-SiC phase-transition heat treatment (H-clean). That is, from the XRD analysis result and microstructure confirmation, it could be seen that 3C-SiC was converted into 6H-SiC after the α-SiC phase-transition heat treatment (H-clean), and thus the density of the tissue thereof increased. The present invention is also a commercially applicable technology in that the service lifespan is improved by applying 3C-SiC, grown using a CVD method, to an etch ring for the etching process. FIG.9shows a tissue structure enlarged 1200 times using an optical microscope. From the photograph ofFIG.9, it can be seen that a large number of comb patterns (twin) are formed in the 6H-SiC crystal structure of the present invention. However, it can be seen that comb patterns (twin) are formed in a small number in a conventional SiC crystal structure. Meanwhile, when the boundary between the grains is referred to as a grain boundary and the inside of the grain is referred to as the inner part of the grain, the number of comb patterns (twin) was significantly increased in the inner part of the grain in the SiC of the present invention compared to conventional SiC. FIGS.10and11are views showing crystallinity according to the CVD-SiC light transmittance of the product of the present invention. InFIGS.10and11, “O/R” is a conventional product and “DST” is the product of the present invention. The SIC of the present invention is manufactured in the form of a specimen having a thickness ranging from 5 mm to 15 mm, and it can be seen that a yellow wavelength is transmitted therethrough when white LED light is radiated thereon. The yellow wavelength of the white LED light is transmitted, thus imparting a yellow color to the product, which is a feature of the product of the present invention. FIGS.12and13are views showing bending strengths of SiC including the conventional SiC and the 6H-SiC of the present invention. The 6H-SiC of the present invention showed the results of an increase in bending strength and a decrease in deviation. That is, as shown inFIG.13, the bending strength of conventional SiC is 350 to 500 MPa, and the bending strength of the product containing the 6H-SiC of the present invention is 350 to 650 MPa. In addition,FIG.14is a view showing a method of measuring the bending strength. That is,FIG.14(A)shows the prepared specimen,FIG.14(B)shows the specimen with cracks after a bending strength test, andFIG.14(C)shows the principle of the bending strength test.FIG.14(C)shows how much the specimen needs to be bent (mm) to form cracks. Meanwhile, the conditions of the samples used in the bending strength test are as follows. Sample size: width 10 mm, thickness 4 mm Point distance 20 mm, load displacement 0.01 mm In addition, as a result of bending strength measurement, the average displacement amount of the conventional SiC is 0.087 mm, and the average displacement amount of the SiC containing the 6H-SiC of the present invention is 0.096 mm. Meanwhile, when the SiC of the present invention was observed using a high-magnification microscope, the characteristics thereof were as follows. □ Microtissue of growth surface of formed film of CVD-SiC X500 magnification, Optical Microscope ZONE III growth type (Competitive texture) Grain Size: about 40 to 100 μm Packed Fibrous Grain: about 20 to 40 μm Formed film is under a condition of Ts/Tm of 0.5 or more Therefore, the average grain size of the growth surface was confil med to be about 40 to 100 μm, and voids trap (self shadowing) between grain boundaries as a ZONE II growth type did not appear. □Columnar tissue of cross section of formed film of CVD-SiC X500 magnification, Optical Microscope ZONE III growth type (Competitive texture) Columnar Grain: about 70 to 120 μm Packed Fibrous Grain: about 20 to 40 μm Intermediate of foiined film is under a condition of Ts/Tm of 0.5 or more Both sides of formed film are under a condition of Ts/Tm of 0.2 or more Therefore, the average columnar grain size of the formed film was confirmed to be about 70 to 120 μm. Further, in some cases, well-developed equiaxed grain tissues over 150 μm in size were observed, indicating an excellent tissue state. FIG.14is a view showing an XRD peak, which shows a method of checking the ratio of 3C-SiC and 6H-SiC. The graph at the top inFIG.14shows that there are only five peaks of 3C-SiC, as a conventional product. In addition, the second graph from the top inFIG.14shows that the peak height of 6H-SiC is about 30% of the peak height of 3C-SiC. Therefore, in this case, the ratio value of 6H-SiC to total SiC is 23%. Further, the graph at the bottom inFIG.14shows that the peak height of 6H-SiC is 50% of the peak height of 3C-SiC. Therefore, in this case, the ratio value of 6H-SiC to total SiC is 33%. As a result of various comparative experiments using the above-described method, in the present invention, it was confirmed that the ratio value of 6H-SiC to total SiC was in the range of 10% to 70%. FIGS.15to17show a change in etching amount after an etching test. That is, from the experiments ofFIGS.15to17, it can be seen that the product of the present invention has higher etching resistance than a conventional product. FIG.15is a view showing etching and an etching rate in a plasma chamber. FIG.16is a view showing changes in roughness after the etching test. That is,FIG.16shows changes in the roughness of the conventional SiC and the 6H-SiC of the present invention after the etching test. The surface roughness Ra value of the 6H-SiC of the present invention is measured to be 2.0 or less, which shows that the etching amount is reduced compared to conventional SiC. FIG.17is a photograph showing a tissue shape observed using a 1200 magnification optical microscope. FIG.17(A)is a photograph of a conventional product after etching, andFIG.17(B)is a photograph of the product of the present invention after etching. In the photograph ofFIG.17(A), a round shape surrounded by the dark periphery appears, and this round shape is a portion in which the tissue is gouged due to etching. However, this round shape does not appear inFIG.17(B). Therefore, it can be confirmed that the product of the present invention is excellent in etching characteristic. Multilayer Structure In the embodiment of the present invention, the SiC bulk may be manufactured so as to have a multilayer structure. The present invention provides a method of supplying nitrogen when SiC is manufactured (a technical description of the method of supplying nitrogen is given in the description of the embodiments ofFIGS.1to6of the present invention). In addition, the ratio of 6H-SiC to total SiC may be adjusted using a phase-transition method. Further, when the white light is transmitted through the SiC specimen, as in the embodiments ofFIGS.10and11of the present invention, transmitted light may be observed. In general, it takes about seven days to manufacture the SiC bulk, and the thickness of the SiC that is manufactured is about 3 mm (here, the period may vary depending on the thickness of the SiC bulk to be manufactured, and accordingly, the technology of the present invention is not necessarily limited to the above-described numeric value of 3 mm). In addition, a spray nozzle used during the manufacture of the SiC bulk is replaced. That is, a thickness of 3 mm is not obtained through a single process. The nozzle is replaced six times, thus manufacturing a SiC bulk having a thickness of 3 mm through six manufacturing processes. When the nozzle is replaced, the amount of nitrogen that is supplied may be changed, so the resistance value varies depending on each layer of the SiC bulk. Further, the phase-transition process may be changed after a single coating process or after three or four coating processes, so the ratio of 6H-SiC to total SiC varies depending on the layer. Change of 6H Peak The present invention has a phase-transition effect, and a change in the 6H peak is observed depending on the heat treatment conditions. FIG.18is a view showing peaks (XRD analysis result) of the SIC bulk manufactured using a chemical vapor deposition method. The SIC bulk is 3C-SiC. FIGS.19to21are views showing the results of XRD analysis after heat treatment of the 3C-SiC. When the SiC bulk is subjected to the phase-transition process as shown in the drawings, it can be seen that a 6H-SiC peak is present. In addition, the 6H-SiC is generated by performing phase transition of a part of the 3C-SiC. Accordingly, the height of the peak of the 6H-SiC may vary depending on the conditions of the phase-transition process (the heat-treatment process proposed by the above-described embodiments of the present invention). In addition, the difference in height of the peak of the 6H-SiC means that the component ratios of the 6H-SiC to total SiC are different from each other. That is, the ratios of the 6H-SiC to total SiC are different from each other. As a result of experiments by this company, the 6H-SiC may depend on the heat treatment as follows. Case in which the 6H-SiC is observed with small peaks (seeFIG.19): This is the case in which heat treatment is insufficiently performed. One 6H-SiC peak may be found, and the ratio of 6H-SiC to total SiC may be as low as 1% or less. Alternatively, the 6H-SiC may account for a very small amount of total SiC. Case in which the 6H-SiC is found with a main peak and a subpeak (seeFIGS.20and21): This is the case in which heat treatment is sufficiently performed. The 6H-SiC is found with the main peak and the subpeak. Alternatively, the 6H-SiC may be found with six peaks, as shown in Table 6 of the present invention. As a result, the 3C crystal phase has five peaks having a reference code of 03-065-0360, and the 6H crystal phase (peak having a reference code of 00-049-1428) to depends on the phase-transition process. Meanwhile, the 6H main peak is observed at a location of 2Θ=34.1705°±0.5°. Characteristic Change in SiC Bulk In the present invention, the characteristics of the SiC bulk that is manufactured are changed by adjusting the concentrations and the ratios of nitrogen, MTS, and hydrogen. In addition, the characteristic changes thereof are as follows. The concentration of nitrogen in the SiC bulk varies from 10×1016atoms/cc to 10×1020atoms/cc. The resistance value of the SiC bulk varies from 0.01 ohm-cm to 80 Ohm-cm. The thermal conductivity varies from 150 W/mK to 500 W/mK. Flexural strength is capable of varying from 400 MPa to 500 MPa. However, impurities ((Al, B, Cl, Fe, Ni, and S) in the SiC bulk are maintained at 6 ppmw or less. Change of Characteristics According to Layers When the number of SiC bulk layers is two or more, there is a characteristic difference according to the layers. That is, the conditions may be changed as follows in the middle of a process of manufacturing the SiC bulk. The spray nozzle may be replaced. The nitrogen concentration value may be changed. The hydrogen concentration value may be changed. The MIS concentration value may be changed. Alternatively, a phase-transition process (heat-treatment process) may be performed, and the conditions of the phase-transition process may be changed. In the above, the phrase “in the middle of a process of manufacturing the SiC bulk” means that, for example, when SiC having a thickness of 3 mm is manufactured, the SiC having a thickness of 3 mm is not manufactured through a single step, but the conditions may be changed as noted above during the processing. For example, the nozzle used in a process of manufacturing the SiC having a thickness of 1 mm may be different from the nozzle used in a process of manufacturing the SiC so that the SiC grows from a thickness of 1 mm to a thickness of 2 mm. In the case in which the SiC bulk includes two layers, the resistance value of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the resistance value of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the nitrogen concentration value of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the nitrogen concentration value of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the thermal conductivity of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the thermal conductivity of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the flexural strength of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the flexural strength of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the flexural strength of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the flexural strength of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the 6H peak height of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the SiC bulk includes two or more layers, the 6H peak height is higher than that of the lower layer (or vice versa). In the case in which the SiC bulk includes two layers, the impurity value of the first layer is the same as or similar to that of the second layer. Meanwhile, in the case in which the SiC bulk includes two or more layers, the impurity value of the upper layer is the same as or similar to that of the lower layer. Visible-Ray Wavelength When a white LED is radiated on the specimen (see the above-described embodiment of the present invention), the wavelength band of the visible rays passing through the specimen is 565 to 590 nm. In addition, in the case in which there are two layers, the value of visible-ray wavelength of the first layer is different from that of the second layer. Further, in the case in which there are two or more layers, the value of visible-ray wavelength of the upper layer is different from that of the lower layer. Application of Multilayer Structure FIG.22is a view of an embodiment showing a focus ring. The silicon carbide obtained through the embodiments ofFIGS.1to3of the present invention, which are the chemical vapor deposition method, is 3C-SiC, which is β-SiC. The 3C-SiC, which is the β-SiC, is converted into 6H-SiC, which is α-SiC, through the phase-transition process. Therefore, the ratio of the 6H-SiC which is the α-SiC may be changed depending on the phase-transition process conditions. (1) It can be seen from experiments that the ratio of 6H-SiC to total SiC is 10 to 70%. That is, phase transition from 10% of the 3C-SiC to the 6H-SiC may be performed, and phase transition from 70% of the 3C-SiC to the 6H-SiC may be performed. In addition, this can be expressed as follows. 10 ≤((mass of 6H-SiC)/((mass of 6H-SiC) +(mass of 3C-SiC)))×100≤70. Meanwhile, when the 6H-SiC is increased, the density of the tissue increases and the etching characteristics are improved. Accordingly, it is possible to manufacture CVD-SiC so that the yield is improved and costs are reduced to thus increase the productivity of the etching manufacturing process. (2) The 6H-SiC may account for a small amount of total SiC. The 6H-SiC may be present in a small amount in total SiC by changing the phase-transition process (seeFIG.19of the present invention). As a result, in the present invention, a focus ring100including SiC containing 6H-SiC at a predetermined ratio is manufactured by performing phase transition of the 3C-SiC manufactured using chemical vapor deposition. Therefore, the characteristics shown inFIGS.4to21become the characteristics of the focus ring18of the present invention. FIGS.23and24are views of embodiments showing the cross section of is the focus ring (the cut surface assumed to be cut along the line A-A inFIG.22). FIG.23is a view of an embodiment of the case where there is no step difference. In practice, the focus ring100has the shape of a donut, and therefore,FIG.23is a cross-section of one side obtained by cutting a part of the donut shape (the cross-sectional view is assumed to be cut along the line A-A inFIG.22). The above-described embodiments of the present invention provide a method of adjusting the nitrogen concentration in SiC by adjusting the amount of N2in the raw material that is supplied when chemical vapor deposition is performed. In addition, the resistance value of the SiC varies depending on the nitrogen value, and only the surface of the focus ring100needs to have a low resistance. Accordingly, the intermediate portion of the cross section of the focus ring100does not need to have a low resistance. That is, in the cross section ofFIG.23, the resistances of an upper layer 250-1 and a lower layer 250-3 are 0.3 Ω or less, and the resistance of an intermediate layer 250-2 may be 1 Ωor 100 Ω or more. Further, the intermediate layer may include stainless steel or aluminum. Further, the ratio of the 6H-SiC to the total SiC may vary depending on each layer. FIG.24is a view of an embodiment of the case where a step difference is present. As shown inFIG.24, there may be a case where a step difference is present in the focus ring having the shape of donut.FIG.24is a cross-sectional view assumed to be cut along the line A-A inFIG.22. Since the step difference is present, there are a higher side101and a lower side102. In addition, the higher side101and the lower side102may be exposed to the acid at different levels in the etching process, and the external environments with respect to the higher and lower sides may be different from each other as the wafer is not loaded. Therefore, the ratios of 6H-SiC to total SiC may be different, the resistances may be different, and the nitrogen concentrations may be different according to the higher and lower sides. Characteristic Difference According to Step Difference in Focus Ring There is a characteristic difference according to the step difference in the focus ring. That is, in the application embodiment of the present invention, there is a characteristic difference between the higher side101and the lower side102in the focus ring1000. That is, there are the following characteristic differences. In the case in which the focus ring includes two layers, the resistance value of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the resistance value of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the nitrogen concentration value of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the nitrogen concentration value of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the thermal conductivity of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the thermal conductivity of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the flexural strength of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the flexural strength of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the flexural strength of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the flexural strength of the upper layer is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the 6H peak height of the first layer is higher than that of the second layer (or vice versa). Meanwhile, in the case in which the focus ring includes two or more layers, the 6H peak height is higher than that of the lower layer (or vice versa). In the case in which the focus ring includes two layers, the impurity value of the first layer is the same as or similar to that of the second layer. Meanwhile, in the case in which the focus ring includes two or more layers, the impurity value of the upper layer is the same as or similar to that of the lower layer. | 44,744 |
11859310 | DETAILED DESCRIPTION The illustration ofFIG.1schematically shows a cross section of a reactor chamber K of a vapor phase epitaxy system. Substrates S are arranged on a bottom of reactor chamber K. In addition, reactor chamber K has a gas inlet member O through which epitaxial gas flow F is introduced into reactor chamber K. The epitaxial gas flow F has a carrier gas, at least one first organometallic precursor for an element of main group III, e.g., TMGa, a second precursor for an element of main group V, e.g., arsine, and a third precursor for an n-type dopant, e.g., silane. The gas inlet member O has a plurality of lines ending in reactor chamber K, through which one component or multiple components of epitaxial gas flow F are fed into reactor chamber K. In the illustration ofFIG.2, the dependence of the doping on a quantity ratio of the elements of main groups V and III is plotted in a diagram. It becomes clear in particular that not only the level of doping but also the type of doping, therefore, n or p, can be set by the V/III ratio, therefore, the quantity ratio in the gas flow. On the other hand, it becomes clear that fluctuations in the V/III ratio across a semiconductor wafer or a substrate result in different dopings and that such fluctuations have a particularly strong effect, especially at low dopings. An exemplary embodiment of the vapor phase epitaxy method of the invention is illustrated in the diagram inFIG.3using a profile of doping D versus growth height x. First or at a first growth height x1, a first initial doping level DA1of the first conductivity type is set by means of the ratio of a first mass flow of the first precursor, e.g., TMGa, to a second mass flow of the second precursor, e.g., arsine, in the epitaxial gas flow F, and with or without the addition of a further mass flow of a further precursor for a dopant of the first conductivity type, e.g., carbon tetrabromide or dimethyl zinc, to the epitaxial gas flow F. A third mass flow of a third precursor for a dopant of the second conductivity type, e.g., silane, is then added and/or the ratio between the first and second mass flow is changed abruptly in order to set a second initial doping level DA2of the first conductivity type or (shown by dashed lines) a second initial doping level DA1′of the second conductivity type. The third mass flow of the third precursor and/or the ratio between the first and second mass flow are then continuously changed over a junction region layer Ü, until a target p-doping level D2is reached at a second growth height x2. It is understood that the junction region layer Ü extends from the first growth height x1to the second growth height x2. The epitaxial gas flow F is then not changed further over a further region of the growth height x, so that the doping of the subsequent III-V layer remains constant. In the diagram ofFIG.4, a further embodiment of the vapor phase epitaxy method of the invention is illustrated on the basis of the doping profile D, wherein only the differences from the diagram inFIG.3will be explained below. The change in the doping from the initial n-doping level DA1to the target p-doping level D2takes place in multiple steps, so that a step-shaped profile of the doping over the junction region layer Ü is established. A further embodiment of the vapor phase epitaxy method of the invention based on the course of the mass flow MD over the thickness of the junction region layer Ü from the first growth height x1to the second growth height x2is illustrated in the diagram inFIG.5, wherein only the differences from the diagram inFIG.4will be explained below. The change in the mass flow MD occurs from an initial level MA which is at zero or close to zero to a target level MZ of the mass flow MZ of the third precursor. The increase in the mass flow can be carried out continuously or at least steadily; i.e., there is a straight or at least steady increase in the doping over the thickness of the junction region layer Ü. Only a linear increase in the mass flow is shown in the present case. The invention being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are to be included within the scope of the following claims. | 4,418 |
11859311 | DETAILED DESCRIPTION A manufacturing method for a group-III nitride crystal according to a first aspect, includes: preparing a seed substrate; increasing temperature of the seed substrate placed in a nurturing chamber; and supplying a group-III element oxide gas produced in a raw material chamber that is connected to the nurturing chamber by a connecting pipe and a nitrogen element-containing gas into the nurturing chamber to grow a group-III nitride crystal on the seed substrate, and a flow amount y of a carrier gas supplied into the raw material chamber at the temperature increase step satisfies the following two relational equations (I) and (II). y<[1−k*H(Ts)]/[k*H(Ts)−j*H(Tg)]*j*H(Tg)*t(I) y≥1.58*10−4*(22.4/28)S*F(N)/F(T) (II) In the above, k represents the arrival rate to the saturated vapor pressure of a group-III element in the raw material chamber, Ts represents the temperature of the raw material chamber, Tg represents the temperature of the nurturing chamber, H(Ts) represents the saturated vapor pressure of the group-III element at the temperature Ts in the raw material chamber, H(Tg) represents the saturated vapor pressure of the group-III element at the temperature Tg in the nurturing chamber, j represents a corrective coefficient, t represents the sum of gas flow amounts flowing into the nurturing chamber from those other than the raw material chamber, S represents the cross-sectional area of the connecting pipe, F(N) represents a volumetric flow amount of the nitrogen element-containing gas supplied into the nurturing chamber, and F(T) represents the sum of volumetric flow amounts of gases supplied into the nurturing chamber111from those other than the raw material chamber. In a manufacturing method for a group-III nitride crystal according to a second aspect, increasing temperature may be executed in a temperature increase process at a temperature that is 500° C. or higher and lower than 1,050° C. before the growth step in the above first aspect. In a manufacturing method for a group-III nitride crystal according to a third aspect, increasing temperature may be executed in a mixed atmosphere of an inert gas and the nitrogen element-containing gas in the above first or second aspect. A manufacturing apparatus for a group-III nitride crystal and a manufacturing method for a group-III nitride crystal according to embodiments will be described below with reference to the accompanying drawings. In the drawings, members substantially identical to each other are denoted by same reference numerals. First Embodiment <Overview of Manufacturing Method for a Group-III Nitride Crystal> An overview of the manufacturing method for a group-III nitride crystal according to this first embodiment will be described with reference to a flowchart inFIG.1andFIG.2.FIG.1Ashows a time-series flowchart of the manufacturing method.FIG.1Bshows as steps the functional units from the upstream toward the downstream in the manufacturing apparatus used in this manufacturing method. As shown inFIG.1A, the manufacturing method for a group-III nitride crystal includes a step of preparing the seed substrate, a step of increasing temperature of the seed substrate in an inert gas atmosphere, a step of increasing temperature of the seed substrate in a nitrogen element-containing gas atmosphere, a step of supplying the group-III element oxide gas and the nitrogen element-containing gas to grow a group-III nitride crystal on the seed substrate, a step of decreasing the temperature concurrently resolution-suppressing resolution of the group-III nitride crystal in the nitrogen element-containing gas atmosphere, a step of decreasing the temperature of the group-III nitride crystal in an inert gas atmosphere, and a step of taking out the group-III nitride crystal. <Seed Substrate Preparation Step> At a seed substrate preparation step of preparing the seed substrate116, the seed substrate116is placed on the substrate susceptor117. <Temperature Increase Step> In this embodiment, the manufacturing method for a group-III nitride crystal includes a temperature increase step. At the temperature increase step, the temperature of the nurturing chamber111is increased to be 100° C. or higher and lower than 500° C. in an inert gas atmosphere. <Resolution Protective Temperature Increase Step> At the resolution protective temperature increase step, the temperature of the nurturing chamber111is increased to be 500° C. or higher and lower than 1,000° C. in an NH3gas atmosphere. <Growth Step> At a growth step, the group-III element oxide gas is produced in the raw material chamber100and is supplied into the nurturing chamber111and the nitrogen element-containing gas is supplied into the nurturing chamber111to thereby execute production of a group-III nitride crystal on the seed substrate116. As shown inFIG.1B, the growth step includes a reactive gas supply step, a group-III element oxide gas production step, a group-III element oxide gas supply step, a nitrogen element-containing gas supply step, a group-III nitride crystal production step, and a residual gas discharge step. The steps included in the growth step may concurrently be executed in the manufacturing apparatus for a group-III nitride crystal. The steps included in the growth step, as shown inFIG.2, are indicated as steps of the functional units from the upstream toward the downstream in the manufacturing apparatus used in this manufacturing method. <Reactive Gas Supply Step> At a reactive gas supply step, a reactive gas is supplied into a raw material reaction chamber. <Group-III Element Oxide Gas Production Step> At a group-III element oxide gas production step, a starting group-III element source and the reactive gas (that is a reducing gas in the case where the starting group-III element source is an oxide and that is an oxidizing gas in the case where the starting group-III element source is a metal) are reacted with each other to produce the group-III element oxide gas. <Group-III Element Oxide Gas Supply Step> At a group-III element oxide gas supply step, the group-III element oxide gas manufactured at the group-III element oxide gas production step is supplied into the nurturing chamber. <Nitrogen Element-Containing Gas Supply Step> At a nitrogen element-containing gas supply step, the nitrogen element-containing gas is supplied into the nurturing chamber. <Group-III Nitride Crystal Production Step> At a group-III nitride crystal production step, the group-III element oxide gas supplied into the nurturing chamber at the group-III element oxide gas supply step and the nitrogen element-containing gas supplied into the nurturing chamber at the nitrogen element-containing gas supply step are reacted with each other to grow a group-III nitride crystal on the seed substrate. <Residual Gas Discharge Step> At a residual gas discharge step, unreacted gases that do not contribute to the production of the group-III nitride crystal are discharged to the exterior of the chamber. <Resolution Protective Temperature Decrease Step> In this first embodiment, the manufacturing method for a group-III nitride crystal includes a resolution protective temperature decrease step. At the resolution protective temperature decrease step, to suppress resolution of the group-III nitride crystal grown on the seed substrate116, the temperature of each of the raw material chamber100and the nurturing chamber111is decreased to 500° C. concurrently supplying an NH3gas. <Temperature Decrease Step> In this first embodiment, the manufacturing method for a group-III nitride crystal includes a temperature decrease step. At the temperature decrease step, the temperature of each of the raw material chamber100and the nurturing chamber111is decreased to be lower than 100° C. in an inert gas atmosphere. <Taking Out Step> In this first embodiment, the manufacturing method for a group-III nitride crystal includes a taking out step. At the taking out step, the seed substrate116having the group-III nitride crystal grown thereon is taken out from the nurturing chamber111. <Overview of Manufacturing Apparatus for Group-III Nitride Crystal> An overview of a manufacturing apparatus for a group-III nitride crystal, that is used in the manufacturing method for a group-III nitride crystal according to this first embodiment will first be described with reference toFIG.2. InFIG.2, the sizes, the proportions, and the like of the constituent members may be different from the actual ones. The manufacturing apparatus for a group-III nitride crystal includes a raw material chamber100and a nurturing chamber111. The raw material chamber100produces therein a group-III element oxide gas. The nurturing chamber111reacts therein the group-III element oxide gas supplied from the raw material chamber100and a nitrogen element-containing gas with each other to produce a group-III nitride crystal on a seed substrate. A raw material reaction chamber101is disposed in the raw material chamber100, and a raw material boat104on which a starting group-III element source105is mounted is disposed in the raw material reaction chamber101. A reactive gas supply pipe103to supply a gas that reacts with the starting group-III element source105is connected to the raw material reaction chamber101. The raw material reaction chamber101includes a group-III element oxide gas discharge outlet107to discharge the produced group-III element oxide gas. In the case where the starting group-III source is an oxide, a reducing gas is used as the reactive gas. In the case where the starting group-III source is a metal, an oxidizing gas is used as the reactive gas. A first carrier gas supply inlet102to supply a first carrier gas is connected to the raw material chamber100. The first carrier gas supplied from the first carrier gas supply inlet102and the group-III element oxide gas discharged from the group-III element oxide gas discharge outlet107flow from a gas discharge outlet108into the nurturing chamber111passing through a connecting pipe109. The first carrier gas and the group-III element oxide gas are supplied into the nurturing chamber111from a gas supply inlet118connected to the nurturing chamber111. The nurturing chamber111includes the gas supply inlet118, a third carrier gas supply inlet112, a nitrogen element-containing gas supply inlet113, a second carrier gas supply inlet114, and a gas discharge outlet119. The nurturing chamber111includes a substrate susceptor117on which the seed substrate116is placed. <Details of Manufacturing Method and Manufacturing Apparatus for Group-III Nitride Crystal> The manufacturing method for a group-III nitride crystal according to this first embodiment will be described in detail with reference toFIGS.1A and1BandFIG.2. In this first embodiment, metallic Ga is used as the starting group-III element source105and the starting group-III element source105is however not limited to this. For example, Al or In may also be used. <Seed Substrate Preparation Step> The seed substrate116is first prepared. For example, gallium nitride, gallium arsenide, silicon, sapphire, silicon carbide, zinc oxide, gallium oxide, and ScAlMgO4are each usable as the seed substrate116. In this embodiment, gallium nitride is used as the seed substrate116. <Temperature Increase Step> At the temperature increase step, the temperature of the nurturing chamber is increased in an inert gas atmosphere to a temperature at which no resolution of the seed substrate116occurs. In the manufacture of a group-III nitride crystal using the OVPE method, heating is executed for the temperature to be about 500° C. in an inert gas (such as, for example, an N2gas) atmosphere. <Resolution Protective Temperature Increase Step> At the resolution protective temperature increase step, the temperature is increased in a nitrogen element-containing gas atmosphere concurrently suppressing resolution of the seed substrate116. In the manufacture of a group-III nitride crystal using the OVPE method, heating is executed until the temperature becomes 500° C. or higher and lower than 1,050° C. in the state where an inert gas and an NH3gas that is a nitrogen element-containing gas are mixed with each other. The reason why the NH3gas is mixed is to prevent the seed substrate116from being resolved by desorption of N atoms. At the resolution protective temperature increase step, a carrier gas is supplied from the reactive gas supply pipe103. For example, an inert gas or an H2gas is usable as the carrier gas. The reason why the carrier gas is supplied from the reactive gas supply pipe103is to suppress a backward flow of the nitrogen element-containing gas supplied into the nurturing chamber111, into the raw material chamber100. In this case, the evaporation amount of the metallic Ga that is the starting group-III element source105placed on the raw material boat104becomes large when the flow amount of the carrier gas supplied from the reactive gas supply pipe103and the supply amount of the first carrier gas supplied from the first carrier gas supply inlet102are large, and the evaporated metallic Ga therefore tends to move from the raw material chamber100into the nurturing chamber111. At the resolution protective temperature increase step, in the case where the metallic Ga is supplied onto the seed substrate116in the nurturing chamber111, Ga droplets are generated on the need substrate116when the vapor pressure of the supplied metallic Ga exceeds the saturated vapor pressure of Ga. The generated droplets become abnormal growth sources such as poly-crystals and penetrating pits when a group-III nitride crystal is grown at the growth step. To reduce the supply of the Ga vapor into the nurturing chamber111, it can be considered that the gas flow amount of each of the carrier gas and the first carrier gas that are supplied into the raw material chamber100is reduced. The nitrogen element-containing gas supplied into the nurturing chamber111however flows backward into the raw material chamber100when the gas flow amount of each of the carrier gas and the first carrier gas is reduced. In the case where the backward flow of the NH3gas that is the nitrogen element-containing gas into the raw material chamber100occurs, Ga and NH3react with each other on the metallic Ga that is the starting group-III element source in the raw material chamber100, to produce GaN and a phenomenon of covering the surface of the metallic Ga occurs. In the case where the metallic Ga is covered with GaN, even when the reactive gas is supplied into the raw material chamber100at the growth step, the reaction between the metallic Ga and the reactive gas is obstructed and the group-III element oxide gas is unable to be produced in a desired amount. It is necessary to simultaneously suppress the diffusion of the metallic Ga vapor onto the seed substrate116and the backward flow of the nitrogen element-containing gas into the raw material chamber100. To simplify the understanding, it is assumed that the gas supplied into the raw material chamber100at the resolution protective temperature increase step is only the N2gas that is the carrier gas from the reactive gas supply pipe. It is also assumed that the pressure is 1 atm. The suppression of the diffusion of the Ga vapor onto the seed substrate116will first be discussed. The volumetric flow amount of the Ga vapor in the raw material chamber100will first be considered. In the case where the partial pressure of the Ga vapor in the raw material chamber100is denoted by “x” (atm), the volumetric flow amount of N2that is the carrier gas supplied into the raw material chamber100is denoted by “y” (L/min), and the volumetric flow amount of the Ga vapor is denoted by “z” (L/min), the Ga gas in the raw material chamber100and the N2gas (N2:Ga) have the relation of Eq. (III). 1−x:x=y:z(III) Transformation of the above for z gives Eq. (IV) that represents z. z=(x*y)/(1−x) (IV) The partial pressure of the Ga vapor at the time when the Ga vapor is supplied into the nurturing chamber111will next be considered. The gas flow amount of those other than the Ga vapor, that are supplied into the nurturing chamber111is denoted by “T” (L/min) and the gas flow amount supplied into the nurturing chamber111from those other than the raw material chamber100is denoted by “t” (L/min). The gas flow amount of those other than the Ga vapor, that is supplied into the nurturing chamber111means the sum of the gas flow amount of those other than the Ga vapor, that are supplied from the raw material chamber100and the gas flow amount supplied from those other than the raw material chamber100into the nurturing chamber111. In this case, T presents the relation of the following Eq. (V). T=y+t(V) The partial pressure of the Ga gas in the nurturing chamber111is x/(T+z). In the case where the saturated vapor pressure of Ga in a seed substrate116part is denoted by “H(Tg)” (atm), because the Ga droplets are generated when the partial pressure of the Ga gas exceeds the Ga saturated vapor pressure, the Ga gas flow amount z needs to maintain the following Eq. (VI) to suppress the generation of any Ga droplets on the seed substrate116. z/(T+z)<j*H(Tg) (VI) In the above, j is a corrective coefficient. As the result of thorough experimental consideration, the value of j was determined as 2.25*10−3. Substituting Eq. (IV) and Eq. (V) in Eq. (VI) therefore enables expression of Eq. (VII). Y<(1−x)/[x−j*H(Tg)]*j*H(Tg)*t(VII) Further assuming that (k*100)% of the Ga vapor in the raw material chamber100arrives at the saturated vapor pressure, the partial pressure x of the Ga vapor in the raw material chamber100can be represented as the following Eq. (VIII) denoting the Ga saturated vapor pressure in the raw material chamber100as “H(Ts)”. In this case, k is the ratio of the Ga vapor relative to the saturated vapor pressure H(Ts) in the raw material chamber100. x=k*H(Ts) (VIII) Substituting Eq. (VIII) in Eq. (VII) gives Eq. (IX). y<[1−k*H(Ts)]/[k*H(Ts)−j*H(Tg)]*j*H(Tg)*t(IX) H(Ts) and H(Tg) are represented by the following Eqs. H(Ts)=EXP[−ΔG(Ts)/(R×Ts)] (X) H(Tg)=EXP[−ΔG(Tg)/(R×Tg)] (XI) Ts and Tg are the temperatures respectively of the raw material chamber100and the nurturing chamber111. R is the gas constant, and ΔG is the Gibbs potential of the Ga gas that is determined in accordance with the temperature of each of the raw material chamber100and the nurturing chamber111. The volumetric flow amount y of the N2gas supplied to the raw material chamber100therefore only has to be in a range that satisfies Eq. (IX) that is represented using Eq. (X) and Eq. (XI).FIG.3shows the relation between the Ga saturated vapor pressure and the temperature, as reference. The suppression of the backward flow of NH3that is the nitrogen element-containing gas into the raw material chamber100will second be discussed. The mass flow amount of the N2gas supplied into the raw material chamber100is denoted by “X” (g/min), the cross-sectional area of the connecting pipe109is denoted by “S” (mm2), the volumetric flow amount of the NH3gas supplied into the nurturing chamber111is denoted by “F(NH3)” (L/min), and the volumetric flow amount of the gas supplied from those other than the raw material chamber100into the nurturing chamber111is denoted by F(T) (L/min). The concentration of the NH3gas in the nurturing chamber111in the gas supplied from those other than the raw material chamber100into the nurturing chamber111can be represented by F(NH3)/F(T). It can be considered that the backward flow of NH3that is the nitrogen element-containing gas, into the raw material chamber100is more suppressed as the mass flow amount X (g/min) of the N2gas that is the carrier gas supplied into the raw material chamber100is larger. It can also be considered that the backward flow of NH3into the raw material chamber100is more suppressed as the cross-sectional area S of the connecting pipe109is smaller. It can further be considered that the backward flow of NH3into the raw material chamber100is more suppressed as the inverse of the volumetric flow amount F(NH3) of the NH3gas is greater. It can further be considered that the backward flow of NH3into the raw material chamber100is more suppressed as the volumetric flow amount F(T) (L/min) of the gas supplied from those other than the raw material chamber100into the nurturing chamber111is larger. From the above relations, the value of “A” is considered that is represented by Eq. (XII) whose numerator includes the mass flow amount X (g/min) of the N2gas and the volumetric flow amount F(T) (L/min) of the gas supplied from those other than the raw material chamber100into the nurturing chamber111that each have a positive correlation with the suppression of the backward flow of NH3into the raw material chamber100and whose denominator includes the cross-sectional area S of the connecting pipe109and the volumetric flow amount F(NH3) (L/min) of the NH3gas that each have a negative correlation therewith. This A is a parameter to determine the suppression of the backward flow of the NH3gas from the nurturing chamber111into the war material chamber100, and it can be determined that the backward flow of NH3into the raw material chamber100can be suppressed in the case where the numeral value of A is greater than a predetermined value. A=(X/S)*(FT(T)/F(NH3))=(X*FT(T))/(S*F(NH3)) (XII) The lower limit value of A with which the backward flow of NH3into the raw material chamber100and that was derived as the result of thorough experimental consideration was 1.58*10−4. When the volumetric flow amount of the N2gas supplied into the raw material chamber100is denoted y (L/min), using the molar volume of 22.4 L/mol in the standard state and the molecular weight of 28 g/mol of the N2gas, the mass flow amount X of the N2gas supplied from the raw material chamber100is represented by Eq. (XIII). X=y*(28/22.4) (XIII) Substituting Eq. (XIII) in Eq. (XII) gives the following. y≥A*(22.4/28)*S*F(NH3)/F(T) (XIV) Substituting the lower limit value of A, y needs to satisfy the relation of the following Eq. (XV). y≥1.58*10−4*(22.4/28)S*F(NH3)/F(T) (XV) Summarizing the above content, the volumetric flow amount y of the carrier gas supplied into the raw material chamber100at the resolution protective temperature increase step only has to be adjusted to be in the range that simultaneously satisfies Eqs. (IX) and (XV). For example, the flow amount F(T) of the gas flowing from those other than the raw material chamber into the nurturing chamber and the flow amount of N2from the raw material chamber only have to be adjusted to be in the range that is equal to or higher than a dotted line showed inFIG.4and equal to or lower than a dashed-dotted line showed therein. The diffusion of the Ga vapor supplied from the raw material chamber100to the seed substrate116can thereby be suppressed and the backward flow of the nitrogen element-containing gas into the raw material chamber100can also be suppressed. InFIG.4, the flow amount of N2from the raw material chamber is represented by the volumetric flow amount, and the volumetric flow amount and the mass flow amount can be converted into each other. <Growth Step> At the growth step, the group-III element oxide gas is produced in the raw material chamber100and is supplied into the nurturing chamber111and the nitrogen element-containing gas is supplied into the nurturing chamber111to execute production of a group-III nitride crystal on the seed substrate116. For example, the growth step includes the reactive gas supply step, the group-III element oxide gas production step, the group-III element oxide gas supply step, the nitrogen element-containing gas supply step, the group-III nitride crystal production step, and the residual gas discharge step. <Reactive Gas Supply Step> At the reactive gas supply step, the reactive gas is supplied from the reactive gas supply pipe103into the raw material reaction chamber101in the raw material chamber100. As above, a reducing gas or an oxidizing gas is usable as necessary as the reactive gas. In this embodiment, because the metallic Ga is used as the group-III element source105, the H2O gas is used as the reactive gas. <Group-III Element Oxide Gas Production Step> At the group-III element oxide gas production step, the reactive gas supplied into the raw material reaction chamber101at the reactive gas supply step reacts with Ga that is the starting group-III element source105to produce a Ga2O gas that is the group-III element oxide gas. The produced Ga2O gas is discharged from the raw material reaction chamber101into the raw material chamber100through the group-III element oxide gas discharge outlet107. The discharged Ga2O gas is mixed with the first carrier gas supplied from the first carrier gas supply inlet102into the raw material chamber and the mixed gases are supplied to the gas discharge outlet108. In this embodiment, the raw material chamber100is heated by a first heater106. In the case where the raw material chamber100is heated, from the viewpoint of the boiling point of the Ga2O gas, it is preferred that the temperature of the raw material chamber100be 800° C. or higher. It is preferred that the temperature of the raw material chamber100be lower than the temperature of the nurturing chamber111. As described later, in the case where the nurturing chamber is heated by a second heater115, it is preferred that the temperature of the raw material chamber100be, for example, lower than 1,800° C. The starting group-III element source105is placed in the raw material boat104disposed in the raw material reaction chamber101. It is preferred that the raw material boat104have a shape capable of setting a large contact area for the reactive gas and the starting group-III element source between each other. To prevent the starting group-III element source105and the reactive gas from passing through the raw material reaction chamber101without contacting each other, it is preferred that the raw material boat104have, for example, a multiple-stage tray shape. Methods of producing the group-III element oxide gas are roughly classified into a method of reducing the starting group-III element source105and a method of oxidizing the starting group-III element source105. For example, in the method of reducing, an oxide (such as, for example, Ga2O3) is used as the starting group-III element source105and a reducing gas (such as, for example, an H2gas, a CO gas, a CH4gas, a C2H6gas, an H2S gas, or an SO2gas) is used as the reactive gas. On the other hand, in the method of oxidizing, a non-oxide (such as, for example, liquid Ga) is used as the starting group-III element source105and an oxidizing gas (such as for example, an H2O gas, an O2gas, a CO gas, a CO2gas, an NO gas, an N2O gas, or an NO2gas) is used as the reactive gas. In addition to a Ga source as the starting group-III element source105, an In source and an Al source can each be employed as the starting group-III element. An inert gas, an H2gas, or the like is usable as the first carrier gas. At the group-III element oxide gas supply step, the Ga2O gas produced at the group-III element oxide gas production step is supplied into the nurturing chamber111through the gas discharge outlet108, the connecting pipe109, and the gas supply inlet118. When the temperature of the connecting pipe109connecting the raw material chamber100and the nurturing chamber111to each other becomes lower than the temperature of the raw material chamber100, a reverse reaction of the reaction to produce the group-III element oxide gas occurs and the starting group-III element source105precipitates in the connecting pipe109. It is therefore preferred that the connecting pipe109be heated by a third heater110at a temperature that is higher than the temperature of the first heater106to prevent the temperature of the connecting pipe109from becoming lower than the temperature of the raw material chamber100. <Nitrogen Element-Containing Gas Supply Step> At the nitrogen element-containing gas supply step, the nitrogen element-containing gas is supplied from the nitrogen element-containing gas supply inlet113into the nurturing chamber111. Examples of the nitrogen element-containing gas include an NH3gas, an NO gas, an NO2gas, an N2O gas, an N2H2gas, and an N2H4gas. <Group-III Nitride Crystal Production Step> At the group-III nitride crystal production step, the raw material gases supplied into the nurturing chamber through the supply steps are reacted with each other to grow a group-III nitride crystal on the seed substrate116. It is preferred that the nurturing chamber111be heated by the second heater115to reach a high temperature at which the group-III element oxide gas and the nitrogen element-containing gas react with each other. At this time, as to the temperature of the nurturing chamber111, to avoid occurrence of any reverse reaction of the reaction to produce the group-III element oxide gas, it is preferred that the temperature of the nurturing chamber111be controlled to avoid becoming lower than the temperature of the raw material chamber100. It is preferred that the temperature of the nurturing chamber111heated by the second heater115be 1,000° C. or higher and 1,800° C. or lower. For the reason of suppressing temperature fluctuation of the nurturing chamber111caused by the Ga2O gas produced in the raw material chamber100and the first carrier gas, it is desirable that the temperature of the second heater115and that of the third heater110be equal to each other. A group-III nitride crystal can be grown on the seed substrate116by mixing the group-III element oxide gas supplied into the nurturing chamber111through the group-III element oxide gas supply step and the nitrogen element-containing gas supplied into the nurturing chamber111through the nitrogen element-containing gas supply step on the upstream side of the seed substrate116. An inert gas, an H2gas, or the like is usable as the second carrier gas. Such steps included in the growth step as the reactive gas supply step, the group-III element oxide gas production step, the group-III element oxide gas supply step, the nitrogen element-containing gas supply step, the group-III nitride crystal production step, and the residual gas discharge step may concurrently be executed. <Residual Gas Discharge Step> At the residual gas discharge step, a portion of the group-III element oxide gas and a portion of the nitrogen element-containing gas that are unreacted, the first carrier gas, the second carrier gas, and the third carrier gas are discharged from the gas discharge outlet119. <Resolution Protective Temperature Decrease Step> At the resolution protective temperature decrease step, the temperature is decreased concurrently suppressing resolution of the group-III nitride crystal in a nitrogen element-containing gas atmosphere. In the manufacture of the group-III nitride crystal using the OVPE method, cooling is executed to 500° C. or lower in the state where an inert gas and a nitrogen element-containing gas that is an NH3gas are mixed with each other. <Temperature Decrease Step> At the temperature decrease step, the temperature is decreased in an inert gas atmosphere to a temperature at which the group-III nitride crystal can be taken put from the nurturing chamber. <Taking Out Step> In this embodiment, the seed substrate116having the group-III nitride crystal grown thereon is taken out from the nurturing chamber111after the temperature decrease step. EXAMPLES Experiments were conducted for steps to the resolution protective temperature increase step, using a growth furnace showed inFIG.2. For these experiments, the case of growing GaN as a group-III nitride crystal was assumed. Liquid Ga was used as the starting group-III element source, and an NH3gas was used as the nitrogen element-containing gas. A mixture of an H2gas and an N2gas was used as each of the first carrier gas and the second carrier gas. Verification was conducted with the time period taken up to the resolution protective temperature increase step to be 85 minutes. A GaN substrate was used as the seed substrate. The generation of poly-crystals and pits to be the starting points of Ga droplets due to the diffusion of the Ga vapor was evaluated by observation of surface photoluminescence (PL) images or observation using an atomic force microscope (AFM). The generation of GaN on the metal Ga in the raw material boat due to the backward flow of the NH3gas was checked by visual observation. Example 1 The substrate was heated to increase its temperature from 500° C. to 1,050° C. and the raw material was heated to increase its temperature from 500° C. to 900° C. as the conditions for the resolution protective temperature increase step. The flow amount of the N2gas supplied into the raw material chamber was set to be 0.25 L/min, the flow amount of the NH3gas supplied thereinto was set to be 10 L/min, and the flow amounts of the other N2gases were each set to be 35 L/min. As the result of evaluation of the substrate after the temperature had been increased to the desired temperature and had been decreased, no generation of poly-crystals and pits to be the starting points of Ga droplets on the crystal surface was recognized. No generation of GaN on the metallic Ga in the raw material boat was recognized. Comparative Example 1 The substrate was heated to increase its temperature from 500° C. to 1,050° C. and the raw material was heated to increase its temperature from 500° C. to 900° C. as the conditions for the resolution protective temperature increase step. The flow amount of the N2gas supplied into the raw material chamber was set to be 2 L/min, the flow amount of the NH3gas supplied thereinto was set to be 10 L/min, and the flow amounts of the other N2gases were each set to be 29 L/min. As the result of evaluation of the substrate after the temperature had been increased to the desired temperature and had been decreased, generation of poly-crystals and pits to be the starting points of Ga droplets on the crystal surface was observed. No generation of GaN on the metallic Ga in the raw material boat was recognized. Comparative Example 2 The substrate was heated to increase its temperature from 500° C. to 1,050° C. and the raw material was heated to increase its temperature from 500° C. to 900° C. as the conditions for the resolution protective temperature increase step. The flow amount of the N2gas supplied into the raw material chamber was set to be smaller than 0.01 L/min, the flow amount of the NH3gas supplied thereinto was set to be 15 L/min, and the flow amounts of the other N2gases were each set to be 75 L/min. As the result of evaluation of the substrate after the temperature had been increases to the desired temperature and had been decreased, no generation of poly-crystals and pits to be the starting points of Ga droplets on the crystal surface was observed. Generation of GaN on the metallic Ga in the raw material boat was recognized. Summary of Example and Comparative Examples FIG.4shows the evaluation results of Example and Comparative Examples.FIG.4also shows the upper limit value and the lower limit value of the N2flow amount capable of being supplied into the raw material chamber, described in <Details of Manufacturing Method and Manufacturing Apparatus for Group-III Nitride Crystal>. Because Example 1 is in a desired region, Example 1 simultaneously satisfies the suppression of the diffusion of the Ga vapor and the suppression of the backward flow of the NH3gas, no generation of poly-crystals and pits to be the starting points of Ga droplets on the seed substrate was recognized, and no generation of GaN on the metallic Ga in the raw material boat was also recognized. On the other hand, in the case of Comparative Example 1, the lower limit value for the suppression of the backward flow of NH3was exceeded and no generation of GaN on the metallic Ga in the raw material boat was therefore recognized while the upper limit value for the suppression of the diffusion of the Ga vapor onto the seed substrate was exceeded and generation of poly-crystals and pits to be the starting points of Ga droplets on the seed substrate was therefore recognized. In the case of Comparative Example 2, the upper limit value for the suppression of the diffusion of the Ga vapor onto the seed substrate was not exceeded and no generation of poly-crystals and pits to be the starting points of Ga droplets on the seed substrate was therefore recognized while the N2flow amount is below the lower limit value for the suppression of the backward flow of NH3and generation of GaN on the metallic Ga in the raw material boat was therefore recognized Those each acquired by combining as necessary optional embodiment and/or Example of the above various embodiments and/or Examples are included in the present disclosure, and each achieve the effects that are achieved by the optional embodiment and/or Example. 100raw material chamber101raw material reaction chamber102first carrier gas supply inlet103reactive gas supply pipe104raw material boat105starting group-III element source106first heater107group-III element oxide gas discharge outlet108gas discharge outlet109connecting pipe110third heater111nurturing chamber112third carrier gas supply inlet113nitrogen element-containing gas supply inlet114second carrier gas supply inlet115second heater116seed substrate117substrate susceptor118gas supply inlet119gas discharge outlet | 37,716 |
11859312 | DESCRIPTION OF EMBODIMENTS Overview of Embodiments In the present invention, “foreign substances” is a general term for adhered materials adhering to the surface of a group III nitride single crystal substrate, that is, either one or both of a group III element-polar face and a nitrogen-polar face; “inorganic foreign substances” among the “foreign substances” mean adhered materials formed from an inorganic compound such as substrate chips shaved in polishing, abrasives used for polishing, group III nitride particles that had been in the environment but adhered after a polishing step, and particles derived from a crystal growth apparatus (such as BN, SiO2and C); and “organic foreign substances” among the “foreign substances” mean adhered materials including an organic compound such as waxes, and adhesives or tapes which are used for fixing a group III nitride single crystal substrate in polishing, and sebaceous matters adhering when a group III nitride single crystal substrate is handled. A feature of the cleaning method according to one embodiment of the present invention is to clean, with a detergent including a fluoroorganic compound, a nitrogen-polar face of a group III nitride single crystal substrate that has a group III element-polar face such as a gallium (Ga), aluminum (Al) or indium (In) polar face, and the nitrogen-polar face opposite the group III element-polar face. A CMP polishing step or the like which is carried out on the surface of the foregoing group III nitride single crystal substrate when the substrate is produced may lead to the presence of inorganic foreign substances such as substrate chips shaved due to the CMP polishing step, and abrasives used for the polishing, and organic foreign substances difficult to remove, such as tapes and waxes that are used for fixing the group III nitride single crystal substrate, on the surface of the substrate (that is, the surface of the group III element-polar face, and the surface of the nitrogen-polar face). Cleaning with a detergent including a fluoroorganic compound as the cleaning or production method according to this embodiment enables the roughness of the surface of the group III nitride single crystal substrate to be suppressed to remove foreign substances. The cleaning or production method according to the present invention is effective particularly because enabling the roughness of the nitrogen-polar face to be suppressed. Normally, an aluminum-polar face is used as a crystal growth face for an aluminum nitride single crystal layer when, for example, an aluminum nitride single crystal substrate is used among group III nitride single crystal substrates as a base, and the aluminum nitride single crystal layer is layered over this base substrate by chemical vapor deposition. Therefore, concerning the aluminum-polar face of the base substrate, which is a crystal growth face, the smoothness of the surface of the aluminum-polar face is important for obtaining a high-quality aluminum nitride single crystal layer, and thus, foreign substances are removed. Meanwhile, stains on and the smoothness of the nitrogen-polar face, which is not used as a crystal growth face, have not been regarded as important in particular so far. In the cleaning method according to this embodiment, cleaning the nitrogen-polar face of the group III nitride single crystal substrate with a detergent including a fluoroorganic compound enables the roughness of the nitrogen-polar face to be suppressed to remove foreign substances. The cleaning method according to this embodiment is characterized in that the cleaning method is carried out on the nitrogen-polar face of the group III nitride single crystal substrate, but can be also carried out on the group III element-polar face. When carried out on the group III element-polar face, this cleaning method enables the roughness of the surface of the group III element-polar face to be suppressed to remove foreign substances. Hereinafter the cleaning method according to the present invention will be described. [Group III Nitride Single Crystal Substrate] The group III nitride single crystal substrate used in the cleaning method according to this embodiment is not particularly limited, and specific examples thereof include a gallium nitride single crystal substrate, an aluminum nitride single crystal substrate, an indium nitride single crystal substrate, and an aluminum gallium nitride single crystal substrate. The cleaning method according to this embodiment is preferably used for an aluminum nitride single crystal substrate having a nitrogen-polar face that tends to be rough in particular among those group III nitride single crystal substrates. For these group III nitride single crystal substrates, any group III nitride single crystal substrates produced by a known method such as the aforementioned HVPE and a sublimation method can be used without restrictions. Among the methods of producing group III nitride single crystal substrates, HVPE is a method of supplying a group III raw material gas such as an aluminum trichloride gas, and a group V raw material gas such as ammonia to the top of a base substrate formed from a group III nitride single crystal substrate, and layering a group III nitride single crystal layer by a vapor phase epitaxy method. A single crystal substrate of a desired thickness which is cut out by a known grinding means such as a wire saw from an ingot-shaped thick single crystal that is generally obtained by a sublimation method can be also used. In the production method according to the present invention, the group III element-polar face and/or the nitrogen-polar face of the group III nitride single crystal substrate produced by the foregoing, which is/are polished to be super-flat by CMP or the like is/are preferably used. Particularly, while inorganic and organic foreign substances derived from an abrasive, an adhesive, etc. used in the polishing may remain on the group III nitride single crystal substrate polished by CMP, the production method according to the present invention enables these foreign substances to be effectively removed with the smoothness of the group III nitride single crystal substrate maintained, which leads to more notable exertion of the effects of the present invention. Either one or both of the group III element-polar face and the nitrogen-polar face of the group III nitride single crystal substrate may be polished by CMP or the like. The cleaning method according to this embodiment enables the roughness of the nitrogen-polar face to be suppressed to effectively remove foreign substances, which leads to more notable exertion of the effects of the present invention on a group III nitride single crystal substrate having both a group III element-polar face and a nitrogen-polar face processed to be super-flat. The shape of the group III nitride single crystal substrate in a top view may be any of a round, a quadrangular, or an indefinite shape; and the area thereof is preferably 100 to 10000 mm2. When having a round shape, the group III nitride single crystal substrate preferably has a diameter of 1 inch (25.4 mm) or more, and further preferably has a diameter of 2 inches (50.8 mm) or more. The thickness of the group III nitride single crystal substrate may be determined within such a range as not to lead to breakage due to insufficient strength. Specifically, the thickness of the group III nitride single crystal substrate may be 50 to 2000 μm, and is further preferably 100 to 1000 μm. <Aluminum Nitride Single Crystal Substrate> As an example, hereinafter an aluminum nitride single crystal substrate particularly among group III nitride single crystal substrates will be described in detail withFIG.1. The aluminum nitride single crystal substrate is one example of the “group III nitride single crystal substrate” according to the present invention. As described above, the “group III nitride single crystal substrate” according to the present invention is not limited to the aluminum nitride single crystal substrate. FIG.1is a side view schematically showing the aluminum nitride single crystal substrate according to one embodiment of the present invention taken in the crystal growth direction.FIG.1is schematically drawn for describing the structure of the aluminum nitride single crystal substrate, and the ratio of the dimensions of the components does not necessarily correspond to that of any actual aluminum nitride single crystal substrate. As shown inFIG.1, an aluminum nitride single crystal substrate1according to one embodiment of the present invention includes a substrate formed from an aluminum nitride single crystal to be used as a base (hereinafter also referred to as “base substrate”)10, and an aluminum nitride single crystal layer20layered over the base substrate10. The base substrate10has an aluminum-polar face (also referred to as a (001) face or c face)10a, and a nitrogen-polar face (also referred to as a (00−1) face or −c face)10bopposite the aluminum-polar face10a. The aluminum nitride single crystal layer20has a structure of layering plural aluminum nitride single crystal thin films22. Specifically, the aluminum nitride single crystal layer20has a structure of layering the aluminum nitride single crystal thin films22by crystal growth of the thin films22over the aluminum-polar face10aof the base substrate10as a crystal growth face. As well as the base substrate10, the aluminum nitride single crystal layer20has an aluminum-polar face (a (001) face or c face)20a, and a nitrogen-polar face (a (00−1) face or −c face)20bopposite the aluminum-polar face20a. In the base substrate10, a face over which the aluminum nitride single crystal layer20is grown is not necessarily limited to, but is preferably the aluminum-polar face10abecause a stable free-standing substrate (described later) can be produced. The aluminum-polar face20aof the aluminum nitride single crystal layer20, and the nitrogen-polar face10bof the base substrate10form an aluminum-polar face1aand a nitrogen-polar face1bof the aluminum nitride single crystal substrate1, respectively. That is, the aluminum nitride single crystal substrate1has the aluminum-polar face1a, and the nitrogen-polar face1bopposite this aluminum-polar face. The “group III nitride single crystal substrate” according to the present invention encompasses not only the aluminum nitride single crystal substrate1itself, but also both the simple base substrate10separated from the aluminum nitride single crystal substrate1, and the aluminum nitride single crystal layer20produced as a substrate of an aluminum nitride semiconductor device by separating the base substrate from the aluminum nitride single crystal substrate1(hereinafter also referred to as “free-standing substrate”). When the “group III nitride single crystal substrate” is the aluminum nitride single crystal substrate1itself, the “nitrogen-polar face” according to the present invention shall refer to the nitrogen-polar face1bof the aluminum nitride single crystal substrate1; when the “group III nitride single crystal substrate” is the simple base substrate10, the “nitrogen-polar face” according to the present invention shall refer to the nitrogen-polar face10bof the base substrate10, and when the “group III nitride single crystal substrate” is the free-standing substrate formed of the aluminum nitride single crystal layer20, the “nitrogen-polar face” according to the present invention shall refer to the nitrogen-polar face20bof the aluminum nitride single crystal layer20. In the following description, a general term for the aluminum nitride single crystal substrate1, the base substrate10, and the free-standing substrate formed of the aluminum nitride single crystal layer20is simply expressed by an “aluminum nitride single crystal substrate” with a reference sign omitted; a general term for the aluminum-polar faces1a,10aand20aof the aluminum nitride single crystal substrate1, the base substrate10, and the free-standing substrate formed of the aluminum nitride single crystal layer20, respectively, is simply expressed by an “aluminum-polar face” with a reference sign omitted; and a general term for the nitrogen-polar faces1b,10band20bthereof is simply expressed by a “nitrogen-polar face” with a reference sign omitted. The aluminum-polar face is one example of the “group III element-polar face” according to the present invention. For example, among these aluminum nitride single crystal substrates, when a substrate formed from an aluminum nitride single crystal is used as a base, and the aluminum nitride single crystal layer20is layered over this base substrate10by chemical vapor deposition, the aluminum nitride single crystal substrate1may have a thickness within the range of 50 and 2000 μm, and further preferably has a thickness within the range of 100 and 1000 μm as described above. The aluminum-polar face of the aluminum nitride single crystal substrate is not especially limited, but preferably, an atomic step is observed in a field of approximately 1 μm×1 μm thereof by observation by means of an atomic force microscope or a scanning probe microscope. Further, the root mean square roughness (Rq) of the aluminum-polar face is preferably 0.05 to 0.5 nm. The radius of curvature of the shape of the aluminum-polar face of the aluminum nitride single crystal substrate is not specifically limited, either, but is preferably within the range of 0.1 and 10000 m. The nitrogen-polar face of the aluminum nitride single crystal substrate is not particularly limited other than the above. However, the smaller the arithmetic-mean roughness Ra (hereinafter also referred to as “mean roughness (Ra)”) of the nitrogen-polar face is, the better. For example, this mean roughness (Ra) is preferably at most 4.0 nm, and more preferably at most 2.5 nm. The lower limit of this mean roughness (Ra) is most preferably near 0. Specifically, in view of industrial production, the lower limit of this mean roughness (Ra) is 0.05 nm. That is, the mean roughness (Ra) of the nitrogen-polar face of the aluminum nitride single crystal substrate is preferably 3.0 nm to 4.0 nm, and more preferably 0.05 nm to 2.5 nm. These root mean square roughness (Rq) and mean roughness (Ra) can be also obtained from observation of one field range (58800 μm2(280 μm×210 μm)) when measured by means of a white-light interferometric microscope with an object lens with a magnifying power of 50, other than the measuring method by the aforementioned observation by means of an atomic force microscope or scanning probe microscope. These root mean square roughness (Rq) and mean roughness (Ra) are more preferably measured after foreign substances and contaminants on the face to be observed are removed. Here, the mean roughness (Ra) is a value averaged from the absolute values of the differences between the respective altitudes of the surface measured at predetermined intervals from one to the other end points of a reference line that is obtained by extracting a line segment of 280 μm in length which is parallel to one long side among four sides constituting the aforementioned one field range (280 μm×210 μm), and the average value of these altitudes in the range of the reference line (the differences represented where the position is a valuable are also represented as a roughness curve). The root mean square roughness (Rq) represents standard deviation of the surface roughness, and specifically, is a square root of the average value of the squared roughness curve from one to the other end points of the reference line. The root mean square roughness (Rq) of the aluminum-polar face, and the mean roughness (Ra) of the nitrogen-polar face can be adjusted not only by CMP polishing, but also by mechanical polishing with a metal surface plate, and a diamond particle having a particle size of approximately 0.1 to 10 μm. The radius of curvature of the shape of the nitrogen-polar face of the aluminum nitride single crystal substrate is not specifically limited, either, but is preferably the same as that of the aluminum-polar face, and specifically, is preferably within the range of 0.1 and 10000 m. [Detergent] A feature of the cleaning method according to this embodiment is to use a detergent including a fluoroorganic compound for cleaning the nitrogen-polar face of the aluminum nitride single crystal substrate. <Major Component: Fluoroorganic Compound> The detergent used in one embodiment of the present invention (hereinafter also referred to as “this detergent”) includes a fluoroorganic compound. This fluoroorganic compound includes a compound obtained by substituting a fluorine atom for some hydrogen atom(s) of a hydrocarbonaceous compound or an etheric compound. As this fluoroorganic compound, particularly, hydrofluorocarbon (HFC) obtained by substituting a fluorine atom alone for some hydrogen atom(s) of a hydrocarbonaceous compound, hydrofluoroether (HFE) obtained by substituting a fluorine atom alone for some hydrogen atom(s) of an etheric compound, or the like can be used. A hydrocarbonaceous compound that is the original before the substitution and corresponds to the aforementioned hydrofluorocarbon may be a saturated or unsaturated hydrocarbon compound. For the fluoroorganic compound, HFC may be used alone, HFE may be used alone, or HFC and HFE may be used in combination. (Hydrofluorocarbon: HFC) The fluoroorganic compound is preferably hydrofluorocarbon, and among hydrofluorocarbons, is more preferably a compound represented by the following formula (1), that is, a compound obtained by substituting a fluorine atom alone for some hydrogen atom(s) of saturated hydrocarbon. CnH2n+2−mFm(1) In the formula (1), n is an integer of 2 to 8, and m is an integer satisfying 3≤m≤2n+2: n is preferably an integer of 4 to 6, and m is preferably 5≤m≤2n. Specific examples of HFC represented by the formula (1) include 1H,2H-perfluorobutane, 1H,3H-perfluorobutane, 1H,4H-perfluorobutane, 2H,3H-perfluorobutane, 4H,4H-perfluorobutane, 1H,1H,3H-perfluorobutane, 1H,1H,4H-perfluorobutane, 1H,2H,3H-perfluorobutane, 1H,1H,4H-perfluorobutane, 1H,2H,3H,4H-perfluorobutane, 2H,2H,4H,4H,4H-perfluorobutane (HFC365mfc), 1H,2H-perfluoropentane, 1H,4H-perfluoropentane, 2H,3H-perfluoropentane, 2H,4H-perfluoropentane, 2H,5H-perfluoropentane, 1H,2H,3H-perfluoropentane, 1H,3H,5H-perfluoropentane, 1H,5H,5H-perfluoropentane, 2H,2H,4H-perfluoropentane, 1H,2H,4H,5H-perfluoropentane, 1H,4H,5H,5H,5H-perfluoropentane, 1H-perfluorohexane (HFC-52-13p), 1H,2H-perfluorohexane, 2H,3H-perfluorohexane, 2H,4H-perfluorohexane, 2H,5H-perfluorohexane, 3H,4H-perfluorohexane, 1H,1H,1H,2H,2H-perfluorohexane, and 1H,1H,1H,2H,2H-perfluorooctane (HFC-76-13sf). HFC is not limited to compounds obtained by substituting a fluorine atom alone for some hydrogen atom(s) of saturated hydrocarbon which are represented by the formula (1), but may be any compound obtained by substituting a fluorine atom alone for some hydrogen atom(s) of unsaturated hydrocarbon (“hydrofluoroolefin (HFO)”). Specific examples of HFO include 2,2,3,3-tetrafluoro-1-propene (HFO-1234yf). Among them, 2H,2H,4H,4H,4H-perfluorobutane (HFC365mfc) is particularly preferable for hydrofluorocarbon herein. Among the aforementioned specific examples, one hydrofluorocarbon may be used alone, or plural hydrofluorocarbons may be used in combination. When 2H,2H,4H,4H,4H-perfluorobutane is used as hydrofluorocarbon herein, the higher the content of 2H,2H,4H,4H,4H-perfluorobutane in hydrofluorocarbon to be used is, the more preferable. For example, this content may be 90% to 100% in mass concentration. (Hydrofluoroether: HFE) Specific examples of hydrofluoroether herein include methyl perfluorobutyl ether, methyl perfluoroisobutyl ether, methyl perfluoropentyl ether, methyl perfluorocyclohexyl ether, ethyl perfluorobutyl ether, ethyl perfluoroisobutyl ether, ethyl perfluoropentyl ether, and 1,1,2,2-tetrafluoroethyl2,2,2-trifluoroethyl ether (HFE-347pcf2). (Other Fluoroorganic Compounds) The fluoroorganic compound herein is not limited to compounds obtained by substituting a fluorine atom alone, but may encompass compounds obtained by further substituting another atom (for example, a halogen atom such as a chlorine atom) for some hydrogen atom(s) of a hydrocarbonaceous compound or an etheric compound. As one example, the fluoroorganic compound may encompass 1-chloro-2,3,4-trifluoro-1-propene (HCFO-1233yd). <Optional Components> The detergent used in the cleaning method according to this embodiment may include any other component as an optional component in addition to the fluoroorganic compound. Examples of the optional component include the following glycol ether compounds and hydrocarbon compounds. They are examples. The optional component is not limited to them. The detergent may include any other component unless deviating from the purpose of the present invention. (Glycol Ether Compound) Examples of a glycol ether compound herein include glycol ether monoalkyl ether-based compounds, and glycol ether dialkyl ether-based compounds. They are classified into hydrophilic and hydrophobic compounds. Among glycol ether monoalkyl ether-based compounds and glycol ether dialkyl ether-based compounds, one may be used alone, or plural ones may be used in combination. Glycol Ether Monoalkyl Ether-Based Compound The glycol ether monoalkyl ether-based compound is a compound obtained by substituting a hydrocarbon residue, or a hydrocarbon residue including an ether bond for hydrogen of one of two hydroxyl groups of an aliphatic or alicyclic compound having two carbon atoms and the two hydroxyl groups bonded to the two carbon atoms, respectively. Specific examples of hydrophilic glycol ether monoalkyl ether herein include 3-methoxybutanol, 3-methoxy-3-methylbutanol, ethylene glycol monobutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol mono-i-propyl ether, diethylene glycol monopropyl ether, propylene glycol monomethyl ether, dipropylene glycol monomethyl ether, tripropylene glycol monomethyl ether, and diethylene glycol monobutyl ether. Among them, ethylene glycol monobutyl ether is preferable. Specific examples of hydrophobic glycol ether monoalkyl ether herein include propylene glycol monobutyl ether, ethylene glycol monohexyl ether, dipropylene glycol monobutyl ether, and dipropylene glycol monopropyl ether. Among them, the glycol ether monoalkyl ether-based compound may include one alone, or plural ones in combination, but preferably includes ethylene glycol monobutyl ether. The content of the glycol ether monoalkyl ether-based compound is preferably 1 to 10% of the total detergent in mass concentration. Particularly, when diethylene glycol monobutyl ether is used as the glycol ether monoalkyl ether-based compound, the content of this diethylene glycol monobutyl ether is preferably 1 to 10% of the total detergent in mass concentration. Glycol Ether Dialkyl Ether-based Compound The glycol ether dialkyl ether-based compound is a compound obtained by substituting a hydrocarbon residue, or a hydrocarbon residue including an ether bond for hydrogen of both of two hydroxyl groups of an aliphatic or alicyclic compound having two carbon atoms and the two hydroxyl groups bonded to the two carbon atoms, respectively. Specific examples of hydrophilic glycol ether dialkyl ether herein include diethylene glycol dimethyl ether, diethylene glycol diethyl ether, and tetraethylene glycol dimethyl ether. Specific examples of hydrophobic glycol ether dialkyl ether herein include dipropylene glycol dimethyl ether, and diethylene glycol dibutyl ether. Among them, the glycol ether dialkyl ether-based compound may include one alone, or plural ones in combination. (Hydrocarbon Compound) Specific examples of a hydrocarbon compound herein include pentane, 2,2-dimethylbutane, 2,3-dimethylbutane, 2-methylpentane, n-hexane, isohexane, cyclohexane, 2-methylhexane, 3-methylhexane, 2,2,5-trimethylhexane, cyclohexene, heptane, isooctane, 2-methylpentane, 2,4-dimethylpentane, 2,2,3-trimethylpentane, octane, isooctane, nonane, decane, undecane, dodecane, tridecane, tetradecane, pentadecane, menthane, bicyclohexyl, cyclododecane, and 2,2,4,4,6,8,8-heptamethylnonane. Among these components, one kind may be included alone, or plural kinds may be included in combination. (Other Optional Components) Other than the aforementioned optional components, for example, an antioxidant, an UV absorber, a surfactant, a stabilizer, a defoaming agent, and/or an alcohol which may be known one(s) may be included if necessary. As an alcohol, for example, methanol, ethanol, 2-propanol, or 2,2,2-trifluoroethanol may be included. <Properties> The major properties of this detergent are preferably as follows. (Specific Gravity) This detergent is formed from the fluoroorganic compound as a major component, and at least one selected from the group consisting of the glycol ether compound and the hydrocarbon compound as optional components. The specific gravity of this detergent can be adjusted by the content ratio of these components. For example, the higher the content ratio of the fluoroorganic compound is, the higher the specific gravity of the detergent is; and the lower the content ratio of the fluoroorganic compound is, the lower the specific gravity of the detergent is. The specific gravity of the detergent at 25° C. is most preferably near 1.02. When this specific gravity at 25° C. is greatly higher than 1.02, the content of the fluoroorganic substance is high, and thus, the content of the component suitable for cleaning organic foreign substances is relatively low, which leads to a tendency towards decrease in the detergency of the detergent. When this specific gravity at 25° C. is greatly lower than 1.02, stain components taken into a cleaning liquid may be accumulated, which leads to a tendency towards decrease in the detergency. In view of industrial production, the specific gravity of this detergent at 25° C. may be 0.80 to 1.28, and is preferably 0.90 to 1.20, more preferably 1.00 to 1.14, and further preferably 1.01 to 1.06. For example, the specific gravity of the detergent can be managed by managing the rate of change in the composition of the aforementioned glycol ether compound, which is an optional component. More specifically, the specific gravity of the detergent can be managed by managing the boiling point of the detergent by the use of a large difference between the fluoroorganic compound, which is a major component, and the glycol ether compound in boiling point (described later). The rate of change in the composition of the glycol ether compound is the proportion at which the composition of the glycol ether compound changes: specifically, is the proportion of the difference between the content of the glycol ether compound in the total detergent at a predetermined timing that is the basis (for example, the timing when the detergent is produced, and the timing when the detergent is started using) (hereinafter also referred to as “first content”), and the content of the glycol ether compound in the total detergent at the present (hereinafter also referred to as “second content”) to the first content (“first content−second content”/“first content”). “Present” means the timing when the detergent is presently used. For example, the content of the glycol ether compound in the total detergent can be measured by the use of a known method such as gas chromatography. Preferably, the rate of change in the composition of the glycol ether compound is preferably managed to be within ±10%. Managing the rate of change in the composition of the glycol ether compound to be within ±10% enables the specific gravity of the detergent at 25° C. to be adjusted to 1.02 to 1.28. Specifically, when the rate of change in the composition of the glycol ether compound approaches −10%, the specific gravity of the detergent at 25° C. approaches 1.28; and when the rate of change in the composition of the glycol ether compound approaches +10%, the specific gravity of the detergent at 25° C. approaches 1.02. (Boiling Point) The boiling point of this detergent may be 35° C. to 65° C., is preferably 40° C. to and is more preferably 47° C. to 58° C. Here, the boiling point is the temperature of a liquid phase in reflux. As well as the specific gravity, the boiling point of this detergent can be adjusted by the content ratio of the aforementioned components. Specifically, the boiling point of this detergent can be managed by managing the rate of change in the composition of the glycol ether compound. More specifically, the rate of change in the composition of the glycol ether compound approaching −10% can cause the boiling point to approach 47° C.; and the rate of change in the composition of the glycol ether compound approaching +10% can cause the boiling point to approach 58° C. <Content Ratio> The content ratio of the aforementioned components is not particularly limited, but can be adjusted, as appropriate, according to foreign substances to be removed, the quantity of the foreign substances, etc. In order to improve detergency for the nitrogen-polar face of the aluminum nitride single crystal substrate, and in order not to roughen the nitrogen-polar face, the fluoroorganic compound, which is a major component, has only to be the highest content compared with the other components. Specifically, the content of the fluoroorganic compound may be at least 20%, and is preferably at least 40%, and more preferably at least 50% of the detergent in mass concentration. <Amount to be Used> The amount of the detergent to be used is not particularly limited. The amount of the detergent to be used in cleaning may be adjusted so that the entire group III nitride single crystal substrate to be cleaned will be immersed in the detergent. Preferably, this amount to be used is adjusted so that the entire group III nitride single crystal substrate can be continuously immersed during cleaning even when the detergent volatilizes during the cleaning. In contrast, for reducing the impact on the environment, the amount to be used is preferably small. In view of the foregoing, the amount of the detergent to be used may be determined as appropriate in view of a type and the number of the substrate(s) to be cleaned, the size of a container, etc. For example, preferably 10 ml to 500 ml, more preferably 50 ml to 200 ml of the detergent is used for one aluminum nitride single crystal substrate1having an outer diameter of 48.5 mm. Specific Examples Preferred specific examples of this detergent include Elnova (registered trademark) V3 (manufactured by TOKUYAMA METEL Corporation). Elnova (registered trademark) V3 is a detergent including, as the components, 2H,2H,4H,4H,4H-perfluorobutane, which is the fluoroorganic compound, ethylene glycol monobutyl ether, which is the glycol ether compound, at least one glycol ether compound other than this ethylene glycol monobutyl ether, and at least one hydrocarbon compound. The specific gravity of Elnova (registered trademark) V3 at 25° C. is 1.02±0.005, the boiling point thereof is 54±0.5° C., and the saturated water content thereof is 1.0% to 5.0%. [Method of Cleaning Group III Nitride Single Crystal Substrate] Hereinafter the method of cleaning the aluminum nitride single crystal substrate that is one embodiment of the group III nitride single crystal substrate will be described. The method of cleaning the aluminum nitride single crystal substrate according to the present embodiment is carried out by immersing the aluminum nitride single crystal substrate in the foregoing detergent. When the saturated water content of the detergent is at most 10%, preferably, moisture adhering to the aluminum nitride single crystal substrate is removed in advance for properties of the detergent. For example, the method of immersing the aluminum nitride single crystal substrate in the detergent may be carried out by putting the detergent and the aluminum nitride single crystal substrate in a container having a predetermined size. For reducing the amount of the detergent to be used, a predetermined amount of water may be further used around a bottle containing the detergent in this container by indirect cleaning. As the detergent, the foregoing detergent including the fluoroorganic compound is used. It is not necessary to use one detergent including the fluoroorganic compound. For example, a plurality of the following cleaning liquids may be used in combination. Specifically, a detergent obtained by adjusting pH of a commercially available acidic or alkaline cleaning liquid to be within a desired range, or a neutral liquid such as ultrapure water, acetone, isopropyl alcohol, and a hydrocarbon may be used in combination with the detergent including the fluoroorganic compound. The nitrogen-polar face of aluminum nitride tends to be inferior in chemical stability as compared with the aluminum-polar face thereof. The surface of the nitrogen-polar face tends to be etched with the aforementioned acidic or alkaline detergent. It is difficult to remove, by the use of ultrapure water, acetone, or isopropyl alcohol, foreign substances adhered in the grinding polishing step, a renewing polishing step, and a repetition step. Thus, in the present invention, the detergent including the fluoroorganic compound is used as a cleaning liquid for cleaning the nitrogen-polar face without the nitrogen-polar face roughening. For reducing the impact on the environment, the detergent including the fluoroorganic compound is preferably used in a nonvolatilized form. As one example of this form, this detergent is preferably used at a certain temperature or lower. Specifically, the temperature (liquid temperature) of the detergent when the aluminum nitride single crystal substrate is immersed in the detergent may be 10° C. to 70° C., and is preferably 25° C. to 60° C., more preferably 30° C. to 55° C., and further preferably 40° C. to 50° C. The time for which the aluminum nitride single crystal substrate is immersed in the detergent (hereinafter also referred to as “immersing time”) may be set as appropriate so that foreign substances can be removed. For example, this time may be 5 minutes to 1 hour, and preferably 15 to 40 minutes. The detergent, in which the aluminum nitride single crystal substrate is immersed, is preferably irradiated with an ultrasonic wave. The frequency of the ultrasonic wave may be 30 kHz to 100 kHz, and for example, can be 40 kHz. The irradiating time with the ultrasonic wave may be adjusted as appropriate within the foregoing immersing time. For example, an ultrasonic cleaner may be used for immersing the aluminum nitride single crystal substrate in the detergent during the irradiation with the ultrasonic wave by, specifically, putting a predetermined amount of water therein, putting, in the water, a covered bottle (for example, a screw bottle) containing the detergent and the aluminum nitride single crystal substrate, and irradiating the detergent with the ultrasonic wave. The cleaning method is not limited to ultrasonic wave cleaning, but any other known method such as immersion cleaning, heat cleaning, and steam cleaning may be used. By the foregoing, both the nitrogen-polar face and the aluminum-polar face of the aluminum nitride single crystal substrate can be cleaned by the use of the detergent including the fluoroorganic compound. After cleaned by the use of the detergent, the aluminum nitride single crystal substrate can be subjected to the next step after the detergent etc. adhered to the surface are removed by drying or the like. The cleaning may include plural stages. In one embodiment of the present invention, the cleaning includes two stages. In the first stage, the group III nitride single crystal substrate is cleaned with the detergent according to the present invention. In the second stage, the group III nitride single crystal substrate, on which the cleaning at the first stage is completed, is further cleaned with a rinse agent having a higher content of the fluoroorganic compound than the detergent used at the first stage. In other words, at the first stage, the group III nitride single crystal substrate is cleaned with the detergent; and at the second stage, the group III nitride single crystal substrate, on which the cleaning at the first stage is completed, is further cleaned with a rinse agent having a higher specific gravity than the detergent at the first stage. That is, after the cleaning method, the step of rinsing the detergent, drops, and/or components to cause stains (hereinafter also referred to as “rinsing step”) may be performed when they adhere to the surface of the group III nitride single crystal substrate. In this rinsing step, a rinse agent excellent in evaporative drying performance is preferably used for rinsing the surface of the group III nitride single crystal substrate. In this rinsing step, the rinsing can be performed by the use of a known means such as immersion cleaning and steam cleaning. Among these means, steam cleaning that enables stains on the surface of an object to be cleaned to be further reduced is preferable. When stain stands out, prewashing by immersion cleaning or the like is preferable. As the rinse agent, a rinse agent including the same components as the detergent may be used, any other rinse agent such as acetone may be used, or any combination thereof may be used. Or, the rinsing may be performed plural times with the same one or plural ones in combination among these rinse agents. When the same components as the detergent are used for the rinse agent, the content ratio of the rinse agent may be different from that of the detergent used in the cleaning step. The larger the content of the fluoroorganic compound in the total rinse agent is, the more the evaporativity and a drying property can be improved. Specifically, the content of the fluoroorganic compound in the total rinse agent is preferably at least 50 mass %, more preferably at least 55 mass %, and further preferably at least 60 mass %. In view of easy drying, and effective suppression of remaining on the surface of the group III nitride single crystal substrate, preferably, the boiling point of the rinse agent is lower than that of the detergent; specifically, is preferably at least 40° C. and lower than 47° C. Preferred specific examples of this rinse agent include Elnova (registered trademark) VR3 (manufactured by TOKUYAMA METEL Corporation). Elnova (registered trademark) VR3 includes the fluoroorganic compound same as the component of the aforementioned Elnova (registered trademark) V3. The content of this fluoroorganic compound in Elnova (registered trademark) VR3 is higher than that in Elnova (registered trademark) V3; specifically, is at least 99%. The specific gravity of Elnova (registered trademark) VR3 at 25° C. is 1.27±0.005, the boiling point thereof is 40±0.5° C., and the saturated water content thereof is 900±90 ppm. [Method of Producing Group III Nitride Single Crystal Substrate1] The method of producing the group III nitride single crystal substrate according to one embodiment of the present invention includes: the polishing step of using a substrate formed from a group III nitride single crystal as a base substrate10to deposit a group III nitride single crystal layer over the group III element-polar face of the group III nitride single crystal substrate by a vapor phase epitaxy method, and thereafter, polishing at least the nitrogen-polar face; and the cleaning step of cleaning the nitrogen-polar face by the method of cleaning the group III nitride single crystal substrate. Hereinafter, with the aluminum nitride single crystal substrate1as an example of the group III nitride single crystal substrate, each of the steps according to the production method thereof will be described in detail with reference toFIG.1again. [Method of Producing Aluminum Nitride Single Crystal Substrate] <Preparation Step> When the base substrate10formed from an aluminum nitride single crystal is grown by a sublimation method, a seed substrate that is the basis of the base substrate is fixed on one side of a crucible for growth which is disposed inside a reactor, and an aluminum nitride polycrystal raw material is disposed on the opposite side of the seed substrate. The polycrystal raw material is vaporized by a temperature gradient given on the seed substrate side and on the raw material side in a nitrogen atmosphere to deposit an aluminum nitride single crystal over the seed substrate. As the material of the crucible, tungsten, tantalum carbide, or the like is generally used. The growth temperature is within the range of 1800° C. and 2300° C. The pressure in the reactor is controlled to be in the range of 100 Torr and 1000 Torr. An aluminum nitride polycrystal raw material that was subjected to refining operation of removing impurities by using the effects of sublimation and recrystallization in advance is preferably used. An aluminum nitride single crystal boule deposited as a result of the foregoing is sliced, ground, and polished to obtain the base substrate10. (Growth Step of Aluminum Nitride Single Crystal Layer20) The means for forming the aluminum nitride single crystal layer20over the aluminum-polar face10aof the base substrate10is not particularly limited as long as being a vapor phase epitaxy method. Any known vapor phase epitaxy method can be employed. Specific examples of the vapor phase epitaxy method herein include HVPE, MOCVD, and MBE. The aluminum nitride single crystal layer20is grown by HVPE by: supplying an aluminum halide gas that is a raw material gas, and a nitrogen source gas to the top of the heated base substrate10in a reactor in a state where the gases are each diluted by a carrier gas; and reacting both the gasses on the heated base substrate10. As the aluminum halide gas, a gallium chloride gas, an aluminum chloride gas, or the like is preferably used. The aluminum halide gas can be obtained by bringing high-purity aluminum having a purity of 99.9999% or more, and a high-purity hydrogen chloride gas or high-purity chlorine gas having a purity of 99.999% or more into contact with each other. As the nitrogen source gas, an ammonia gas is preferably used. As the carrier gas, any known gas such as hydrogen, nitrogen, argon, and helium which has a dew point controlled to be −110° C. or lower and from which moisture is removed can be preferably used. A hydrogen halide gas such as hydrogen chloride is also allowed to coexist, as appropriate, with each of the raw material gases. The heating temperature of the base substrate10, the supply amounts of the aluminum halide gas and the nitrogen source gas, and the linear velocities of the supplied gasses are factors affecting the crystal growth rate, and may be determined, as appropriate, according to the desired crystal growth rate. When an aluminum nitride single crystal is grown as the group III nitride single crystal, the temperature of the base substrate is normally within the range of 1200° C. and 1800° C., more preferably within the range of 1350° C. and 1700° C., and further preferably within the range of 1450° C. and 1600° C. When a gallium nitride single crystal is grown as the group III nitride single crystal, the heating temperature of the base substrate is normally within the range of 900° C. and 1600° C., and more preferably within the range of 1000° C. and 1200° C. As the heating means for the base substrate10, any known heating means such as resistance heating, high-frequency induction heating, and optical heating can be used. Among these heating means, one may be used alone, or plural ones may be used in combination. The supply amount of the aluminum halide gas, which is a raw material gas, is within the range of 0.001 sccm and 500 sccm; and the supply amount of the nitrogen source gas is within the range of 0.01 sccm and 5000 sccm. For arranging the gas flows inside the reactor, it is effective to dispose a dry pump on the downstream side of a device to keep the pressure inside the reactor fixed, or to promote discharge from the reactor. The growth is performed under the condition that the pressure inside the reactor is within the range of 100 Torr and 1000 Torr, more preferably within the range of 360 Torr and 760 Torr. Too thin a thickness of the layered aluminum nitride single crystal layer20may lead to a thin free-standing substrate formed of the aluminum nitride single crystal layer20which is to be obtained in the undermentioned processing or separation step, or may cause the free-standing substrate to disappear. Therefore, the thickness of the aluminum nitride single crystal layer20is preferably at least 100 μm, more preferably 200 to 1500 μm, and further preferably 300 to 1200 μm. When it is necessary to control the electroconductivity of the aluminum nitride single crystal layer20, the aluminum nitride single crystal layer20can be grown while impurities (such as a compound including Si, Mg, S, or the like) that properly serve as a donor or an acceptor are supplied. <Processing and Polishing Step> The base substrate10, or the aluminum nitride single crystal substrate1obtained by layering the aluminum nitride single crystal layer20over the base substrate can be used as a substrate of an aluminum nitride semiconductor device by subjecting both the aluminum-polar face10aor1aand the nitrogen-polar face10bor1b, or the aluminum-polar face10aor1aalone to mirror finishing by grinding and CMP polishing or the like. The aluminum nitride single crystal substrate1is separated into the base substrate10and the layered aluminum nitride single crystal layer20. This separated aluminum nitride single crystal layer20can be used as a free-standing substrate for the foregoing aluminum nitride device. Further, the base substrate10after the separation can be reused as the base substrate10for layering an aluminum nitride single crystal by processing the separated surface to be a super-flat face by CMP polishing. For the method of iteratively reusing the base substrate10, for example, the method disclosed in WO 2017/164233 A1 can be employed. Any known methods can be employed for the methods of grinding, and chemical mechanical planarization (CMP). For example, one may fix the aluminum nitride single crystal substrate onto a plate of, for example, a ceramic with adhesive or wax, or tape or the like, and apply pressure to and polish the aluminum nitride single crystal substrate during rotation thereof on a nonwoven fabric or a polishing pad to which a slurry is dropped. The tape is not particularly limited, but for example, a thermal release tape is preferably used in view of easy releasability by heat treatment or the like. The wax is not particularly limited, but for example, a solid or liquid wax is preferably used in view of easy positioning of the substrate in an adhering operation, and easy releasability with solvent or the like. As an abrasive used herein, any abrasive including a material such as silica, alumina, ceria, silicon carbide, boron nitride, and diamond can be used. The properties of the abrasive may be alkaline, neutral, or acidic. Among them, since the alkali resistance of a nitrogen-polar face of aluminum nitride is low, a weakly alkaline, neutral, or acidic abrasive, specifically an abrasive of pH 9 or less is more preferably used than a strong alkaline abrasive. A strong alkaline abrasive can be used of course without any problem when a protection film is disposed on the nitrogen-polar face. An additive such as an oxidizing agent can be added for improving the polishing rate. A polishing pad of the material and the hardness same as a commercially available one can be used. <Method of Iteratively Reusing Base Substrate10> The method of iteratively reusing the base substrate10obtained by the foregoing production method includes the following steps. The growth step of growing the aluminum nitride single crystal layer20over the base substrate10formed from the aluminum nitride single crystal to obtain the aluminum nitride single crystal substrate1; the separation step of cutting the aluminum nitride single crystal layer20of the aluminum nitride single crystal substrate1to separate the aluminum nitride single crystal substrate1into the base substrate10including the thin films22, which are at least part of the aluminum nitride single crystal layer20and are layered thereover, and the aluminum nitride single crystal layer20; the renewing polishing step of polishing the surface of the thin films22of the base substrate, over which the thin films22are layered; and the repetition step of using a base substrate obtained in the renewing polishing step as a renewed base substrate to grow an aluminum nitride single crystal over the surface of a polished surface of this base substrate. <Separation Step> The separation step is to cut the aluminum nitride single crystal1obtained in the growth step to separate the aluminum nitride single crystal substrate1into the base substrate10formed from an aluminum nitride single crystal, and the aluminum nitride single crystal layer20. By the cutting, a layer having strain on the crystal surface (strain layer) is formed on the cut face of the base substrate10after the separation step. When the strain layer remains on the base substrate10, the crystal quality of the aluminum nitride single crystal layer20to grow over the base substrate10may deteriorate, or residual stress may cause cracks in the aluminum nitride single crystal layer. Thus, the strain layer is removed in the undermentioned renewing polishing step. Therefore, preferably, as an extra space for formation of the strain layer or for removal of the strain layer, the base substrate10including the thin films22, which are at least part of the aluminum nitride single crystal layer20, layered thereover is separated from the rest of the aluminum nitride single crystal layer20. The thickness of the thin films22of the aluminum nitride single crystal layer which remain on the base substrate10after the separation, is not particularly limited, but is preferably 5 μm to 300 μm. These thin films22of the aluminum nitride single crystal layer20having a thickness within this range allow the strain layer to be removed through the undermentioned renewing polishing step. The cutting in the separation step is carried out in parallel to the grown surface (that is, the aluminum-polar face10a) of the base substrate10. When a wire saw is used in the separation step, a wire saw of either type of fixed and free abrasive grains may be used. Preferably, the tension of the wire is adjusted as appropriate so that the thickness of an extra space for the cutting will be thin, for example, approximately 100 to 300 μm. The wire in the cutting may be moved so as to swing. The wire may be successively or intermittently moved in the cutting direction. The swinging movement of the wire during the cutting is properly controlled for preventing cracks from forming due to heat generated by friction in the cutting. For suppressing crack formation accompanying chipping of the periphery of the substrate in the cutting, one may cover the whole or part of the aluminum nitride single crystal substrate1including the base substrate10and the aluminum nitride single crystal layer20with a resin, a cement, or the like prior to the separation step, and thereafter, perform the cutting. At this time, a common epoxy resin or phenolic resin, or any of waxes can be used as the resin herein. The aluminum nitride single crystal substrate1is covered with the resin; thereafter, the resin is cured by a common means such as curing by self-drying, thermosetting, and photo-setting, and thereafter, the cutting is performed. As the cement, common industrial Portland cement, aluminous cement, gypsum, or the like can be used. When cut in the cutting step, the aluminum nitride single crystal substrate1itself may be revolved and swung. The speed of the revolution for the aluminum nitride single crystal substrate1is preferably within the range of 1 rpm and 10 rpm. <Renewing Polishing Step> The renewing polishing step is a step of polishing the surface of the cut face of the base substrate10after the separation. Through the renewing polishing step, the base substrate10, which is formed from an aluminum nitride single crystal and is to be iteratively used as a base substrate, is produced. For removing the strain layer in the renewing polishing step, preferably, the surface of the cut face of the base substrate10after the separation is polished by more than 10 μm, more preferably polished by at least 30 μm, and further preferably polished by at least 100 μm therefrom. The more the polishing amount is, the more the strain layer can be removed. However, a more polishing amount leads to higher industrial costs. Thus, the polishing amount is preferably at most 600 μm, more preferably at most 200 μm, and further preferably at most 100 μm. The presence or not of the strain layer can be evaluated by a half width of an X-ray omega (w) rocking curve of a (103) face. It is measured under the condition that an incident X-ray and the aluminum-polar face10aof the base substrate10after the renewing polishing is no more than 4°. This half width is preferably no more than 200 arcsec. The incident angle between an incident X-ray and the aluminum-polar face10aof the base substrate10after the renewing polishing is more preferably no more than 2°. In view of current measurement techniques, the lower limit of the incident angle between an incident X-ray and the aluminum-polar face10ais 0.1°. The half width of the X-ray omega (w) rocking curve of the foregoing crystal face is more preferably at most 100 arcsec, and further preferably at most 80 arcsec. This half width is preferably at least 10 arcsec. In the measurement of the X-ray omega rocking curve of the foregoing specific crystal face, an X-ray source monochromated by being diffracted twice by a (220) face of a germanium single crystal is preferably used. All the polishing in the renewing polishing step may be carried out by, for example, CMP. For example, when the thickness of the aluminum nitride single crystal layer20layered over the base substrate10after the separation is thick, CMP may be carried out after the thickness is adjusted in advance to be approximately a desired thickness by a means offering a high polishing rate such as mirror finish lapping. The properties of the base substrate10after the separation, which is obtained in the renewing polishing step, hardly change from the base substrate10before the separation. Therefore, the half width of the X-ray omega rocking curve, and the dislocation density of the base substrate10after the separation can be equal to those of the base substrate10before the separation. When the offset angle of the aluminum-polar face10avaries in the separation step from a desired angle, the polishing step of adjusting the offset angle of the aluminum-polar face10aof the base substrate10after the separation to be a desired offset angle may be further carried out. Cleaning the base substrate10after the separation, which is obtained in the renewing polishing step, with the detergent including the fluoroorganic compound of the present invention enables the roughness of the nitrogen-polar face to be suppressed, and the base substrate10, from which foreign substances on the nitrogen-polar face are removed, to be obtained. <Repetition Step> The repetition step is a step of using the base substrate10obtained in the renewing polishing step as the base substrate10(renewed base substrate) for growing a new aluminum nitride single crystal layer20over the polished aluminum-polar face10aof the base substrate10obtained in the renewing polishing step. The repetition step may preferably include carrying out the growth step, the separation step, and the renewing polishing step using the renewed base substrate as a new base substrate10. The repetition step may be iteratively carried out. <Cleaning Step> The production method according to the present invention includes the cleaning step of cleaning, with the aforementioned detergent by the aforementioned cleaning method, the aluminum nitride single crystal substrate obtained in the foregoing grinding polishing step, renewing polishing step, and repetition step. For example, the cleaning step may be carried out on the base substrate10when the base substrate10is separated from the plate after the polishing step, or in the polishing step before the aluminum nitride single crystal substrate is put on the plate, or at both of these timings. In the grinding polishing step, when the aluminum nitride single crystal substrate is put on the plate so that the nitrogen-polar face is opposite the plate, adhesive or the like may be used for fixing the substrate put opposite in the grinding polishing step. The cleaning method according to the present invention enables adhesive or the like adhering onto the nitrogen-polar face in such a case to be also efficiently removed. That is, this cleaning method may be performed before and/or after the grinding polishing step. For surely removing foreign substances while minimizing the number of times of the cleaning steps, preferably, the cleaning method is performed after the polishing step. The cleaning step may be performed in each of plural steps among the grinding polishing step, renewing polishing step, and repetition step. Hereinafter one preferred embodiment concerning the CMP polishing step and the cleaning step of the aluminum nitride single crystal substrate1will be shown.(1) The aluminum-polar face side is fixed to the plate with SHIFTWAX (registered trademark, manufactured by NIKKA SEIKO CO., LTD.), and the nitrogen-polar face side is subjected to CMP processing.(2) The aluminum-polar face side is removed from the plate, and the opposite nitrogen-polar face side is fixed to the plate with SHIFTWAX, and the aluminum-polar face side is subjected to CMP processing.(3) The nitrogen-polar face side is removed from the plate, and thereafter, the cleaning step is performed to clean the aluminum-polar face and the nitrogen-polar face. According to the condition of the substrate after the aluminum nitride single crystal layer has grown, periphery grinding, and/or rough grinding on the aluminum-polar face (the nitrogen-polar face side is fixed to the plate with SHIFTWAX, and the aluminum-polar face side is polished) may be performed before (1). [Aluminum Nitride Single Crystal Substrate] The aluminum nitride single crystal substrate, form which foreign substances on the nitrogen-polar face are removed, can be obtained by the production method according to the present invention. The number of foreign substances remaining on the nitrogen-polar face of the aluminum nitride single crystal substrate obtained in this way is very much reduced. On the nitrogen-polar face, the number of foreign substances of several micrometers or more which can be observed at 500 in observation magnification can be less than 1. Cleaning by the foregoing cleaning method with the foregoing detergent enables the roughness of the nitrogen-polar face to be suppressed, and enables the difference between the average of the mean roughness Ra of the nitrogen-polar face after the cleaning at plural (for example, 5) positions (hereinafter also referred to as “average surface roughness”), and the average surface roughness before the cleaning to be suppressed to be within ±0.4 nm concerning the mean roughness Ra in one field range ((280 μm×210 μm)) when the nitrogen-polar face is measured with a white-light interferometric microscope with an object lens with a magnifying power of 50. The foregoing cleaning method enables variations of the mean roughness Ra of the nitrogen-polar face at plural positions to be further reduced. Specifically, the average surface roughness of the nitrogen-polar face can be at most 2.0 nm, and the standard deviation of the surface roughness Ra can be suppressed to be at most 0.2 nm. The value obtained by dividing this standard deviation by the average surface roughness (coefficient of variation: CV) can be suppressed to be at most 20%. Enabling the roughness of the nitrogen-polar face to be suppressed to remove stain is expected to bring further advantages concerning the following. That is, when the aluminum nitride single crystal substrate is fixed to the plate by the use of the nitrogen-polar face as an adhered face for polishing the aluminum-polar face, the removal of stain on the nitrogen-polar face allows the aluminum nitride single crystal substrate to be firmly adhered to the plate. In addition, when the roughness of the nitrogen-polar face can be suppressed, the contact area between the nitrogen-polar face, which is used as the adhered face, and the plate can be increased. Therefore, the aluminum nitride single crystal substrate can be more firmly adhered to the plate. Firmly adhering the aluminum nitride single crystal substrate to the plate enables the polishing precision of the aluminum-polar face to be improved more. In short, enabling the roughness of the nitrogen-polar face to be suppressed to remove stain is considered to contribute to the improvement in the polishing precision of the aluminum-polar face. EXAMPLES Hereinafter the present invention will be described in detail with examples. The present invention is not restricted to the following examples. Unless otherwise specified in the following, expression “A to B” concerning numeral values A and B shall mean “at least A and less than B”. The nitrogen-polar faces1bof aluminum nitride single crystal pieces and the aluminum nitride single crystal substrates1used in the following examples and comparative examples (hereinafter also referred to as “evaluation piece” as a general term therefor) were evaluated by observation by means of a white-light interferometric microscope and observation by means of a Nomarski differential interference contrast microscope. The method for this evaluation was as follows. <Observation by Means of White-Light Interferometric Microscope> The roughness of the nitrogen-polar face1bwas evaluated by the following method. That is, the center of the nitrogen-polar face1bwas measured by means of a white-light interferometric microscope (NewView (registered trademark) 7300 from AMETEK, Inc.) with an object lens with a magnifying power of 50 to obtain an image of 280 μm×210 μm (58800 μm2). Here, unless otherwise specified, “center” shall be a position of the rotating line of symmetry of the nitrogen-polar face1bwhen the shape of the nitrogen-polar face1bdisplays rotation symmetry. The mean roughness Ra was calculated by the use of data of the surface altitudes on a line segment of 280 μm in length that was in parallel to one long side among four sides constituting the outer shape of the image from the obtained image. The mean roughness Ra is one example of “surface roughness”. This image was visually checked to qualitatively evaluate the condition of the nitrogen-polar face1b. Specifically, an area of 100 μm×100 μm at the center of the image was trimmed to be extracted, it was confirmed whether or not the roughness of the nitrogen-polar face1b(e.g., pits14(seeFIG.2(c)) was present in this extracted area of 100 μm×100 μm, and the condition of the nitrogen-polar face1bwas evaluated as follows. The samples of the condition are shown inFIG.2.“A” (extremely good): flat condition without roughness or a granulated shape12(seeFIG.2)“B” (good): condition where no roughness was confirmed but the granulated shape12slightly emerged“C” (others): rough condition FIG.2shows samples of the evaluation of the condition of the nitrogen-polar face1b.FIG.2(a)is a sample view showing one example of the condition “A”,FIG.2(b)is a sample view showing one example of the condition “B”, andFIG.2(c)is a sample view showing one example of the condition “C”. As shown inFIG.2(a), flat condition without roughness or the granulated shape12on the nitrogen-polar face1bwas evaluated as “A”. As shown inFIG.2(b), condition without conspicuous roughness but with the granulated shape12emerged on the nitrogen-polar face1bwas evaluated as “B”. As shown inFIG.2(c), rough condition on the nitrogen-polar face1bwas evaluated as “C”. “Roughness” on the nitrogen-polar face1bencompasses a substantially circular concave pit14A having a predetermined diameter in a top view, and a rod-like pit14B having a rod-like shape of a predetermined length in a top view. Hereinafter, the concave pit14A and the rod-like pit14B will be also expressed simply as the “pits14” as a general term when not needing to be distinguished from each other to be identified. <Observation by Means of Nomarski Differential Interference Contrast Microscope> The nitrogen-polar face1bwas subjected to brightfield observation with a Nomarski differential interference contrast microscope (ECLIPSE (registered trademark) LVDIA-N manufactured by NIKON CORPORATION) at 500 in observation magnification, and whether stain adhered to the nitrogen-polar face1bin advance remained or not was visually checked. <Cleaning Method> As the cleaning method, any one of the methods of cleaning by irradiating a detergent with an ultrasonic wave (hereinafter also referred to as “ultrasonic cleaning”), cleaning by immersing an evaluation piece in a detergent (hereinafter also referred to as “immersion” simply), and cleaning without irradiating a detergent with an ultrasonic wave was used. The ultrasonic cleaning was carried out by the method of putting water in an ultrasonic cleaner (SONO CLEANER (registered trademark) 100D manufactured by KAIJO corporation) to a designated water level, and putting, in the water, a covered screw bottle (manufactured by AS ONE Corporation) containing 40 ml of a detergent, and an evaluation piece, and irradiating the detergent with an ultrasonic wave (according to the conditions if the conditions are specified elsewhere for the following examples and comparative examples). The frequency of the ultrasonic wave was 40 kHz. The temperature (liquid temperature of the detergent) was varied in the range of 30° C. to 55° C. The cleaning time was 20 to 30 minutes. The immersion was carried out by the method of putting, in a screw bottle, 40 ml of a detergent, and an evaluation piece, covering the screw bottle to immerse the evaluation piece in the detergent for a certain period of time (according to the conditions if the conditions are specified elsewhere for the following examples and comparative examples). The immersion was carried out at room temperature (15° C. to 25° C.). The immersing time was 14 hours to 5 days. The cleaning without an ultrasonic wave was carried out by the method of placing a quartz beaker on a hot plate, and putting 125 ml of a detergent, and an evaluation piece in the beaker. The temperature of the detergent was 50 to 70° C. The cleaning time was varied in the range of 5 to 10 minutes. <Stain> For verifying the effect of cleaning, the following foreign substances were adhered as pseudo-stain. Specifically, as the foreign substances, residues of a thermal release tape (manufactured by NITTO DENKO CORPORATION) that is generally used as a substitution for stain caused by organic foreign substances, or SHIFTWAX (registered trademark) used as an adhesive for fixing the aluminum nitride single crystal substrate1was used. This stain is one example of foreign substances to be removed. Production Example 1 (Producing Aluminum Nitride Single Crystal Substrate1) The aluminum nitride single crystal substrate1used in each of the following examples and comparative examples was produced by using an aluminum nitride single crystal produced by a sublimation method as the base substrate10, layering an aluminum nitride single crystal over the base substrate10by chemical vapor deposition to form the aluminum nitride single crystal layer20, and finishing the aluminum-polar face1aor20a, which was a crystal growth face, and the nitrogen-polar face1bthereopposite by grinding and CMP to be in the state of mirror faces. Concerning the shape of the obtained aluminum nitride single crystal substrate1, the outer diameter was 48.5 mm, and the thickness was approximately 500 μm. Example 1 One aluminum nitride single crystal substrate1produced according to production example 1 was prepared. An image of the nitrogen-polar face1bof this aluminum nitride single crystal substrate1was obtained with a white-light interferometric microscope. The mean roughness Ra of this nitrogen-polar face1bwas measured at one point at the center of the aluminum nitride single crystal substrate1, two respective points apart left and right from the center by 10 mm, and two respective points apart left and right from the center by 20 mm; five points in total. The average of the mean roughness Ra measured at these five points was at most 2.5 nm. The value of the mean roughness Ra measured at each point was also at most 2.5 nm. In the CMP processing, this aluminum nitride single crystal substrate1was fixed to a plate with SHIFTWAX (registered trademark). The SHIFTWAX (registered trademark) was approximately uniformly applied to all over the nitrogen-polar face1bof the aluminum nitride single crystal substrate1. The CMP processing was carried out, and right after this, water was poured to make a slurry and an abrasive flow away. Thereafter, when the aluminum nitride single crystal substrate1was removed from the plate, the temperature of the plate was set at 120° C. to soften the SHIFTWAX (registered trademark), and the aluminum nitride single crystal substrate1was collected. After the collection, it was confirmed whether the SHIFTWAX (registered trademark) adhered to the nitrogen-polar face1bof the aluminum nitride single crystal substrate1or not. The confirmation whether the SHIFTWAX (registered trademark) was present or not was visually carried out using an image obtained by observing with a Nomarski differential interference contrast microscope. As a detergent, Elnova (registered trademark) V3 (manufactured by TOKUYAMA METEL Corporation), which includes a fluoroorganic compound, was used. According to the safety data sheet (SDS), this Elnova (registered trademark) V3 is a detergent formed from four components: that is, 2H,2H,4H,4H,4H-perfluorobutane, ethylene glycol monobutyl ether, a glycol ether compound other than this ethylene glycol monobutyl ether, and a hydrocarbon compound, among which the content of 2H,2H,4H,4H,4H-perfluorobutane in the total detergent is the highest compared with the other three components; and is a cleaning liquid having a specific gravity at 25° C. of 1.02. For a rinse after the cleaning, Elnova (registered trademark) VR3 (manufactured by TOKUYAMA METEL Corporation), and acetone (for electronics industry use, manufactured by KANTO CHEMICAL CO., INC.) were used. According to the safety data sheet (SDS), Elnova (registered trademark) VR3 includes, as well as Elnova (registered trademark) V3, 2H,2H,4H,4H,4H-perfluorobutane as a fluoroorganic compound, where a content of 2H,2H,4H,4H,4H-perfluorobutane in the total liquid is higher compared with that in Elnova V3, and has a specific gravity at 25° C. of 1.27. When Elnova (registered trademark) VR3 is used as a rinse agent like this, it is noted that at least one selected from the group consisting of ethylene glycol monobutyl ether, the glycol ether compound other than this ethylene glycol monobutyl ether, and the hydrocarbon compound may be slightly (for example, approximately less than 1% of the total liquid) included by bringing it by Elnova (registered trademark) V3 used for cleaning. The aluminum nitride single crystal substrate1was dried with a nitrogen blow. Cleaning was carried out by the aforementioned ultrasonic cleaning; specifically, by the method of putting water in an ultrasonic cleaner to a designated water level, and putting, in the water, a crystallizing dish (manufactured by AS ONE Corporation) on which 100 ml of the detergent, and the evaluation piece was put, and irradiating the detergent with an ultrasonic wave. The frequency of the ultrasonic wave was 40 kHz. The liquid temperature in the cleaning was 40 to 50° C. The cleaning time was 30 minutes. An image of the nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning was obtained with a white-light interferometric microscope. The mean roughness Ra of the nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning was calculated from the measurement values of the surface roughness at the same measurement points as the nitrogen-polar face1bof the aluminum nitride single crystal substrate1before the cleaning. Next, by the following formula (1) mean roughness change=(average value after the cleaning)−(average value before the cleaning) (1): the mean roughness change was calculated. As a result of this, the change from the value before the cleaning was −0.2 nm; that is, the result was that the mean roughness Ra of the nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning hardly changed from that before the cleaning. “Average value after the cleaning” was the average value of the mean roughness Ra of the aluminum nitride single crystal substrate1measured at the foregoing five points after the cleaning, and “average value before the cleaning” was the average value of the mean roughness Ra of the aluminum nitride single crystal substrate1measured at the foregoing five points before the cleaning. The mean roughness Ra of the nitrogen-polar face1bat the respective five points after the cleaning was 1.11 nm, 1.44 nm, 1.20 nm, 0.977 nm, and 1.10 nm; the average value of these five points (average surface roughness) was 1.17 nm; the standard deviation was 0.170 nm; and the coefficient of variation (CV) was 14.6%. An image of 100 μm×100 μm that was obtained by trimming the foregoing image was visually confirmed. As a result, no pits14were observed in the range of 100 μm×100 μm. The condition of the nitrogen-polar face1bwas extremely good (evaluation: A). The nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning was observed with a Nomarski differential interference contrast microscope. As a result, stain of several micrometers or more which could be confirmed at 500 in observation magnification was not observed. That is, it was confirmed that stain of several micrometers or more was removed from the nitrogen-polar face1bof the aluminum nitride single crystal substrate1. Comparative Example 1 One aluminum nitride single crystal substrate1that was the same as in example 1 was prepared. Acetone was used as the detergent. Acetone was also used as the rinse after cleaning. The aluminum nitride single crystal substrate1was dried with a nitrogen blow. Other than them, the cleaning was performed under the same conditions in example 1. The nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning was observed with a Nomarski differential interference contrast microscope. As a result, residues of SHIFTWAX (registered trademark) were confirmed. Comparative Example 2 One aluminum nitride single crystal substrate1that was the same as in example 1 was prepared. Isopropyl alcohol was used as the detergent. On a crystallizing dish, 100 ml of isopropyl alcohol was put, and the immersion was carried out at room temperature in the range of 15 and 25° C. for 14 hours. The nitrogen-polar face1bof the aluminum nitride single crystal substrate1after the cleaning was observed with a Nomarski differential interference contrast microscope. As a result, residues of SHIFTWAX (registered trademark) were confirmed. The results of example 1 and comparative examples 1 and 2 were summarized as in table 1. TABLE 1RoughnessRaLiquidUltra-[average value]Condition of[average value]Detergenttemper-sonicafter cleaning -nitrogen-polarbefore cleaningmajoraturewaveTimebefore cleaningface afterStain(nm)kindcomponent(° C.)(kHz)(min)(nm)cleaning(SHIFTWAX)Example 1≤2.5fluorine-hydrofluorocarbon40 to 504030−0.2AnonebasedsolventComparative≤2.5acetone40 to 504030presentExample 1Comparativeisopropyl alcohol15 to 25—14 hourspresentExample 2 Production Example 2 (Producing Aluminum Nitride Single Crystal Piece) An aluminum nitride single crystal substrate1produced by the same method as in the production example 1 except that the outer diameter was 23 mm was cut into approximately 5 mm×5 mm to prepare an aluminum nitride single crystal piece. Example 2 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. Images of nitrogen-polar faces of these three aluminum nitride single crystal pieces were each obtained with a white-light interferometric microscope. From each of the images, the mean roughness Ra of the nitrogen-polar face of each of the three aluminum nitride single crystal pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was at most 2.5 nm. As the detergent, the aforementioned (see example 1) Elnova (registered trademark) V3 was used. As the rinse after the cleaning, the aforementioned Elnova (registered trademark) VR3, and acetone were used. The aluminum nitride single crystal pieces were dried with a nitrogen blow. The cleaning was carried out by the foregoing method by ultrasonic cleaning. As the cleaning conditions, the liquid temperature was 30 to 40° C., and the cleaning time was 30 minutes. Images of the nitrogen-polar faces were obtained after the cleaning with a white-light interferometric microscope, and the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces were measured again. Thereafter, the mean roughness change was calculated by the foregoing formula (1). The calculated change was −0.3 nm. The result was that the mean roughness Ra after the cleaning hardly changed from that before the cleaning. In this example, “average value after the cleaning” was the average value of the mean roughness Ra of the three aluminum nitride single crystal pieces measured after the cleaning, and “average value before the cleaning” was the average value of the mean roughness Ra of the three aluminum nitride single crystal pieces measured before the cleaning. In the following description, the explanation same as that described in example 2 may be omitted. Images of 100 μm×100 μm each that were obtained by trimming the foregoing images were visually confirmed. As a result, no pits14were observed in the range of 100 μm×100 μm. The condition of the nitrogen-polar face was extremely good. Example 3 The same operation as in example 2 was performed except that the liquid temperature in the cleaning was changed to 40 to 50° C. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 0.1 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was extremely good. Example 4 The same operation as in example 2 was performed except that the liquid temperature in the cleaning was changed to 50 to 55° C., and the cleaning time was changed to 20 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was −0.3 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was extremely good. Example 5 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. The mean roughness of the nitrogen-polar faces of each of these pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was 3.0 nm to 4.0 nm. Other than the foregoing, the same operation as in example 2 was performed. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was −0.1 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was good although the granulated shape12slightly emerged on the nitrogen-polar faces compared with the nitrogen-polar faces obtained in example 2, 3 or 4. Example 6 The same operation as in example 5 was performed except that the liquid temperature in the cleaning was changed to 40 to 50° C. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The absolute value of the mean roughness change of the nitrogen-polar face was less than nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was good although the granulated shape12slightly emerged on the nitrogen-polar faces. Example 7 The same operation as in example 5 was performed except that the liquid temperature in the cleaning was changed to 50 to 55° C., and the cleaning time was changed to 20 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The absolute value of the mean roughness change of the nitrogen-polar face was less than nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was good although the granulated shape12slightly emerged on the nitrogen-polar faces. Example 8 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. The mean roughness of the nitrogen-polar faces of each of these pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was at most 2.5 nm. As the detergent, Elnova (registered trademark) V3 was used as well as examples 2 to 7. As the rinse after the cleaning, Elnova (registered trademark) VR3, and acetone were used in order. The aluminum nitride single crystal pieces were dried with a nitrogen blow. The cleaning was carried out by the foregoing method by immersion. The immersion was performed at room temperature of 15 to 25° C., and the immersing time was 2 days. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 0.1 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. The condition of the nitrogen-polar face was extremely good. Comparative Example 3 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. The mean roughness of the nitrogen-polar faces of each of these pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was at most 2.5 nm. As the detergent, acetone was used. As the rinse after the cleaning, acetone was also used. Drying was carried out with a nitrogen blow. Other than the foregoing, the operation was performed by the same cleaning method and under the same conditions as in example 2. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 0.7 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. However, as looked at in the following reference comparative example 1, when acetone was used as the detergent, stain was not removed effectively. Comparative Example 4 The same operation as in comparative example 3 was performed except that the liquid temperature in the cleaning was changed to 40 to 50° C. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 0.3 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. However, as looked at in the following reference comparative example 1, when acetone was used as the detergent, stain was not removed effectively. Comparative Example 5 The same operation as in comparative example 3 was performed except that the liquid temperature in the cleaning was changed to 50 to 55° C., and the cleaning time was changed to 20 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The absolute value of the mean roughness change of the nitrogen-polar face was less than 0.1 nm. The result was that the mean roughness Ra of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning hardly changed from that before the cleaning. No pits14were observed in the range of 100 μm×100 μm as a result of visual observation of the images. However, as looked at in the following reference comparative example 1, when acetone was used as the detergent, stain was not removed effectively. Comparative Example 6 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. The mean roughness of the nitrogen-polar faces of each of these pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was at most 2.5 nm. As the detergent, an acid detergent that was a mixture of 100 ml of sulfuric acid (guaranteed reagent, manufactured by FUJIFILM Wako Pure Chemical Corporation) and 25 ml of hydrogen peroxide (guaranteed reagent, manufactured by FUJIFILM Wako Pure Chemical Corporation) (the mixing ratio was 4:1) was used. As the rinse after the cleaning, ultrapure water was used. The aluminum nitride single crystal pieces were dried with a nitrogen blow. The cleaning was carried out by the method of cleaning with no ultrasonic wave. The temperature of the detergent was 50 to 70° C. The cleaning time was 5 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 1.4 nm. The cleaning deteriorated the mean roughness Ra of the nitrogen-polar face. As a result of visual observation of the images, plural concave pits14A and one rod-like pit14B were also observed in the range of 100 μm×100 μm. Comparative Example 7 The same operation as in comparative example 6 was performed except that the cleaning time was changed to 10 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 1.7 nm. The cleaning deteriorated the mean roughness Ra of the nitrogen-polar face. As a result of visual observation of the images, plural concave pits14A were also observed in the range of 100 μm×100 μm. Comparative Example 8 Three aluminum nitride single crystal pieces produced according to production example 2 were prepared. The mean roughness Ra of the nitrogen-polar faces of each of these pieces was measured by the foregoing method. As a result, the mean roughness Ra of each of all the aluminum nitride single crystal pieces was at most 2.5 nm. As the detergent, 40 ml of a 10% diluted solution of CLEANTHROUGH (registered trademark) KS-3053 (manufactured by Kao Corporation) with ultrapure water was used. According to the safety data sheet (SDS), the major component of this CLEANTHROUGH (registered trademark) KS-3053 is alkyl carbitol. As the rinse after the cleaning, ultrapure water was used. Drying was carried out with a nitrogen blow. The cleaning method was carried out by the same method as in comparative example 5. The cleaning time was 20 minutes. Images of the nitrogen-polar faces of the aluminum nitride single crystal pieces after the cleaning were obtained with a white-light interferometric microscope. The mean roughness change of the nitrogen-polar face was 2.6 nm. The cleaning deteriorated the mean roughness Ra of the nitrogen-polar face. As a result of visual observation of the images, plural concave pits14A were also observed in the range of 100 μm×100 μm. Complement Experiment In example 1, the cleaning concerning the removal of stain was looked at by adhering residues of SHIFTWAX (registered trademark) as one example. In the following reference examples, the cleaning was looked at by adhering residues of a thermal release tape instead of residues of SHIFTWAX (registered trademark). For a subject to be evaluated, the aluminum nitride single crystal pieces produced according to production example 1 were used in example 1, but a glass piece was used in the following examples. (Stain) Stain was adhered by pasting, and thereafter, pressurizing, with a pasting machine, a thermal release tape on the surface of a glass plate before cutting; thereafter, heating the thermal release tape to 100 to 150° C. to foam the thermal release tape, and removing the tape from the surface of the glass plate. (Evaluation) The surface of the glass piece after the cleaning was subjected to brightfield observation with a Nomarski differential interference contrast microscope at 500 in observation magnification, and whether residues of the thermal release tape were present on the surface of the glass piece or not was visually checked. Production Example 3 (Producing Glass Piece) The glass piece used in each of the following reference examples and reference comparative examples were produced by cutting a glass plate of 100 mm×100 mm having a thickness of 1 mm (manufactured by Matsunami Glass Ind., Ltd.) with a glass cutter into a size of approximately 2 mm×7 mm. Reference Example 1 (Detergent Including Fluorine-Based Compound) Three glass pieces produced according to production example 3 were prepared, and each cleaned under the same cleaning conditions as in examples 2, 3, 4 and 8. After the cleaning, the surfaces of the glass pieces were observed with a Nomarski differential interference contrast microscope. As a result, stain of several micrometers or more which could be confirmed at 500 in observation magnification was not observed on every surface. That is, it was confirmed that stain of several micrometers or more was removed from the surfaces of the glass pieces under every condition. Reference Comparative Example 1 (Acetone and Isopropyl Alcohol) Three glass pieces produced according to production example 3 were prepared, and each cleaned under the same cleaning conditions as in comparative examples 3, 4 and 5. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, stain was confirmed on all the three glass pieces under every condition. This stain was different from that adhered before the cleaning in shape, and had a more dotted shape than that confirmed before the cleaning. From this, it was considered that the stain had been dissolved and were almost removed, or had been removed from the surface of the glass pieces during the cleaning, but adhered again. Three glass pieces produced according to production example 3 were prepared. As the detergent, isopropyl alcohol (for electronics industry use, manufactured by KANTO CHEMICAL CO., INC.) was used. As the rinse after the cleaning, isopropyl alcohol was also used. The glass pieces were dried with a nitrogen blow. Other than the foregoing, the operation was performed by the same cleaning method and under the same conditions as in comparative example 5 except that the liquid temperature in the cleaning was changed to 40 to 55° C. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, residues were confirmed on all the three glass pieces. Three glass pieces produced according to production example 3 were prepared. As the detergent, acetone was used. As the rinse after the cleaning, acetone was also used. The glass pieces were dried with a nitrogen blow. The cleaning was carried out by the foregoing method by immersion. The immersion was performed at room temperature of 15 to 25° C., and the immersing time was 5 days. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, residues were confirmed on all the three glass pieces. Three glass pieces produced according to production example 3 were prepared. As the detergent, isopropyl alcohol was used. As the rinse after the cleaning, isopropyl alcohol was also used. The glass pieces were dried with a nitrogen blow. The cleaning method was carried out by the foregoing method by immersion. The immersion was performed at room temperature of 15 to 25° C., and the immersing time was 5 days. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, residues were confirmed on all the three glass pieces. Reference Comparative Example 2 (Acid Detergent) Three glass pieces produced according to production example 3 were prepared, and cleaned under the same cleaning conditions as in comparative example 6. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, residues were confirmed on all the three glass pieces. The cleaning was also performed under the same cleaning conditions as in comparative example 7. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, it was confirmed that stain was removed from all the three glass pieces. Reference Comparative Example 3 (Alkaline Detergent) Three glass pieces produced according to production example 3 were prepared, and cleaned under the same cleaning conditions as in comparative example 8. The surfaces of the glass pieces after the cleaning were observed with a Nomarski differential interference contrast microscope. As a result, residues were confirmed on all the three glass pieces. The results of examples 2 to 8, comparative examples 3 to 8, reference example 1, and reference comparative examples 1 to 3 were summarized as in table 2. TABLE 2RoughnessRaLiquidUltra-[average value]Condition of[average value]Detergenttemper-sonicafter cleaning -nitrogen-before cleaningmajoraturewaveTimebefore cleaningpolar faceStain(nm)kindcomponent(° C.)(kHz)(min)(nm)after cleaning(thermal release tape)Example 2at most 2.5fluorine-hydrofluorocarbon30 to 404030−0.3Anonebased(reference example 1)solventExample 340 to 5040300.1Anone(reference example 1)Example 450 to 554020−0.3Anone(reference example 1)Example 5at most 4.030 to 404030−0.1BExample 6(at least 3.0)40 to 5040300.0BExample 750 to 5540200.0BExample 8at most 2.515 to 2512 days0.1Anone(reference example 1)Comparativeat most 2.5acetone30 to 4040300.7ApresentExample 3(reference comparativeexample 1)Comparative40 to 5040300.3ApresentExample 4(reference comparativeexample 1)Comparative50 to 5540200.0ApresentExample 5(reference comparativeexample 1)Referenceisopropyl alcohol40 to 554020presentComparativeacetone15 to 25—5 dayspresentExample 1isopropyl alcohol15 to 25—5 dayspresentComparativeat most 2.5acidsulfuric acid,50 to 70none51.4CpresentExample 6detergenthydrogen(reference comparativeperoxide (4:1)example 2)Comparativesulfuric acid,50 to 70none101.7CnoneExample 7hydrogen(reference comparativeperoxide (4:1)example 2)Comparativealkalinealkyl carbitol50 to 5540202.6CpresentExample 8detergent(reference comparativeexample 3) As shown in comparative examples 6 to 8, an acid or alkaline detergent was not suitable for cleaning a nitrogen-polar face, particularly a super-flat nitrogen-polar face because the nitrogen-polar face roughened. This is considered to be because an acid or alkaline detergent decomposes a nitrogen-polar face. As shown in comparative examples 6 and 7, when the cleaning time was shortened when an acid detergent was used, residues could not be removed. As shown in comparative examples 3 to 5, and reference comparative example 1, acetone or isopropyl alcohol allowed the roughness of the nitrogen-polar face to be almost suppressed, but brought about no effective result concerning the removal of stain. It is considered that acetone or isopropyl alcohol does not decompose a nitrogen-polar face, and thus, allows the roughness of a nitrogen-polar face to be almost suppressed, but hardly allows particles and metallic or ionic impurities of an order of 1/10 to several micrometers to be removed, which makes it difficult to remove organic foreign substances after polishing by CMP. In contrast, as shown in examples 1 to 8, a detergent including a fluoroorganic compound is considered to be suitable for effectively removing stain while suppressing the roughness of the nitrogen-polar face. This is considered to be because a detergent containing a fluoroorganic compound does not decompose a nitrogen-polar face, but has a component that allows foreign substances to be removed without the removed foreign substances adhered again. REFERENCE SIGNS LIST 1aluminum nitride single crystal substrate1aaluminum-polar face of the aluminum nitride single crystal substrate1bnitrogen-polar face of the aluminum nitride single crystal substrate10base substrate (substrate formed from an aluminum nitride single crystal)10aaluminum-polar face of the base substrate10bnitrogen-polar face of the base substrate20aluminum nitride single crystal layer20aaluminum-polar face of the aluminum nitride single crystal layer20bnitrogen-polar face of the aluminum nitride single crystal layer22thin film12granulated shape14pit14A concave pit14B rod-like pit | 100,797 |
11859313 | DETAILED DESCRIPTION OF THE INVENTION Hereinafter, the present embodiment will be described in detail with reference to the drawings. The drawings used in the following description may show, for convenience's sake, the features of the present disclosure in enlarged form, and the dimensional proportions of the components may be different from those in practice. The materials, dimensions, and the like exemplified in the following description are only examples, and the present disclosure is not limited thereto, and the disclosure can be carried out by appropriately changing the gist thereof without changing it. In addition, in each figure, components known to those skilled in the art other than those described in the figure may be omitted. (SiC Single Crystal Substrate) FIG.1is a schematic plan view of a SiC single crystal substrate according to the present embodiment. The SiC single crystal substrate1shown inFIG.1is an 8-inch SiC single crystal substrate with a diameter in a range of 195 mm to 205 mm, a thickness in a range of 300 μm to 650 μm, SORI is 50 μm or less, and the in-plane variation of the thickness of the substrate is 2.0 μm or less. Although there are no particular restrictions on the outer shape of the SiC single crystal substrate1, substrates with various flat shapes and various thicknesses can be used, but the substrate is typically disk-shaped. The thickness of the SiC single crystal substrate can be in the range of, for example, 300 μm to 650 μm. SiC single crystal substrate1is preferably 4H-SiC, because SiC comes in a variety of polytypes, but 4H-SiC is mainly used to make practical SiC devices. One side of the SiC single crystal substrate1is the surface (the main surface) on which the SiC epitaxial layer is formed. It is preferable that the main surface is the c-plane (the (0001) plane of the 4H-SiC crystal) or a surface inclined at an inclination angle (off angle) greater than 0° and less than 10° with respect to c-plane. It is a 4H-SiC type single crystal wafer. The off-angle is preferably greater than 0° and less than 10° in the <11-20>direction of the c-plane. Since the larger the off angle, the smaller the number of wafers obtained from the SiC single-crystal ingot, the smaller the off angle is preferable from the viewpoint of cost reduction. SiC single crystal substrates with an off-angle of, for example, 0.4° to 5° can be used. 0.4° can be said to be the lower limit as the off angle at which step-flow growth is possible. The SiC single crystal substrate may contain impurities depending on the application. For example, nitrogen (n-type), boron, aluminum (p-type), etc. can be included to adjust its conductivity or resistivity. The main surface (hereinafter, this surface may be referred to as the ‘front surface’.) of the SiC single crystal substrate1is a mirror surface. This is because that it is necessary to form an epitaxial layer on the front surface of the SiC single crystal substrate by the epitaxial growth of SiC single crystal in order to fabricate various SiC devices. The mirror surface of the front surface is formed by mirror-finishing the surface of the cut substrate, which is obtained by cutting a part to be substrate from a SiC single crystal ingot manufactured using sublimation method or the like. The other surface (hereafter, this surface may be referred to as the ‘back surface’.) need not be a mirror surface, but in the case of a SiC single crystal substrate whose front surface is a mirror surface and whose back surface is not, a difference in residual stress occurs between the front surface and the back surface, and the substrate is warped to compensate for the residual stress (Twyman effect). By making the back surface also mirror surface, the warp of the substrate caused by the Twyman effect can be suppressed. A method has been developed to fabricate a SiC single crystal substrate with low warp, whose front surface is mirror-finished and whose back surface is not mirror-finished (see, e.g., Patent Literature 2). The SiC single crystal substrate1has a notch2, which is a marker of the crystal orientation, but may have OF (orientation flat) instead of notch2. The SORI of the SiC single crystal substrate1is preferably 50 μm or less, more preferably 40 μm or less, more preferably 30 μm or less, and more preferably 20 μm or less. SORI is one of the parameters that indicates the degree of warp of the substrate, and is expressed as the sum of the normal distances from the least square plane calculated by the least-squares method using all the data on the surface of the substrate to the highest and lowest points on the surface of the substrate when measured to support the back surface of the substrate without changing the original shape of the substrate. That is, when the least square plane of the substrate surface is taken as the reference height (least square plane height), as shown inFIG.2, the figure shows the sum ((a)+(b)) of the distance (a) between the height at the highest point of the substrate surface and the reference height, and the distance (b) between the height at the lowest point and the reference height. The thickness of the work-affected (work-altered) layers on both the front and back sides of the SiC single crystal substrate1is preferably 0.1 nm or less. <Relationship Between Work-Affected Layer and SORI> The SiC single crystal substrate is prepared by slicing SiC single-crystal ingot and flattening the surfaces. Such mechanical processing introduces distortion due to the mechanical processing into the surface of the substrate. The layer where the processing distortion occurs on the surface of a SiC single crystal substrate is called a work-affected (work-altered) layer as described above. When a work-affected layer (work-altered layer) is formed on the front and back surfaces, the difference in the processing distortion occurs on the front and back surfaces, and the difference also occurs in the residual stress, causing the warp of the substrate by the Twyman effect. The shape or the warp of the substrate is determined by the balance of stress conditions generated by the work-affected layers on both sides of the substrate. FIG.3is a conceptual diagram showing the process of reducing the depth d (d1, d2, d3, d4) of the work-affected layer by mechanical processing. For example,FIG.3(a)is a conceptual cross-sectional view near the surface after lapping process,FIG.3(b)is a conceptual cross-sectional view near the surface after polishing process,FIG.3(c)is a conceptual cross-sectional view near the surface after finish-grinding, andFIG.3(d)is a conceptual cross-sectional view near the surface after CMP. FIG. 14 of Patent Literature 3 shows the relationship between the depth of the work-affected layer of a single-crystal SiC wafer and SORI. The graph shows that the deeper the work-affected layer, the larger the SORI value. Also, when comparing a 6-inch SiC single crystal substrate with a 4-inch SiC single crystal substrate, the 6-inch SiC single crystal substrate was more susceptible to the work-affected layer than the 4-inch SiC single crystal substrate, resulting in a larger SORI. Therefore, when comparing an 8-inch SiC single crystal substrate with a 6-inch SiC single crystal substrate, it is inferred that the 8-inch SiC single crystal substrate is more susceptible to the effect of the work-affected layer, resulting in a larger SORI. Therefore, it is more important to remove the work-affected layer to reduce the warp for the 8-inch SiC single crystal substrate than for the 6-inch SiC single crystal substrate. The in-plane variation of the substrate thickness of the SiC single crystal substrate1is 2.0 μm or less. The in-plane variation of the thickness is preferably 1.5 μm or less, and more preferably 1.0 μm or less. In the SiC single crystal substrate according to the present embodiment, reduction of in-plane variation in thickness is realized by performing lapping using a novel lapping slurry. In the present specification, “in-plane variation in substrate thickness” is expressed as the difference between the maximum and minimum values obtained of the thicknesses of a SiC single crystal substrate measured by a dial gauge or the like at five points (one center point and four points (positions shown as reference signs1ato1einFIG.1) on the circumference of a circle having a radius half the radius of the substrate). FIG. 14 in Patent Literature 3 shows the relationship between the depth of the work-affected layer, which is one parameter for the work-affected layer, and SORI. In developing an 8-inch SiC single crystal substrate with high yield, the inventor focused on in-plane variations in the depth of the remaining work-affected layer. Even though the work-affected layer can be almost completely removed when the planarization process is carried out over a sufficiently long time at the laboratory level, it is assumed that the work-affected layer will remain somewhat when the planarization process is carried out in a practical time. In addition, although the depth d of the work-affected layer conceptually shown inFIG.3is drawn assuming an average depth, it is not exactly a uniform depth in reality, and it is assumed that a thin work-affected layer remains over the entire surface to have a slight variation in depth, or a work-affected layer remains locally on the surface. In such a case, it is inferred that the effect of the in-plane variation in the depth of the work-affected layer on SORI is greater in the case of an 8-inch SiC single crystal substrate than in the case of a 6-inch SiC single crystal substrate. The in-plane variation in the substrate thickness of the SiC single crystal substrate1is considered to reflect the in-plane variation in the depth of the work-affected layer. The density of micropipe defects in the SiC single crystal substrate1is preferably 1/cm2or less. In the SiC single crystal substrate1, the total number of etch pits appearing by KOH etching is preferably 5×109or less, more preferably 5×108or less, and even more preferably 5×107or less. In this case, KOH etching was performed at 550° C. for 10 minutes. The total number of etch pits corresponds to the total number of dislocations. In the SiC single crystal substrate1, the density of the etch pits identified as threading dislocations (TD) is preferably 2×103/cm2or less and the density of the etch pits identified as basal plane dislocations is preferably 5×103/cm2or less. The density of etch pits identified as threading dislocations (TD) is more preferably 1×103/cm2or less, and even more preferably 5×102/cm2or less. The density of etch pits identified as the basal plane dislocations is more preferably 2×103pits/cm2or less, more preferably 1×103pits/cm2or less, and more preferably 5×102pits/cm2or less. Here, threading dislocation is a combination of threading screw dislocation (TSD) and threading edge dislocation (TED). The type of dislocation can be determined from the shape of the etch pits that appear by KOH etching using an optical microscope or the like, and the number of etch pits per unit area can be counted. In general, an etch pit with a medium hexagonal shape corresponds to a threading screw dislocation (TSD), an etch pit with a small hexagonal shape corresponds to a threading edge dislocation (TED), and an etch pit with an elliptical shape (shell shape) corresponds to a basal plane dislocation (BPD). In addition, an etch pit with a large hexagonal shape corresponds to a micropipe (MP). (Method of Manufacturing SiC Single Crystal Substrate) The method of manufacturing a SiC single crystal substrate according to the present embodiment is explained separately for the fabrication process of a SiC single crystal ingot and the fabrication process of the SiC single crystal substrate from the ingot. <Fabrication Process of SiC Single Crystal Ingot> Continuing intense research, the inventor found that more precise control of temperature gradients in the radial and vertical directions (crystal growth direction) for a SiC single crystal ingot with 6-inch diameter was a key point in the fabrication of a SiC single crystal ingot with 8-inch diameter. It was found that more precise control of the temperature gradient in the radial and vertical directions (crystal growth direction) could be realized by applying the method disclosed in Patent Literature 4. Specifically, a SiC single crystal manufacturing apparatus with a heat-insulating member that can move along the extending direction of the guide member outside the guide member that guides crystal growth can be used. It is not limited to the method disclosed in Patent Literature 4 as a method for more precise control of temperature gradients in the radial and vertical directions (crystal growth direction). In the transition to the large diameter SiC single crystal ingot, the application of the fabrication method of SiC single crystal ingots with conventional diameter does not yield large diameter SiC single crystal ingots with similar crystal quality. For example, the following problems occurred during the transition from a 4-inch diameter SiC single crystal ingot to a 6-inch diameter SiC single-crystal ingot (see Patent Literature 1). In the growth of SiC single crystals by the sublimation recrystallization method using a seed crystal, it is necessary to make the surface shape of the ingot during growth to be nearly convex in the growth direction as one of the growth conditions to realize high crystal quality. This is because, for example, in the case of 4H-type SiC single crystals used in power devices, when growing roughly parallel to the <0001> axis, i.e., the c-axis direction of the crystal, the SiC single crystal grows by the evolution of spiral steps extruded from the threading screw dislocations. Therefore, it is said that by being generally convex, there is essentially a single step supply source on the growth surface to being able to improve the polytype stability. If the growth surface has a concave surface or multiple convex parts, there will be multiple sources supplying growth steps and steps delivered from different sources will collide. In such a case, not only defects such as dislocations are generated from the parts where they collide, but also the state of atomic stacking in the c-axis direction, which is unique to the 4H-type polytypes, becomes easily disturbed, so that different kinds of polytypes with different stacking structures, such as the 6H-type and the 15R-type, are generated and micropipe defects are generated. Therefore, for example, in order to stabilize a 4H-type polytype suitable for power devices and grow a so-called single polytype crystal composed of only 4H-type polytype, it is important to make the growth surface shape of the grown crystal roughly convex. Specifically, the convex shape of the grown crystal is realized by optimizing the temperature at the center of the grown crystal in terms of the growth rate, etc., and by controlling the temperature distribution during growth, that is, the shape of the isotherm, so that it becomes roughly convex. It was thought that the SiC single-crystal ingot grown under such growth conditions where the roughly convex isotherm was realized would grow to be approximately parallel to the isotherm, thereby ensuring the above polytype stability. However, when the diameter of a growing crystal is more than 150 mm (6 inches), if the temperature at the center of the growing crystal is optimized to be equivalent to that of a conventional single crystal growth of 100 mm (4 inches) in terms of growth rate, etc., while controlling the temperature gradient during growth so that the growth surface shape of the growing crystal is roughly convex in the growth direction, the temperature around the seed crystal inevitably becomes higher than that in the case of small diameter crystal growth. As a result, there was a problem that the SiC single crystal of the seed crystal itself was easily pyrolyzed at the periphery on the outer side. For this problem, Patent Literature 1 solved the problem by using a seed crystal composed of a silicon carbide single crystal with a thickness of 2.0 mm or more as the main solution. In the present disclosure, in order to fabricate a SiC single crystal ingot with 8-inch diameter, we have succeeded in fabricating a SiC single crystal ingot with 8-inch diameter having characteristics comparable to those of a SiC single-crystal ingot with 6-inch diameter by controlling not only the temperature gradient in the radial direction but also the temperature gradient in the vertical direction (the crystal growth direction) using a heat insulating member that can move along the extending direction of the guide member on the outside of the guide member to guide the crystal growth, as is not the typical method for fabricating a SiC single crystal ingot with 6-inch diameter as shown in Patent Literature 1. The SiC single crystal manufacturing apparatus and the fabrication process of a SiC single crystal ingot is described below. FIG.4is a schematic cross-sectional view of an example of a SiC single crystal manufacturing apparatus for carrying out the fabrication process of SiC single crystal ingots. The SiC single crystal manufacturing apparatus100, as shown inFIG.4, includes a crucible10, a seed crystal installation part11, a guide member20, and a heat-insulating member30. InFIG.4, a raw material G, a seed crystal S, and a single crystal C grown on the seed crystal S are illustrated simultaneously for better understanding. As shown in the drawings, a direction in which the seed crystal installation part11and the raw material G face each other is defined as a vertical direction, and a direction perpendicular to the vertical direction is defined as a left-and-right direction. The crucible10surrounds a film formation space K in which the single crystal C grows. A well-known crucible may serve as the crucible10as long as it is a crucible which can produce the single crystal C by a sublimation method. For example, a crucible made of graphite, tantalum carbide or the like can be employed. The crucible10is hot during growth, which is necessarily formed of a material tolerable to high temperature. For example, graphite has a very high sublimation temperature of 3550° C., and thus is tolerable to the high temperature during growth. The seed crystal installation part11is provided at a position facing the raw material G in the crucible10. A raw material gas can be efficiently supplied to the seed crystal S and the single crystal C since the seed crystal installation part11is located at a position facing the raw material G. The guide member20extends from a periphery of the seed crystal installation part11toward the raw material G. That is, the guide member20is disposed along a crystal growth direction of the single crystal C. Consequently, the guide member20serves as a guide when the single crystal C crystal-grows from the seed crystal S. Crystal growth is carried out on an inner side, that is, on an inner surface side of the guide member20. A lower end of the guide member20is supported by a support21. The support21closes a space between the lower end of the guide member20and the crucible10to suppress entry of the raw material gas into a region outside the guide member20. If the raw material gas intrudes into the region, polycrystals grow between the guide member20and the heat-insulating member30, and the free movement of the heat-insulating member30is inhibited. A connection between the guide member20and the support21is preferably a caulking structure. The caulking structure is a structure designed to tighten the connection between the guide member20and the support21in case where physical force is applied to the guide member20. For example, a screw structure in which the connection is threaded is an example of the caulking structure. The guide member20may be in physically contact with the crystal-grown single crystal C, in which the guide member20can be prevented from falling off. The guide member20inFIG.4extends vertically in the vertical direction. The shape of the guide member20is not limited to the shape shown inFIG.4, and examples of the shape includes a tubular shape such as a cylindrical shape, and a truncated cone. A thickness of the guide member may be uniform. A length, an inner diameter and an outer diameter of the member may be optionally selected.FIG.5is a schematic cross-sectional view showing another example of the SiC single crystal manufacturing apparatus101according to the embodiment. The guide member25inFIG.5is expanded in diameter toward the raw material G from the seed crystal installation part11. The diameter of the single crystal C can be increased by expanding the diameter of the guide member25. An upper end of the guide member20is open in the example shown inFIG.4. However, the upper end of the guide member20may be connected to an inner surface of the crucible10to close a space where the heat-insulating member30is provided. A surface of the guide member20is preferably coated with tantalum carbide. The guide member20is always exposed to the raw material gas to control the flow of the raw material gas. For example, in a case where the guide member20is formed of graphite and the guide member20is used while graphite is completely exposed, graphite may react with the raw material gas to be deteriorated and get damaged. Deterioration and damage of graphite may cause the guide member20to be perforated, and also cause a phenomenon that carbon powder peeled by degradation is taken into the single crystal C and the quality of single crystal C becomes worse. Meanwhile, tantalum carbide can tolerable to high temperature and does not cause an undesirable reaction with the raw material gas. Therefore, high-quality SiC single crystal growth can be stably performed. The guide member20may be formed of tantalum carbide only. The heat-insulating member30is movable along an extension direction of the guide member20on the outside of the guide member20. The outside of the guide member20may indicate an outer surface side of the guide member. A position of a surface Ca of the single crystal C can move due to growth. The heat-insulating member30is moved, whereby it is possible to control a positional relationship between an end surface on a raw material G side of the heat-insulating member30(hereinafter referred to as a lower surface30a) and the surface Ca of the single crystal C. Therefore, a temperature distribution in the vicinity of the surface Ca of the single crystal C can be freely controlled, and a surface shape of the crystal-grown single crystal C can also be freely controlled. In the process of crystal growth, the positional relationship between the end surface30aon the raw material side of the heat-insulating material30and the surface Ca of the single crystal C can be controlled. In addition, the end surface30aon the raw material side of the heat insulating material30can be located within 20 mm from the surface Ca of the single crystal C during the crystal growth process. Further, in the process of crystal growth, the end surface30aon the raw material side of the heat-insulating material30can be located closer to the seed crystal installing portion11with respect to the surface Ca of the single crystal C. The thickness of the heat-insulating material30can be set to half or less of the growth amount of the SiC single crystal ingot manufactured to be0.2mm or more. FIGS.6A to6Care schematic cross-sectional views, each showing a preferred example of a driver for moving the heat-insulating member30up and down. The driver is not particularly limited as long as the heat-insulating member30can be moved in the vertical direction. For example, as shown inFIG.6A, a driving member31extending to the outside of the crucible10from an upper portion of the heat-insulating member30may be provided to move the heat-insulating member30by pushing and pulling the driving member up and down. An upper surface of the crucible10may be provided with a notch or an opening for passing the driving member. For example, as shown inFIG.6B, a lift-type driving member32may be provided such that the heat-insulating member30may be supported from a lower portion thereof. For example, as shown inFIG.6C, a notch or opening may be provided in part of a side surface of the crucible10, and a driving member33extending to the outside of the crucible10may be provided through the notch or opening to move the heat-insulating member30by raising and lowering the driving member. The heat-insulating member30is preferably made of a material having thermal conductivity of 40 W/mk or less at high temperature of 2000° C. or more. Examples of the material having thermal conductivity of 40 W/mk or less at high temperature of 2000° C. or more include a graphite member having thermal conductivity of 120 W/mk or less at normal temperature. Moreover, it is more preferable that the heat-insulating member30is formed of a material having thermal conductivity of 5 W/mk or less at high temperature 2000° C. or more. Examples of the material having thermal conductivity of 5 W/mk or less at high temperature 2000° C. or more include a felt material mainly containing graphite and carbon. The shape of the heat-insulating member30is appropriately designed in accordance with a shape of a region sandwiched by the guide member20and the inner surface of the crucible10. The shape of the heat-insulating member can be optionally selected, and may be, for example, donut shaped. As shown inFIG.4, in a case where a distance between the guide member20and the inner surface of the crucible10is constant, the heat-insulating member30can be arranged to fill in a gap between them. As shown inFIG.5, in a case where the distance between the guide member25and the inner surface of the crucible10varies, the shape of the heat-insulating member30can be designed in accordance with the position at which the gap between them is the narrowest such that a width of the heat-insulating member30is adjusted to be the same as or smaller than a distance at which the gap between them is the narrowest. With such a design, the heat-insulating member35is movable, and immovable clogging between the guide member25and the inner surface of the crucible10can be avoided. The thickness of the heat-insulating member30can be optionally selected, but preferably 0.2 mm or more, more preferably 5 mm or more, still more preferably 20 mm or more. In a case where the heat-insulating member30is too thin, a sufficient heat-insulating effect may not be achieved. It is preferable that the thickness of the heat-insulating member30is half or less of a length of the single crystal finally manufactured. The length of the single crystal indicates a length in the vertical direction of the single crystal C after crystal growth (the growth amount of the single crystal C). In a case where the growth amount of the single crystal is 100 mm, the thickness of the heat-insulating member30is preferably 50 mm or less. In a case where the growth amount of the single crystal is 50 mm, the thickness of the heat-insulating member30is preferably 25 mm or less. In a case where the thickness of the heat-insulating member30is too thick, the movement of the heat-insulating member30is inhibited. If the thickness of the heat-insulating member30falls within the range described above, a temperature difference can be formed in the vertical direction within the single crystal C via the heat-insulating member30. Consequently, it is possible to prevent the raw material gas from being recrystallized in a portion other than the surface Ca of the single crystal C. As described above, according to the above-described SiC single crystal manufacturing apparatus, the position of the heat-insulating member can be controlled relatively to the crystal-grown single crystal. It is possible to freely control the temperature distribution in the vicinity of the surface of the single crystal C during crystal growth by controlling the position of the heat-insulating member. Since the single crystal C grows along an isothermal surface, controlling the temperature distribution in the vicinity of the surface of the single crystal C leads to controlling the shape of the single crystal C. A growth method of a SiC single crystal uses the SiC single crystal manufacturing apparatus stated above. Hereinafter, a case where the SiC single crystal manufacturing apparatus100as shown inFIG.4is employed will be described as an example. The growth method of the SiC single crystal according to the embodiment includes a crystal growth step of growing the single crystal C from the seed crystal S installed in the seed crystal installation part11. The single crystal C is grown by recrystallization of the raw material gas sublimated from the raw material G on a surface of the seed crystal S. The raw material G is sublimated by heating the crucible10with a heater provided outside. The sublimed raw material gas is supplied to the seed crystal S along the guide member20. In the growth method of the SiC single crystal according to the present embodiment, the positional relationship between the lower surface30aof the heat-insulating member30and the surface Ca of the single crystal C is controlled in a process of performing crystal growth of the single crystal C from the seed crystal S. The shape of the surface Ca of the single crystal C can be freely controlled by controlling such a positional relationship. FIG.7shows the positional relationship between the lower surface30aof the heat-insulating member30and the surface Ca of the single crystal C, and the relationship between the positional relationship and the isothermal surface in the vicinity of the single crystal C.FIG.7Ais an example in a case where the surface Ca (crystal growth surface) of the single crystal C is flat.FIG.7Bis an example in a case where the surface Ca (crystal growth surface) of the single crystal C is concave.FIG.7Cis an example in a case where the surface Ca (crystal growth surface) of the single crystal C is convex. As shown inFIGS.7A to7C, the shape of the surface Ca of the single crystal C varies depending on the position of the heat-insulating member30with respect to the surface Ca of the single crystal C. As shown inFIG.7A, in a case where the position of the surface Ca of the single crystal C and the position of the lower surface30aof the heat-insulating member30are substantially the same, the surface Ca of the single crystal C is flat. As shown toFIG.7B, in a case where the lower surface30aof the heat-insulating member30is disposed closer to the raw material G side than the surface Ca of the single crystal C, the surface Ca of the single crystal C is concave. As shown inFIG.7C, in a case where the surface Ca of the single crystal C is disposed closer to the raw material G than the lower surface30aof the heat-insulating member30, the surface Ca of the single crystal C is convex. That is, a convex shape is formed downward. A dotted line in the drawing indicates the isothermal surface T. The shape of the surface Ca of the single crystal C varies depending on the position of the heat-insulating member30with respect to the surface Ca of the single crystal C because the shape of the isothermal surface T varies in the film formation space K.FIGS.8A and8Bare diagrams schematically showing the shape of the isothermal surface T in the vicinity of the single crystal C during crystal growth.FIG.8Ais a view in a case where the heat-insulating member30is not provided.FIG.8Bis a view in a case where the heat-insulating member30is provided. The single crystal C of SiC has a thermal insulation effect due to its low thermal conductivity. Meanwhile, the guide member20has higher thermal conductivity than that of the single crystal C. Consequently, as shown inFIG.8A, the isothermal surface T in a case where the heat-insulating member30is not provided is formed so as to expand from the single crystal C. The crystal growth surface of the single crystal C grows along the isothermal surface T. Therefore, in a case where the heat-insulating member30is not provided, the shape of the surface Ca (crystal growth surface) of the single crystal C is fixed in a concave shape. On the other hand, in a case where the heat-insulating member30is provided as shown inFIG.8B, the shape of the isothermal surface T varies. The shape of the isothermal surface T can be freely designed by controlling the position of the heat-insulating member30with respect to the single crystal C. Controlling the position may correspond to moving the position in at least one of a lateral direction, a longitudinal direction, and an oblique direction. Designing the shape of the isothermal surface T can be carried out with high accuracy by confirming the shape in advance by simulation or the like. Thus the shape of the surface Ca of the single crystal C can be freely designed by controlling the position of the heat-insulating member30with respect to the single crystal C. In addition, controlling the position of the heat-insulating member30with respect to the single crystal C provides the advantageous effects of suppressing adhesion of polycrystals to the guide member20and of reducing the temperature difference in an in-plane direction in the single crystal C. Polycrystals are formed in a low temperature portion in the vicinity of the crystal growth surface of single crystal C. For example, as shown inFIG.8A, in a case where the temperature difference between the single crystal C and the guide member20is large, polycrystals grow on the guide member20. If the polycrystals grown on the guide member20comes in contact with the single crystal C, the crystallinity of the single crystal C is disturbed to cause defects. On the other hand, as shown inFIG.8B, in a case where the heat-insulating member30is in the vicinity of the surface Ca of the single crystal C, the temperature difference between the single crystal C and the guide member20can be reduced, thereby suppressing growth of polycrystals. Additionally, if the temperature difference in the in-plane direction in the single crystal C is large, stress occurs in the process of growing the single crystal C. The stress occurred in the single crystal C produces distortion, deviation or the like, in a crystal plane. Distortion in the single crystal C or the deviation of a lattice plane may cause killer defects such as basal plane dislocation (BPD). The detailed description has been described that the shape of the surface Ca (lower main surface) of the single crystal C can be controlled. The shape of the surface Ca of the single crystal C is preferably flat or convex toward the raw material G, because if the shape of the surface Ca of the single crystal C is concave toward the raw material G, the quality is inferior. Adjusting the shape of the surface Ca of the single crystal C to be flat or convex, the positions of the surface Ca of the single crystal C and the lower surface30aof the heat-insulating member30are substantially the same, or alternatively, the surface Ca of the single crystal C is disposed closer to the raw material G than the lower surface30aof the heat-insulating member30. The term “substantially the same” does not mean that the positions of the surface Ca of the single crystal C and the lower surface30aof the heat-insulating member30must be completely at the same height; it means that slight misalignment is allowed to the extent which the isothermal surface T is not greatly affected. In particular, if the lower surface30aof the heat-insulating member30is disposed within 30 mm from the surface Ca of the single crystal C, the surface Ca of the single crystal C and the lower surface30aof the heat-insulating member30have the positional relationship that they are substantially the same. In order to adjust the shape of the surface Ca of the single crystal C to be flat, it is preferable that the surface Ca of the single crystal C and the lower surface30aof the heat-insulating member30has the positional relationship that they are nearly identical. It is also preferable that the lower surface30aof the heat-insulating member30is disposed within 20 mm from the surface Ca of the single crystal C, more preferable that the lower surface30aof the heat-insulating member30is disposed within 10 mm. The surface Ca of the single crystal C is preferably disposed closer to the raw material G than the lower surface30aof the heat-insulating member30. That is, it is preferable that the lower surface30aof the heat-insulating member30is disposed closer to the seed crystal installation part11than the surface Ca of the single crystal C. Accordingly, even when an external factor such as a temperature fluctuation in the film forming space K occurs, the concave shape of the surface Ca of the single crystal C can be prevented. It is preferable to control the position of the heat-insulating member30from the start of crystal growth. That is, it is preferable to control the positional relationship between the lower surface30aof the heat-insulating member30and the surface of the seed crystal S at the start of crystal growth. Immediately after the start of crystal growth, the seed crystal installation part11is provided around the seed crystal S, and a distance between the seed crystal S and the crucible10is also close. Therefore, the isothermal surface T in the film formation space K is also influenced by temperature (thermal conductivity) of these members. That is, the effect exerted by using the heat-insulating member30is the strongest in a region where the single crystal C has grown 30 mm or more from the seed crystal S. However, it does not mean that the heat-insulating member30does not provide any advantageous effect immediately after the start of crystal growth. For example, in a case where the shape of the crystal growth surface of single crystal C immediately after crystal growth is concave without providing the heat-insulating member30, it is necessary to return the shape of the crystal growth surface of the single crystal C to a convex shape in the subsequent growth process. If the shape of the crystal growth surface changes from concave to convex in the growth process, stress is accumulated in the single crystal C, and defects are likely to occur. Therefore, the position of the heat-insulating member30is preferably controlled from the start of crystal growth. The positional relationship of the heat-insulating member30to the seed crystal S can be designed in the same manner as the positional relationship between the heat-insulating member30and the single crystal C in the process of crystal growth. <Fabrication process of SiC single crystal substrate> The process of fabricating a SiC single crystal substrate from the obtained SiC single crystal ingot includes a flattening process involving lapping using a predetermined polishing slurry and a process of removing a work-affected layer. In the fabrication of a SiC single crystal substrate, lapping can be performed using a slurry characteristic of lapping. Other than that, known methods can be used for processing from SiC single crystal ingots to obtaining SiC single crystal substrates. The lapping process is described below. Next, the available lapping slurries are detailed. In the processing process of the free abrasive grain method, slurry containing, for example, water, boron carbide abrasive grains, and an additive for dispersing the boron carbide abrasive grains is supplied between the upper and lower surface plates, and pressure is applied to the SiC substrate1by the upper surface plate21and the lower surface plate to flatten the surface of the SiC substrate1. The slurry used in the processing process is a slurry containing, for example, water as the main component. When a slurry containing water as the main component is used, the dispersibility of the boron carbide abrasive grains is enhanced and secondary aggregation is less likely to occur in the processing process. When a slurry containing water as a main component is used, the surface of the SiC substrate on the upper surface plate side where the slurry feed hole is provided is cleaned by the direct supply of water, and the surface of the SiC substrate on the lower surface plate side where the slurry feed hole is not provided is cleaned by the water supplied through the gap between the SiC substrate and the carrier plate. The slurry used in the lapping process is collected in a tank and fed again from the tank. The modified Mohs hardness (14) of the boron carbide abrasive grain is slightly larger than the modified Mohs hardness (13) of the SiC substrate as an object to be polished and smaller than the modified Mohs hardness (15) of the diamond. Therefore, by using such a slurry, the processing speed can be relatively increased while the generation of cracks on the SiC substrate having the modified Mohs hardness (13) is suppressed, and the decrease in the grain size of the boron carbide abrasive grain can be suppressed. The ratio of boron carbide abrasive grains in the slurry is, for example, 15 mass % or more and 45 mass % or less, preferably 20 mass % or more and 40 mass % or less, and more preferably 25 mass % or more and 35 mass % or less. When the ratio of the boron carbide abrasive grains in the slurry is 15 mass % or more, the content of the boron carbide abrasive grains in the slurry can be increased and the processing speed of the lapping process can be enhanced. In addition, when the ratio of the boron carbide abrasive grains in the slurry is 45 mass % or less, the frequency and area of contact between the boron carbide abrasive grains can be suppressed, and it is easy to suppress the decrease in the grain size of the boron carbide abrasive grains and the abrasion of the boron carbide abrasive grains. The boron carbide abrasive grain in the slurry used in the processing process has an average grain size of, for example, 15 μm or more and 40 μm or less is preferably 25 μm or more and 35 μm or less. By using boron carbide abrasive grains with an average grain size of 15 μm or more, it is easy to increase the processing speed for lapping the surface of the SiC substrate1, and furthermore, it is possible to attach sufficient additives to the surface, which leads to improvement in dispersibility and suppression of decrease in grain size. In addition, by making the average grain size less than 40 μm, it is easy to obtain the effect of suppressing cracks or fissures in the SiC substrate, and furthermore, it is possible to suppress the excessive adhesion of additives described later to the surface and to suppress the decrease in the processing speed due to the decrease in the contact area with the SiC substrate as a workpiece. In addition, by using such boron carbide abrasive grains, it is easy to suppress the change in particle size before and after lapping. Here, the average grain size of the above boron carbide abrasive grain is the average grain size of the boron carbide abrasive grain before processing, and the average grain size of the boron carbide abrasive grain after processing is, for example, 14 μm or more and 48 μm or less, and preferably 23 μm or more and 42 μm or less, because the ratio of the average grain size of the boron carbide abrasive grain before and after processing is 0.91 to 1.2. Here, the average grain size of the boron carbide abrasive grain is measured based on the particle size distribution measured by laser scattering light measurement using a particle size distribution measuring device Mastersizer Hydro 2000 MU (Spectris Co., Ltd.) or MT 3000 Type 11 (Microtrack Bell Co., Ltd.). As an additive, polyalcohols, esters and their salts, homopolymers and their salts, copolymers and the like can be used. Specific examples include one or more substances selected from the group consisting of glycerin, 1-vinylimidazole, sodium palm oil fatty acid methyl taurine, sodium laurate amide ether sulfate, sodium myristate amide ether sulfate, polyacrylic acid and acrylic acid-maleic acid copolymers. These additives are thought to enhance the dispersibility of boron carbide abrasive grains in the slurry. The additive adheres to the surface of the boron carbide abrasive grain and inhibits direct contact between the boron carbide abrasive grains. In this way, the additive enhances the dispersibility of the boron carbide abrasive grains in the slurry and suppresses the grain size reduction of the abrasive grains in the processing process. The percentage of the additive in the slurry is, for example, 3 volume % or more and 20 volume % or less, preferably 5 volume % or more and to 15 volume % or less, and preferably 10 volume % or more and to 15 volume % or less. Here, the ratio of additive in the slurry refers to the ratio of the volume of additive (additive components) such as glycerin divided by the volume of the slurry. When the additive in the slurry is within the above range, it adheres to the surface of the boron carbide in the slurry in a necessary and sufficient manner to obtain a favorable degree of dispersion of the boron carbide abrasive grain in the slurry, and it is easy to suppress the decrease in the grain size of the boron carbide abrasive grain in the processing process. In this lapping process, the processing speed for processing the surface of the SiC substrate in the processing process is, for example, 14 μm/h or more and 45 μm/h or less, preferably from 16 μm/h or more and 40 μm/h or less, and more preferably from 18 μm/h or more and 25 μm/h or less. The processing speed depends on the processing pressure described above and the average grain size of the boron carbide abrasive grains. It is easy to obtain the effect of suppressing the decrease in the grain size of the boron carbide abrasive grain and the abrasion of the boron carbide abrasive grain by setting the processing speed to 45 μm/h or less. The throughput can be increased by increasing the processing speed to 14 μm/h or more. When lapping processing is performed in multiple batches, the processing speed obtained by dividing the total change in the thickness of the SiC substrate by the total processing time may be within the above range, and it is preferable that the processing speed at any timing is within the above range. That is, when lapping is performed in multiple batches, it is preferable that the processing speed calculated in each batch is within the above range. Here, the processing speed is calculated from the difference in the thickness of the SiC substrate1before and after lapping and the processing time. Specifically, the processing speed is calculated by the following method. The measurement positions of the thickness of the SiC substrate1are position1ccorresponding to the center of the SiC substrate before the formation of the orientation flat OF on the SiC substrate1, position1abeing 5 mm to 10 mm away from the midpoint of the orientation flat OF toward the position1c, position1bon the same straight line c as positions1aand1cand being 5 mm to 10 mm away in the direction of position1afrom the outer periphery of the SiC substrate1, and positions1dand1eon the straight line perpendicular to the straight line c and being 5 mm to 10 mm away in the direction of position1afrom the outer periphery of the SiC substrate1. The thickness of SiC substrate1at these five positions1ato1eis measured by an indicator (ID-C 150 XB, made by Mitsutoyo), and the obtained thickness is treated as the thickness of SiC substrate1. The processing speed is calculated by dividing the difference in the thickness (micrometers) of the SiC substrate1before and after the processing thus obtained by the processing time (h). Adhesion of additives to the surface of the boron carbide abrasive grains in the slurry used in the processing process increases the dispersibility of the boron carbide abrasive grains, and the contact of the boron carbide abrasive grains can be suppressed, so that the decrease in the grain size of the boron carbide abrasive grains can be suppressed. Specifically, the change in the grain size of the boron carbide abrasive grain can be suppressed to the extent that the ratio of the average grain size of the boron carbide abrasive grain after processing to the average grain size of the boron carbide abrasive grain before processing is 0.91 to 1.2 in the processing process. The reason why the ratio includes a value greater than 1 is that, in the processing process, boron carbide abrasive grains are secondarily agglomerated, and the grain size of some boron carbide abrasive grains may be larger than that before processing. In the conventional lapping process, since the grain size of the boron carbide abrasive grain in the slurry is greatly reduced by lapping process, it is necessary to add abrasive grain to the slurry each time the lapping process is performed again, and each time it is necessary to carry out complicated management to obtain the distribution of the grain size of the abrasive grain in the slurry depending on the number of batches used for lapping process. In this way, this lapping process facilitates the management of the grain size of the boron carbide abrasive grain and reduces the cost, in addition to reducing the environmental load and suppressing the occurrence of cracks. In addition, since the grain size of the boron carbide abrasive grains does not change much in this lapping process, the change in the processing speed during lapping process can be restrained and the lapping process can be continued under the same conditions. This lapping process is particularly effective when using boron carbide, which has a slightly higher modified Mohs hardness than silicon carbide as an object to be polished. Because such abrasive grains and substrates are used in this lapping process, it is also possible to suppress cracks that occur frequently when diamond is used as abrasive grains and SiC substrates are used as objects to be polished. In addition, since this lapping process can suppress the decrease in the grain size and abrasion of the boron carbide abrasive grain, the dispersion of the grain size of the boron carbide abrasive grain in the slurry during lapping process is reduced. While the processing speed of the lapping process depends on the grain size of the abrasive grain used, in this lapping process, since the variation in the grain size of the abrasive grain can be suppressed, the whole surface of the SiC substrate is processed by the abrasive grain of approximately equal grain size, and the in-plane variation of the substrate thickness of the SiC substrate after processing is reduced. EXAMPLES Examples of the disclosure are described below, but the disclosure is not limited to the following examples. (Example 1) First, a SiC single-crystal ingot was fabricated using the SiC single crystal manufacturing apparatus shown inFIG.4. A 4H-SiC single crystal having a surface with an off angle of 4° with respect to the (0001) plane, as a main surface, a diameter of 200 mm and a thickness of 5.0 mm was used as the seed crystal S. In the early stage of crystal growth, the crucible temperature was controlled so that the temperature (Tr) in the vicinity of the same height as the seed crystal surface on the side wall of the crucible body was 30° C. to 150° C., the temperature (Tg) in the center of the seed crystal in the plan view of the outer wall of the crucible lid was 50° C. to 250° C., and the temperature difference (□T) between Tr and Tg was 20° C. to 100° C. In accordance with the crystal growth, the crystal growth was carried out while gradually moving the heat-insulating member30so that the end face (lower surface30ainFIG.7C) of the raw material side of the heat-insulating member30was closer to the lid than the growth surface of the single crystal and the distance in the growth direction between the end face of the raw material side of the heat-insulating member30and the growth surface of the single crystal was within 10 mm. The SiC single crystal ingot thus obtained was 208 mm in diameter and 20.2 mm in height. Then, a SiC single crystal substrate having an off angle of 4° with respect to the (0001) plane and a thickness of 0.9 mm was obtained by a known processing method. For this SiC substrate, the thickness of the SiC substrate was measured at five positions as shown inFIG.1, and the average was taken as the thickness of the SiC substrate. Then, the SiC single crystal substrate whose thickness was measured was placed on the carrier plate of the polishing device and lapped. The lapping slurry was obtained by adding a predetermined amount of boron carbide abrasive grains and AD8 (10 vol %) as an additive to water and dispersing. The grain size F 320 (JIS R 6001) was used as the boron carbide abrasive grain. Here, the proportion of glycerin (made by Aichemitechno Co., Ltd.) as an additive in the slurry was set at 6 vol %. The lapping process was performed by the free abrasive grain method while the lapping slurry was supplied at a rate of 16 L/min. The lapping slurry was cycled and used. The driving conditions of the polishing device in the lapping process were as follows: processing pressure 160 g/cm2, lower surface speed 16 rpm, upper surface speed 5.5 rpm, center gear speed 2.8 rpm, internal gear speed 6.0 rpm, and processing time 40 minutes. After lapping, the particle size distribution of the boron carbide abrasive grains in the slurry was measured in the same manner as before lapping, and the thickness of the substrate was measured in the same manner as before lapping, and the processing speed was calculated. In this lapping process, the average processing speed of 15 SiC substrates was 18 μm/h. After the measurements were made, the slurry used in the previous lapping process was fed and a second lapping process and measurements were performed while circulating the slurry. In Example 1, this was repeated and a total of eight lapping and measurements were performed. Then, an etching process for removing the work-affected layer and a CMP process for mirror-polishing were performed to obtain the SiC single crystal substrate of Example 1. (Example 2) A SiC single crystal substrate was obtained under the same conditions as in Example 1 in the preparation of the SiC single crystal ingot, except that the temperature at the highest temperature point of the raw material was raised by 20° C., the driving conditions of the polishing apparatus in the lapping process were adjusted so that the in-plane variation in substrate thickness after lapping was smaller than in Example 1. (Comparative Example 1) A SiC single crystal substrate was obtained under the same conditions as in Example 1, except that using a SiC single crystal manufacturing apparatus without a heat-insulating material30, using a seed crystal S with a diameter of 150 mm, Tr, Tg, and ΔT were not controlled during the crystal growth, and lapping slurry containing no additive (AD8) was used in the lapping process. (Comparative Example 2) A SiC single crystal substrate was obtained under the same conditions as in Comparative Example 1 except that the temperature of the highest temperature point of the raw material was changed to increase by 10° C. (Comparative Example 3) A SiC single crystal ingot was prepared using a SiC single crystal manufacturing apparatus without heat-insulating member30, and a SiC single crystal substrate was obtained under the same conditions as in Example 1 except that lapping slurry containing no additive (AD8) was used in the lapping process. (Comparative Example 4) The SiC single crystal substrate was obtained under the same conditions as in Comparative Example 3 except that the thickness of the seed crystal was changed to 4.0 mm and the temperature of the highest temperature point of the raw material was increased by 80° C. (Comparative Example 5) The SiC single crystal substrate was obtained under the same conditions as in Comparative Example 3 except that the thickness of the seed crystal was changed to 3.5 mm and the temperature of the highest temperature point of the raw material was increased by 70° C. (Evaluation) For the SiC single crystal substrates of Example 1, Example 2, and Comparative Example 1 to Comparative Example 5, SORI, in-plane variation in the thickness of the substrate, number of micropipes, total number of dislocations, the density of threading dislocation (TD) and the density of basal plane dislocation were evaluated. The number of micropipes, the total number of dislocations, the density of threading dislocation (TD) and the density of basal plane dislocation were evaluated based on etch pits appearing by KOH etching performed at 550° C. for 10 minutes. The results are shown in Table 1. The density of TD etch pits in Table 1 are the sum of the density of TSD etch pits and the density of TED etch pits. TABLE 1Use of heat-TotalDensity ofDensity ofin-plane thicknessin-planeinsulatingnumberTD etchBPD etchSORIdistribution afterthicknessmemberof etch pitspits [/cm2]pits [/cm2][μm]lapping[μm]distribution[μm]Example 1∘1.2 × 106400310211.21.3Example 2∘2.1 × 106450500140.90.9Comparative Example 1x5.6 × 10615002400302.22.4Comparative Example 2x6.6 × 10623003600282.62.5Comparative Example 3x5.1 × 10719004300452.82.9Comparative Example 4x5.0 × 10942004100602.52.6Comparative Example 5x5.2 × 10959507300672.32.4 The densities of micropipe defects in Example 1, Example 2, and Comparative Example 1 to Comparative Example 5 was 1/cm2or less. Both SORI and the in-plane variation in the thickness of the substrate were greatly reduced in Example 1 and Example 2 compared to Comparative Example 1 to Comparative Example 5. The total number of the etch pits was significantly reduced in Example 1 and Example 2 (8-inch substrates) compared to Comparative Example 1 and Comparative Example 2 (6-inch substrates), and both the density of TD etch pits and the density of BPD etch pits were greatly reduced in Example 1 and Example 2 (8-inch substrates) compared to Comparative Example 1 and Comparative Example 2 (6-inch substrates). The results are considered to be the result of more precise temperature control in Example 1 and Example 2. The total number of the etch pits, the density of TD etch pits and the density of BPD etch pits were greatly reduced in Example 1 and Example 2 (8-inch substrates) compared to Comparative Example 3 to Comparative Example 5 (8-inch substrates). From the results, it was found that the influence of more precise temperature control is greater in the production of 8-inch SiC single crystal substrates than in the production of 6-inch SiC single crystal substrates. EXPLANATION OF REFERENCES 1 SiC single crystal substrate | 59,089 |
11859314 | DETAILED DESCRIPTION OF EMBODIMENTS Here in present disclosure, the expression of “embodiment”, as exemplary description of any embodiment, is not necessarily explained as better or greater one than any other embodiment. Performance test is conducted using ordinary method in the art, unless expressly stated otherwise. Understandably, the expression in present disclosure is merely to explain the specific embodiment, not to limit the scope of present disclosure. Unless stated otherwise, technical and scientific phrases in present disclosure have the same meaning that the person with ordinary skill in the art understands in ordinary way. The test methods and technical tools, not expressly stated in present disclosure, usually refer to ordinary method and tool generally used in the art. In present disclosure, phrases, like “basically”, “about”, are used to describe small variation. For example, they may mean less than or equal to the variation range of ±5%, or less than or equal to ±2%, or less than or equal to ±1%, or less than or equal to ±0.5%, or less than or equal to ±0.2%, or less than or equal to ±0.1%, or less than or equal to ±0.05%. Quantity and other data can be expressed as range of this type. Such range expression is used only for convenience and clarity, and can be explained as not only including the figures to define the range, but also the individuals in the range, or the sub-range in the range. For example, the range of “1˜-5%” can be understand as including the 1%, 5% expressly listed, and individual figure, like “2%”, “3.5%”, “4%” and sub range in the range, like as “1%˜3%”, “2%˜4%”, “3%˜5%”. The theory is also applied to range defined by one figure. Furthermore, the theory is applied to whatever data range with any width and whatever kind of characteristic. Unless it conflicts with context, the first and the second in this disclosure mean to differentiate different subject, not to mean order or sequence in time or place. In present disclosure, including in claims, the conjunctions, for example, “including”, “comprising”, “containing”, “referring to”, “accommodating”, are explained as open expression, meaning “including but not limited to”. The conjunctions “is composed of” and “consisting of” are closed expression. In order to better illustrate the present disclosure, much more details are provided in following embodiments. The one with ordinary skill in the art may understand that present disclosure can be implemented without certain details. In embodiments, some methods, tools, instruments familiar with the skilled one are not described in detail so as to focus on main content of present disclosure. On the premise of no conflict, the technical characteristics disclosed in present embodiments can be combined in any possible way, the new embodiment obtained accordingly still falls in the scope of present disclosure. In some embodiments, method of preparing the large size β-type ammonium tetramolybdate monocrystal particle comprises preparation of the β-type ammonium tetramolybdate crystal seed, specifically, including: industrial ammonium molybdate, ammonia, de-ionized water is used to prepare ammonium molybdate solution with concentration of 0.2˜0.6 g/ml; pH of the ammonium molybdate solution is adjusted to 1˜2, temperature of the ammonium molybdate solution is adjusted to a second temperature of 70˜90° C., the second ammonium molybdate solution is obtained. The second ammonium molybdate solution is stirred at the second temperature for 3˜5 min, crystallizing, filtering to obtain the β-type ammonium tetramolybdate crystal. The obtained β-type ammonium tetramolybdate crystal is applicable for crystal seed to grow the large size β-type ammonium tetramolybdate monocrystal particle. Generally in the crystallization process of ammonium tetramolybdate, crystallization rate has more important effect on control of the crystal form. If crystallization rate is too fast, crystallization process is difficult to manipulate resulting in formation of multiple crystal form of ammonium tetramolybdate, and too fast crystallization rate and too long crystallization time lead to much more crystalline grains in solution. These much more crystalline grains get to bond together forming bulky pseudo-particle. Molybdenum in ammonium molybdate solution adheres to the bulky pseudo-particle and form surface coating layer, bringing about fuzzing of crystal boundary and formation of mainly ammonium molybdate polycrystalline particle. If concentration of the second ammonium tetramolybdate solution is controlled at 0.2˜0.6 g/ml, pH is adjusted to 1˜2, temperature is modulated at 70˜90° C., crystallization rate is under good control during constant temperature crystallization. Crystallization time is further controlled to prevent growing up of crystalline grain. Constant temperature crystallization goes on 3˜5 minutes and crystalline grain in the second ammonium molybdate solution is taken out quickly to be separated from the second ammonium tetramolybdate solution, crystallization process stops and β-type ammonium tetramolybdate is obtained. No ammonium tetramolybdate of other crystal forms is formed. In some alternative embodiments, nitric acid is used to adjust pH of ammonium molybdate to 1˜2. Normally acid solution, such as nitric acid, is added into ammonium molybdate to modulate its pH, usually the pH is controlled to 1˜2 to obtain the second ammonium molybdate solution. In some alternative embodiments, the obtained β-type ammonium tetramolybdate crystal seed is dried at temperature of no exceeding 60° C. Normally speaking, too high dry temperature causes too fast drying rate, surface water taking away from crystal seed quickly gives rise to crystal seed surface cracking, going against complete and stable crystal seed. Dry temperature which is as close to the crystallization temperature as possible is appropriate. For example, dry temperature of 50˜60° C. is an appropriate choice. In some alternative embodiments, particle size of industrial ammonium molybdate is 0.7˜1.1 μm, D50 particle size is 0.99 μm. In some preferred alternative embodiments, temperature of the second ammonium molybdate solution is controlled to 80˜90° C. The temperature of the second ammonium molybdate solution is also called the second temperature in this disclosure. In some embodiments, method for preparing large size β-type ammonium tetramolybdate monocrystal particle includes: the obtained β-type ammonium tetramolybdate product is put in crystallization container as crystal seed, the first ammonium molybdate solution with concentration of 0.2˜0.6 g/ml, pH of 5˜7, temperature of 70˜90° C., is poured into the crystallization container forming crystallization system. The crystallization system is standby at room temperature, the first ammonium molybdate solution cools naturally and the crystal seed grows up in the first ammonium molybdate solution as the large size β-type ammonium tetramolybdate monocrystal particle, size of which reaches at centimeter level. The crystallization system consists of the first ammonium molybdate solution and β-type ammonium tetramolybdate crystal seed in it. Normally speaking, during crystallization ammonium molybdate solution might be in three states: stable state, metastable state or unstable state. If ammonium molybdate solution is in stable state, the solution is unsaturated and concentration of the solution is smaller than equilibrium concentration, on this occasion, crystallization does not happen in unsaturated ammonium molybdate solution. If ammonium molybdate solution is in unstable state, concentration of the solution is higher than equilibrium concentration, on this occasion, crystallization happens immediately in unstable ammonium molybdate solution. If ammonium molybdate solution is in metastable, the solution is in saturation critical state, and concentration of the solution is equilibrium concentration, on this occasion, spontaneous nucleation does not occur. If there are crystal nucleus in metastable ammonium molybdate solution, the crystal nucleus serve as crystal seed and grow into crystal particle with solute of the solution attaching to the crystal seed. Therefore, β-type ammonium tetramolybdate particles is able to be distributed in metal stable ammonium molybdate solution serving as crystal seed, the β-type ammonium tetramolybdate crystal seed continues to grow under appropriate conditions, meanwhile preventing appearance of new β-type ammonium tetramolybdate crystal nucleus. Finally β-type ammonium tetramolybdate with single crystal form is obtained. Generally the concentration of the first ammonium molybdate solution suitable for growth of β-type ammonium tetramolybdate crystalline is 0.2˜0.6 g/ml, the pH is 5˜7, the temperature is 70˜90° C. The β-type ammonium tetramolybdate crystalline is usually distributed separately in the first ammonium molybdate solution, which is standing still at room temperature, evaporating naturally, molybdenum ion continues to precipitate on the crystal seed, the crystal seed growing into large size ammonium tetramolybdate monocrystal particle with larger size. Normally speaking, with increase of growth time, the crystal size of the large size ammonium tetramolybdate monocrystal increases; and the size of the large size ammonium tetramolybdate monocrystal particle increases, where the size is a centimeter level size. Normally in order to control growth of large size β-type ammonium tetramolybdate monocrystal particle, and take good control of specific size of the monocrystal particle, quantity of β-type ammonium tetramolybdate crystal seed in the first ammonium molybdate solution needs to be strictly controlled. Usually small quantity of β-type ammonium tetramolybdate crystal seed is propitious to the growth of large size β-type ammonium tetramolybdate monocrystal particle. Furthermore, β-type ammonium tetramolybdate crystal seed needs to be separately distributed in the first ammonium molybdate solution to prevent mutual interference of the crystal seeds during its continuing growth. As one example, screen mesh is used to distribute β-type ammonium tetramolybdate crystal seeds separately on bottom surface of the crystallization container. In some alternative embodiments, the first ammonium molybdate solution is supplemented into the crystallization system to make up consumption of the ammonium molybdate solute of the first ammonium molybdate solution of crystallization system, availing of growth of larger size β-type ammonium tetramolybdate monocrystal particle. Generally the supplemented first ammonium molybdate solution is the same as the first ammonium molybdate solution originally forming the crystallization system, namely, having the same constitution, concentration, pH and temperature. In some alternative embodiments, the first ammonium molybdate solution is supplemented several times. In some alternative embodiments, the first ammonium molybdate solution is prepared as follows: industrial ammonium molybdate, ammonia and de-ionized water are used to prepare ammonium molybdate solution with concentration of 0.2˜0.6 g/ml; using nitric acid to modulate pH of ammonium molybdate solution at 5˜7, solution temperature is modulated at the first temperature of 70˜90° C., to obtain the first ammonium molybdate solution. Usually the temperature of the first ammonium molybdate solution is also called the first temperature. In some preferred embodiments, the temperature of the first ammonium molybdate solution is controlled at 80˜90° C. In some alternative embodiments, the first temperature of the first ammonium molybdate solution is controlled to be the same as the second temperature of the second ammonium molybdate solution. In some embodiments, the concentration of the first ammonium molybdate solution is controlled to be the same as the concentration of the second ammonium molybdate solution. Technical details are to be exemplarily described further in following embodiments. Embodiment 1 Preparation of Large Size β-Type Ammonium Tetramolybdate Monocrystal Particle The method in embodiment 1 for preparing large size β-type ammonium tetramolybdate monocrystal particle comprises steps: (1) Getting certain amount of industrial ammonium molybdate, together with ammonia and de-ionized water, to prepare ammonium molybdate solution with concentration of 0.5 g/ml. (2) Adding nitric acid well distributed in the ammonium molybdate solution until the end pH is 2, and then heating the ammonium molybdate solution to temperature of 80° C., forming the second ammonium molybdate solution; the second ammonium molybdate solution is stirred and crystallized at constant temperature of 80° C. at the rate of 100 r/min for 5 min. (3) The crystallized second ammonium molybdate solution obtained in step (2) is filtered while it is still hot, to form the β-type ammonium tetramolybdate. (4) The β-type ammonium tetramolybdate obtained in step (3) is put in vacuum drying oven and dried at 50° C., to obtain the β-type ammonium tetramolybdate crystal seed. (5) The β-type ammonium tetramolybdate crystal seed obtained in step (4) is distributed separately by using of screen mesh in culture plate. (6) Getting certain amount of industrial ammonium molybdate, together with ammonia and de-ionized water, prepare ammonium molybdate solution with concentration of 0.5 g/ml. Adding nitric acid well distributed in the ammonium molybdate solution until the end pH is 5, and then heating the ammonium molybdate solution to temperature of 80° C., to form the first ammonium molybdate solution; the first ammonium molybdate solution is poured into the culture plate loaded with β-type ammonium tetramolybdate crystal seed. The culture plate then stands still at room temperature, naturally cooling, the first ammonium molybdate solution being evaporating slowly, and the β-type ammonium tetramolybdate crystal seed being continuing to grow up, finally, large size β-type ammonium tetramolybdate monocrystal particle is obtained. The large size β-type ammonium tetramolybdate monocrystal particle obtained in step (6) is taken out using tweezers and solution on the particle is sucked dry, and the particle is put in clean environment for natural air drying. XRD and SEM are used to analyze the particle. In embodiment 1, process of step (6) is alternatively repeated, products in culture plate are collected at different time of 6 h, 12 h, 24 h, 36 h, 48 h, β-type ammonium tetramolybdate monocrystal particles with different size are obtained. In embodiment 1, industrial ammonium molybdate, ammonia and de-ionized water are used to prepare ammonium molybdate solution with concentration of 0.2˜0.6 g/ml, and then part of the ammonium molybdate solution is used to prepare the first ammonium molybdate solution by adjusting pH and temperature; part of the ammonium molybdate is used to prepare the second ammonium molybdate solution by modulating pH and temperature. Concentration of the first ammonium molybdate solution is the same as that of the second ammonium molybdate solution. FIG.1is topographic image of industrial ammonium molybdate in embodiment 1, therein, the above is XRD spectrum of industrial ammonium molybdate, the middle is the SEM picture of industrial ammonium molybdate, and the bottom is laser particle size distribution of industrial ammonium molybdate. Results show that particle size of industrial ammonium molybdate crystalline grain is between 0.7˜1.1 μm, D50 particle size is 0.99 μm, and the ammonium molybdate has multiple crystal forms. FIGS.2(a)-2(e)are schematic image of different growth stages of large size β-type ammonium tetramolybdate monocrystal particle in embodiment 1, displaying morphology of large size β-type ammonium tetramolybdate monocrystal particle in different growth time, therein, the picture ofFIG.2(a),FIG.2(b),FIG.2(c),FIG.2(d),FIG.2(e)separately correspond to crystallization time of 6 h, 12 h, 24 h, 36 h, 48 h. It can be seen that with growth time getting longer, particle size of β-type ammonium tetramolybdate monocrystal particle gets bigger, amount of β-type ammonium tetramolybdate monocrystal particle increases. FIGS.3(a) and3(b)are topographic images of large size β-type ammonium tetramolybdate monocrystal particle in different growth stages in embodiment 1, therein, pictureFIG.3(a)is morphology picture of the β-type ammonium tetramolybdate monocrystal particle with different size, the size of the first β-type ammonium tetramolybdate monocrystal particle on the left is 9 mm estimated from the scale in the picture; the size of the second β-type ammonium tetramolybdate monocrystal particle estimated from the scale in the picture is 5 mm, its morphology is displayed as picture pointed by the corresponding arrow; the size of the third β-type ammonium tetramolybdate monocrystal particle is 4 mm; the size of the fourth β-type ammonium tetramolybdate monocrystal particle is 3 mm; the size of the fifth β-type ammonium tetramolybdate monocrystal particle is 2 mm; the size of the sixth β-type ammonium tetramolybdate monocrystal particle is 1.6 mm; the size of the seventh β-type ammonium tetramolybdate monocrystal particle is 0.8 mm. The size of large size ammonium tetramolybdate monocrystal particle decreases from left to right in the picture, the size of the first large size ammonium tetramolybdate monocrystal particle on the right is 0.2 mm, its morphology is demonstrated in the picture pointed by corresponding arrow, its enlarged morphology is displayed by the SEM picture ofFIG.4.FIG.4is SEM image of the large size β-type ammonium tetramolybdate monocrystal particle in embodiment 1. It can be seen that the monocrystal has regular geometric shape, polyhedral morphology with flat crystallographic plane and straight crystal edge; enlarged picture shows that there are obvious ribbon structures on the crystal plane, and the crystal grain has certain angle, it can be approved that the β-type ammonium tetramolybdate obtained is monocrystal particle. ImageFIG.3(b)is XRD spectrum of β-type ammonium tetramolybdate, therein, the above spectrum is standard spectrum of β-type ammonium tetramolybdate, the middle spectrum is that of β-type ammonium tetramolybdate polycrystalline, the bottom spectrum is that of β-type ammonium tetramolybdate monocrystal obtained in embodiment 1. FromFIGS.3(a)-3(b)andFIG.4, it can be known that the β-type ammonium tetramolybdate monocrystal particle obtained has polyhedral morphology with flat crystallographic plane and straight crystal edge; the enlarged picture shows that there are obvious ribbon structures on crystal plane, and crystal grain has certain angle, this phenomenon conforms to layer growth theory of grain. FIGS.5(a)-5(d) are schematic diagram of growth theory of the large size β-type ammonium tetramolybdate monocrystal particle. According to the layer growth theory of grain, crystal is easy to grow into polyhedral structure with flat crystallographic plane and straight edge, during crystal growth process, due to the effect of its environment, crystal growing in different time shows some subtle changes in constitution and physical characteristics, accordingly, some ribbon structures appear on surface of crystal, as showed inFIG.5(a). Due to parallel growth of crystallographic plane towards outside, angle between different crystallographic planes remains the same for the same compound, as showed in1oFIG.5(b)andFIG.5(c), during growth process of crystal, large quantity of crystallographic plane grow towards outside forming conical body with center of crystal as pinnacle. The conical body is called growth cone, as showed inFIG.5(d). Test results of embodiment 1 show that the large size β-type ammonium tetramolybdate monocrystal particle prepared using industrial ammonium molybdate has single crystal form, and the size of the β-type ammonium tetramolybdate monocrystal particle can be controlled, and the large size of β-type ammonium tetramolybdate monocrystal particle can be prepared, the size of β-type ammonium tetramolybdate monocrystal particle obtained in embodiment 1 is between 0.2˜9 mm. Comparative Example 1 (1) Getting certain amount of industrial ammonium molybdate, together with ammonia and de-ionized water, to prepare the first ammonium molybdate solution with concentration of 0.2˜0.6 g/ml. (2) Adding nitric acid well distributed in the first ammonium molybdate solution until the end pH is 2, and the first ammonium molybdate solution is stirred and crystallized at constant temperature of 40° C. at the rate of 100 r/min for 30 min. (3) The crystallized first ammonium molybdate solution obtained in step (2) is filtered while it is still hot to form ammonium tetramolybdate product. (4) The ammonium tetramolybdate product obtained in step (3) is put in vacuum drying oven and dried at 50° C. to obtain the ammonium tetramolybdate crystal. Analyzing the ammonium tetramolybdate crystal product obtained in comparative example 1, results show that the product is mixture of ammonium dimolybdate, ammonium tetramolybdate, ammonium heptamolybdate, and ammonium octamolybdate. Comparative Example 2 (1) Getting certain amount of industrial ammonium molybdate, together with ammonia and de-ionized water to prepare the first ammonium molybdate solution with concentration of 0.2˜0.6 g/ml. (2) Adding nitric acid well distributed in the first ammonium molybdate solution until the end pH is 2, and the first ammonium molybdate solution is stirred and crystallized at constant temperature of 80° C. at the rate of 100 r/min for 30 min. (3) The crystallized first ammonium molybdate solution obtained in step (2) is filtered while it is still hot to form the ammonium tetramolybdate product. (4) The ammonium tetramolybdate product obtained in step (3) is put in vacuum drying oven and dried at 50° C. to obtain the ammonium tetramolybdate crystal. Analyzing the ammonium tetramolybdate crystal product obtained in comparative example 2, results show that the product is β-type ammonium tetramolybdate polycrystalline. This disclosure provides a method for preparing large size β-type ammonium tetramolybdate monocrystal particle, by way of controlling pH, concentration, temperature and crystallization time of the second ammonium molybdate solution, the β-type ammonium tetramolybdate crystal seed is obtained. The obtained β-type ammonium tetramolybdate crystal seed is put stewing in the first ammonium molybdate solution and is naturally cooling, during which time, cooling crystallization and slow evaporation crystallization happen in combination, and large size β-type ammonium tetramolybdate monocrystal particle forms. By controlling of growth time of grain, size of large size β-type ammonium tetramolybdate monocrystal particle is under control, and the size is at centimeter level. The method has prospective application in controlled preparation of large size β-type ammonium tetramolybdate monocrystal particle. The technique details provided in present disclosure and embodiments only serve as illustrating the inventive concept, not as limiting the scope of the technical solutions. Any change or substitute for the technique details without inventive step share the same inventive concept as present disclosure, and fall into the scope of protection the present claims sought. | 23,477 |
11859315 | DETAILED DESCRIPTION The present subject matter provides hexagonal boron nitride (h-BN) fibers and a related method of producing such fibers using a Polymer Derived Ceramic (PDC) process. The advantage of preparing a PDC is the ability to form the ceramic into complex, lightweight, and mechanically robust shapes that otherwise are too difficult to make by machining. PDC also offers an opportunity to control chemistry of the PDC for better end product homogeneity and tailoring properties. In this case, it is preferred to have a boron to nitrogen (N) ratio of 1:1. The starting materials are dissolved in solution, followed by forming a nanofiber from the solution, and then curing the fibers. The curing or crosslinking process is used to link the monomers to one another. The curing step stabilizes the fibers, which stabilization is useful during high temperature ceramic conversion (pyrolysis). Crosslinking can be performed using different methods such as adding a reactant to solutions or by UV exposure. Finally, the crosslinked polymer is heat treated (pyrolyzed) under specified conditions to convert the polymer fibers to ceramics. The fibers are made from a starting material including polyvinylpyrrolidone (PVP) along with either boron oxide (B2O3) or ammonia borane (BH3NH3), which are dissolved in a solvent. PVP has a chemical formula of [C6H9NO]n, and chemical structure as shown below. In the chemical formula and chemical structure, “n” indicates the number of monomers in the polymer chain and determines the molecular weight of the PVP polymer. Boron oxide has a chemical structure are follows: Ammonia borane (aka, borane ammonia complex) has a chemical structure as follows: These starting materials are dissolved in the solvent to form a precursor solution, which is then subjected to forcespinning to produce precursor polymeric fibers. Force spinning involves the use of centrifugal forces to force the prepared polymeric solution through a small orifice to produce fine fibers. During the spinning process, the solvent used to make the polymeric solution is evaporated leaving behind fine precursor polymeric fibers with diameters as small as 200 nm to 6 μm, and lengths as long as 6 ft. Diameter of the fibers depends on the viscosity of the precursor solution and the speed of the spinneret. These precursor fibers are then cured (i.e., crosslinked) to form pre-ceramic polymer fibers, which are then subject to pyrolysis to form a PDC of h-BN ceramic fibers. The process is easy, fast, and has a high yield with minimum chemical wastes. Further, the method uses B2O3, BH3NH3, or combinations thereof as starting materials, which are relatively inexpensive and readily available. The steps chosen to be used in any PDC process, and the parameters of those steps are dependent on the individual starting materials that are selected. That is, every PDC process is specifically tailored to the starting materials that are used. If the steps of the PDC process or their parameters are not correct for the starting materials of B2O3or BH3NH3, then the process will not produce a PDC, and will instead produce another undesired end product that is not a ceramic. To date, no h-BN fibers have been produced in a PDC process using the starting materials of B2O3. In the case of BH3NH3, PDC fibers have not been produced using forcespinning method. These starting materials are desirable because of they are readily available, easy to handle, and are relatively inexpensive compared to other starting materials including Boron. Because the parameters of a PDC process are dependent on the specific starting materials that are used, the PDC process described herein can be used with the starting materials including B2O3or BH3NH3, and therefore other boron-containing starting materials might not simply be used in place of B2O3or BH3NH3in this process. The PDC process includes four basic steps. A first step is mixing the starting materials to form a precursor polymeric solution/material. A second step involves forming the precursor material to have a desired shape or form factor. A third step includes curing the precursor material to form a crosslinked precursor polymeric material having the desired shape or form factor. A fourth step includes pyrolyzing the pre-ceramic material to form the PDC. Other steps and sub-steps can be used in the process. Forming Polymer Precursor With reference toFIG.9, the first step2of this invention includes making a polymer precursor (e.g., precursor solution) from the starting materials. The starting materials include a solvent, water, or a combination thereof. The solvent included in the starting materials may depend on the starting polymer. The starting materials also include a solute to be dissolved in the solvent. The solute includes B2O3, BH3NH3, or combinations thereof, along with PVP, which are added to and dissolved in the solvent to make a precursor solution. The polymer precursor may include or consist of PVP, B2O3, and ethanol. The polymer precursor may be a precursor solution including 10-20 wt. % PVP and 3-6 wt. % B2O3dissolved in 75-85 wt. % ethanol, where PVP and B2O3are the solute and ethanol is the solvent. In another embodiment, the polymer precursor may include or consist of PVP, BH3NH3, and ethanol. The polymer precursor may be a precursor solution including 10-20 wt. % PVP and 3-6 wt. % BH3NH3dissolved in 75-85 wt. % ethanol, where PVP and BH3NH3are the solute and ethanol is the solvent. The solute may include 70-80 wt. % or 75 wt. % PVP and may include 20-30 wt. % or 25 wt. % B2O3. The weight ratio of PVP to ethanol may be 0.10-0.25 or 0.15-0.20, and the weight ratio of ethanol to B2O3may be 5-35, 10-25, or 15-21. The PVP may have a molecular weight of 300,000 or higher. The polymer precursor may not include a polymerization catalyst. In another embodiment, the solute may include 70-80 wt. % or 75 wt. % PVP and may include 20-30 wt. % or 25 wt. % BH3NH3. The weight ratio of PVP to ethanol may be 0.10-0.25 or 0.15-0.20, and the weight ratio of ethanol to BH3NH3may be 5-35, 10-25, or 15-21. The PVP may have a molecular weight of 300,000 or higher. The polymer precursor may not include a polymerization catalyst. There are several parameters that must be considered when dissolving and mixing the starting materials, such as solubility of the solute in the solvent, mixing speeds, mixing times, and mixing temperatures. Solutions may be prepared by mixing the starting material by stirring on a magnetic stirrer until the solute is completely dissolved. Forcespinning The second step4includes forcespinning the polymer precursor (i.e., pre-ceramic solution) to make fibers of polymer precursor. Forcespinning may be accomplished using a forcespinning machine, such as the Cyclone™ FE 1.1 available from FibeRio Technology Corp. of McAllen, Texas. These machines include a spinneret ejects the precursor solution under centrifugal forces through nozzles to form the nanoscale fibers. During forcespinning, the solvent used to make the solution is evaporated leaving behind fine precursor fibers with diameters as small as 200 nm to 6 μm and lengths as long as 6 ft long depending on the viscosity of the preceramic solution and the speed of the spinneret. The viscosity of the solution, and the parameters of the mixing and forcespinning may determine the quality of the end product. The properties of the solution may be tailored so as to make the solution appropriate for the second step of forcespinning. This may include providing a solution having a desired viscosity, which may depend on, among other things, the amount of solute in the solvent, and the molecular weight of the PVP. The PVP may have a molecular weight of 300,000 or higher. If solution viscosity is too low, the fibers will not form. If solution viscosity is too high, the spinneret may become clogged preventing fiber formation. The fiber quality will depend on many factors such as viscosity (n) of the solution and spinning rates. Spinning rates affect fiber quality and determine fiber diameters. Higher rates form smaller diameter fibers and vice versa. If spinning rates are too slow, beading can occur within the fibers. There is also a dependence of polymer molecular weight (MW) on the fiber formation. The forcespinning method uses centrifugal forces to form fine fibers out of polymeric solutions. During the forcespinning process, the solvent used to make the solution is evaporated, leaving behind fine polymeric fibers with diameters as small as 200 nm to 6 μm depending on speed of the spinneret and lengths as long as 6 ft. The fibers may be deposited as they are ejected from the spinneret so as to form a non-woven 3-D multi-directional network of fibers. Curing The third step6includes curing the precursor fibers to produce pre-ceramic polymer fibers. Curing makes the fibers more durable and able to be handled. Curing the precursor polymeric fibers may be accomplished by any known method including by the application of heat, radiation, electron beams, or chemical additives. The radiation may include ultraviolet radiation. In several embodiments, no chemical additive (i.e., polymerization catalyst or curing agent) is used, and therefore the precursor polymer solution and precursor polymeric fibers do not include a polymerization catalyst. In an embodiment, the precursor solution consists of PVP, solvent, and B2O3or BH3NH3. Pyrolysis The fourth step8includes pyrolyzing the pre-ceramic polymer fibers to form ceramic fibers of h-BN. Pyrolyzing includes heating the pre-ceramic polymer fibers in an inert atmosphere, e.g., in a furnace under inert atmosphere. The pre-ceramic fibers may be placed on a graphitic foil substrate to prevent the fibers adhering to the alumina boat. The peak temperature of pyrolyzing may be 1000-1500° C., 1250-1350° C., or 1350° C., which peak temperature may be maintained for up to 3 hours, or 1-2 hours, 1.5 hours, or longer than 3 hours. The heating of the pre-ceramic polymer fibers may include increasing the heat from ambient temperature (e.g., 20-25° C.) to the peak temperature at rate of 1-50°/min, 2-10°/min, or 5°/min. The inert atmosphere may include nitrogen, hydrogen, ammonia, or combinations thereof including nitrogen and hydrogen. Optional Steps The method may include a step of manipulating the fibers before or after they are cured. This may include pressing the fibers in to a particular shape, such as a sheet, which after curing and pyrolyzing, may produce a sheet of h-BN fibers. The method of making h-BN fibers using the PDC process may include a heat treatment step after the pyrolysis step. This heating step may occur after the h-BN fibers have been cooled following pyrolysis back to ambient temperature. The heating step may include heating the h-BN fibers from ambient temperature to a peak temperature of 300-600° C., and this heating may be conducted in air. The PDC method may include various other steps that help tailor properties and shapes of the final product. Product The h-BN PDC fibers may have fiber diameters of 200 nm-6 μm, or 1-3 μm, and may have a length of up to 6 feet. The BN nanofibers may be used to provide innovative alternatives as materials for re-entry heat shields and in electric motors, since they are multifunctional materials with excellent thermal and dielectric properties. Successful conversion of precursor material to h-BN nanofibers may permit the development of BN yarns which could then be woven into flexible h-BN fabrics or ropes/ties that are thermally conductive and electrically insulating. The pre-ceramic nanofibers can also be formed into pre-ceramic thin films and converted to ceramic thin films before or after a vehicle launch. BN fibers can be used as strengthening reinforcements in polymer composites that provide similar thermal and electrical properties mentioned above. The h-BN fibers may be formed into mats to layer in non-woven mats or weaved fabrics of flexible h-BN from spun yarns for lightweight high voltage, high power applications needed for electric propulsion. Fibers of the proposed size are easier to handle and disperse than nanotubes or nanosheets and avoid respiration safety hazards. Nanofibers of h-BN are expected to provide high strength, high temperature performance, and improved thermal management. The h-BN fibers can be used for multifunctional components for thermal management with higher temperature capability as well as higher voltage performance for applications such as re-entry shielding, structural energy storage panels, electric motors. Developing h-BN in fiber form will allow development of unique materials. These h-BN nanofibers can be incorporated into various components to provide potential strength enhancement, and their orientations can be controlled to improve various properties of the component, e.g., thermal management. The h-BN fibers can be used to make low-cost layered h-BN fiber mats or weaved fabrics of flexible h-BN from spun yarns for lightweight high voltage and power applications needed in electric propulsion. The size of the fibers is easier to handle and disperse than nanotubes or nanosheets and avoids respiratory safety hazards. EXAMPLES The following examples as shown in Table 1 were prepared according to the present subject matter, but in no way limit the invention. TABLE 1aPVP toPVP toEthanolB2O3PVPB2O3EthanolExampleRatioRatiowt. %wt. %wt. %10.103.078.92.988.220.153.1312.54.083.530.203.0515.85.279.040.152.5012.44.982.750.151.5012.08.080.060.150.7511.114.874.170.153.1412.54.083.580.152.4912.45.082.6 TABLE 1bPVP toPVP toEthanolBH3NH3PVPBH3NH3EthanolExampleRatioRatiowt. %wt. %wt. %90.153.1212.54.083.5100.203.0215.85.279.0110.152.5012.45.082.6120.213.3216.55.078.5130.223.4217.15.077.9140.253.8019.05.076.0 In Examples 1-6, PVP, B2O3, and ethanol were mixed at the above wt. % and ratios on a magnetic stirrer for several hours, thereby forming a precursor solution. The PVP had a molecular weight of 300,000 or higher. Examples 2-4 with PVP/ethanol ratios of 0.15 and 0.20 appeared to have the best solubility. Examples 7 and 8 yielded fibers with reduced stickiness/wetness in comparison with examples 1-6. The solutions were subjected to forcespinning using a Cyclone™ FE 1.1 forcespinning machine from FibeRio Technology Corp. of McAllen, Texas in order to produce precursor fibers. The precursor fibers were subjected UV radiation to cure the precursor fibers and produce pre-ceramic polymer fibers. The pre-ceramic polymer fibers were then placed on a graphitic foil substrate and subjected to pyrolysis in a furnace heated at a rate of 5° C./min up to 1300° C. in a nitrogen atmosphere and held at 1300° C. for 1.5 hours to produce a PDC h-BN fibers having an average fiber diameter of 1-3 μm. After heat treatment, the examples were characterized using SEM, EDS, FTIR and XRD. In Examples 9-14, PVP, BH3NH3, and ethanol were mixed at the above wt. % and ratios. Ammonia borane is hygroscopic, and the ambient humidity may be controlled to affect properties of the fibers. Fibers formed from precursor solutions in examples 9-14 were strong and when collected included properties of a thin film with a 3D network (observed in the SEM). FIGS.1-6show SEM images of one example at different magnifications, whereFIGS.1,3,5show precursor fibers before curing, andFIGS.2,4,6show ceramic h-BN fibers produced by curing and then pyrolyzing the precursor fibers.FIGS.1and2have similar magnification,FIGS.3and4have similar magnification, andFIGS.5and6have similar magnification.FIG.1is magnified at 255 times.FIG.2is magnified at 390 times.FIG.3is magnified at 3700 times.FIG.4is magnified at 3600 times.FIG.5is magnified at 9500 times.FIG.6is magnified at 11000 times. As can be seen betweenFIGS.1and2, the fibers maintained their fiber form factor through the curing and pyrolyzing step. As can be seen in betweenFIGS.3and4, and betweenFIGS.5and6, the fibers were broken down somewhat after curing and pyrolysis. This was likely due to the graphitic foil providing excess carbon during pyrolysis. However, the fiber form factor was nevertheless maintained. An EDS spot analysis was performed for the pyrolyzed example, and the following results shown in Table 2 were attained. TABLE 2AtomicWeightElementConcentrationconcentrationO43.9749.44N32.8732.36B16.0412.19C7.126.01 FIG.7shows an FTIR analysis of the example, both after pyrolysis only and after pyrolysis and a subsequent heat treatment at 500° C. in air. InFIG.7, the top line in the graph indicated as “After HT at 1300° C. in N2” represent the example after only pyrolysis, where “HT” stands for heat treatment. The bottom line in the graph indicated as “After HT at 1300° C. in N2 then HT at 500° C. in Air” represents the example after pyrolysis and the subsequent 500° C. heat treatment. The FTIR ofFIG.7shows the characteristic peaks of BN in the fibers after pyrolysis (i.e., “HT at 1300° C.”). The example looked dart gray, and therefore a second heat treatment (i.e., “HT at 500° C.) was performed to see if it would remove any carbon impurity. The FTIR ofFIG.7still shows the characteristic peaks of BN in the fibers even after the subsequent heat treatment at 500° C. As can be seen, both FTIR lines show characteristic B-N peaks, thus indicating the polymer was converted to h-BN. FIG.8shows XRD analysis of the example, both after pyrolysis only and after pyrolysis and a subsequent heat treatment at 500° C. in air. InFIG.8, the top line in the graph indicated as “After HT at 1300° C. in N2” represent the example after only pyrolysis, where “HT” stands for heat treatment which is the subsequent heat treatment at 500° C. in air. The bottom line in the graph indicated as “After HT at 1300° C. in N2 then HT at 500° C. in Air” represents the example after pyrolysis and the subsequent 500° C. heat treatment. The XRD ofFIG.7shows the characteristic peaks of BN (i.e., peak (002) at 262 and peak (004) at 55°) after pyrolysis, but not after pyrolysis and the subsequent 500° C. heat treatment in air. It will be appreciated that various of the above-disclosed and other features and functions, or alternatives or varieties thereof, may be desirably combined into many other different systems or applications. Also, it will be appreciated that various presently unforeseen or unanticipated alternatives, modifications, variations, or improvements therein may be subsequently made by those skilled in the art which are also intended to be encompassed by the following claims. | 18,622 |
11859316 | DETAILED DESCRIPTION OF THE INVENTION Throughout the present application the terms “polypropylene” and “propylene polymer” may be used synonymously. Throughout the present application the term “propylene random copolymer” may be used to denote a “random copolymer of propylene and at least one comonomer”. The terms “metallocene propylene random copolymer” or “metallocene random copolymer” may be used to denote a “random copolymer of propylene and at least one comonomer having been produced with a metallocene-based polymerization catalyst”. Throughout the present application the melt flow index, abbreviated as “MFI”, of polypropylene and polypropylene compositions is determined according to ISO 1133, condition L, at 230° C. and 2.16 kg. In general terms, the present invention provides for fibers comprising a polypropylene composition, which in turn comprises a specific metallocene random copolymer of propylene and at least one comonomer as defined below. Optionally, the present fibers may comprise one or more further components other than said polypropylene composition, in which case it is preferred that said polypropylene composition forms an exterior component and the one or more further components form interior components, with each of the interior components consisting of a thermoplastic polymer composition as defined below, provided that the thermoplastic polymer compositions are not identical. It is, however, not explicitly excluded that the polypropylene composition forms an interior component and the exterior component consists of a thermoplastic polymer composition. The term “exterior component” is used to denote the component of the fibers covering at least 70%, more preferably at least 80%, even more preferably at least 90%, still even more preferably at least 99% of the surface of said fibers, and most preferably covers the entire surface of said fibers. Polypropylene Composition Preferably the polypropylene composition used herein comprises said metallocene random copolymer in at least 50 wt % or 70 wt %, more preferably in at least 80 wt % or 90 wt %, even more preferably in at least 95 wt % or 97 wt %, and still even more preferably in at least 99 wt %, relative to the total weight of said metallocene random copolymer. Most preferably, the polypropylene composition consists of the metallocene random copolymer. The remainder of said polypropylene composition may be one or more thermoplastic polymers as defined below, provided that the relative weights of all components of the polypropylene composition add up to 100 wt %. The metallocene random copolymer comprised in the polypropylene composition used herein is a random copolymer of propylene and at least one comonomer, said comonomer being an alpha-olefin different from propylene. Preferably, the alpha-olefin is an alpha-olefin having from one to ten carbon atoms. More preferably, the alpha-olefin is selected from the group consisting of ethylene, butene-1, pentene-1, hexene-1, heptene-1, hexene-1 and 4-methyl-pentene-1. Even more preferably, the alpha-olefin is selected from the group consisting of ethylene, butene-1 and hexene-1. Most preferably, the alpha-olefin is ethylene. Hence, the most preferred random copolymer is a random copolymer of propylene and ethylene. The metallocene random copolymer used herein has a comonomer content of at least 1.2 wt %, preferably of at least 1.3 wt % and most preferably of at least 1.4 wt %. Said random copolymer has a comonomer content of at most 1.8 wt %, preferably of at at most 1.7 wt % and most preferably of at most 1.6 wt %. The comonomer content is given in wt % relative to the total weight of said random copolymer. Preferably, the metallocene random copolymer used herein has a melt flow index of at least 15 dg/min, more preferably of at least 20 dg/min and most preferably of at least 25 dg/min. Preferably, it has a melt flow index of at most 100 dg/min, more preferably of at most 90 dg/min, even more preferably of at most 70 dg/min, and most preferably of at most 50 dg/min. Preferably, the metallocene random copolymer used herein has a high degree of isotacticity, for which the content of mmmm pentads is a measure. Thus, preferably the content of mmmm pentads is at least 90%, more preferably at least 92%, even more preferably at least 94% and most preferably at least 96%. The content of mmmm pentads may be determined by13C-NMR analysis as described in the test methods. Further, the metallocene random copolymer used herein preferably has a content of 2.1-insertions of at most 1.5%, more preferably of at most 1.3%, even more preferably of at most 1.2%, still even more preferably of at most 1.1% and most preferably of at most 1.0%. Preferably the content of 2.1-insertions is at least 0.1%. The percentage of 2.1-insertions is given relative to the total number of propylene monomers in the polymeric chain and may be determined by 13C-NMR analysis as given in more detail in the test methods. Preferably the metallocene random copolymer used herein has at least 90% of the at least one comonomer as isolated units. The percentage of isolated units is given relative to the total number of comonomer units in the polymeric chain. The term “isolated unit” is used to denote that in the polymeric chain a comonomer unit is surrounded by propylene units only. The content of isolated units may be determined by13C-NMR analysis as indicated in the test methods. Preferably, the metallocene random copolymer used herein has a molecular weight distribution, defined as Mw/Mn. i.e. the ratio of weight average molecular weight Mwover number average molecular weight Mn, of at most 4.0. Preferably, the random copolymer used herein has a molecular weight distribution, defined as Mw/Mn, of at most 3.5, more preferably of at most 3.0, and most preferably of at most 2.8. Preferably, the random copolymer used herein has a molecular weight distribution (MWD), defined as Mw/Mn, of at least 1.0, more preferably of at least 1.5 and most preferably of at least 2.0. Molecular weights can be determined by size exclusion chromatography (SEC), frequently also referred to as gel permeation chromatography (GPC), as described in the test methods. Preferably, the metallocene random copolymer used herein has a melting temperature Tmelt, determined by Differential Scanning Calorimetry according to ISO 3146, of at most 140° C., preferably at most 138° C., more preferably at most 136° C., most preferably 135° C. The metallocene random copolymer used herein is obtained by polymerizing propylene and at least one comonomer with a metallocene-based polymerization catalyst. Preferably the metallocene-based polymerization catalyst comprises a bridged metallocene component, a support and an activating agent. Such metallocene-based polymerization catalysts are generally known in the art and need not be explained in detail. The metallocene component can be described by the following general formula (μ-Ra)(Rb)(Rc)MX1X2(I) wherein Ra, Rb, Rc, M, X1and X2are as defined below. Rais the bridge between Rband Rc, i.e. Rais chemically connected to Rband Rc, and is selected from the group consisting of —(CR1R2)p—, —(SiR1R2)p—, —(GeR1R2)—, —(NR1)p—, —(PR1)p—, —(N+R1R2)p— and —(P+R1R2)p—, and p is 1 or 2, and wherein R1and R2are each independently selected from the group consisting of hydrogen, C1-C10alkyl, C5-C8cycloalkyl, C6-C15aryl, alkylaryl with C1-C10alkyl and C6-C15aryl, or any two neighboring R (i.e. two neighboring R1, two neighboring R2, or R1with a neighboring R2) may form a cyclic saturated or non-saturated C4-C10ring; each R1and R2may in turn be substituted in the same way. Preferably Rais —(CR1R2)p— or —(SiR1R2)p— with R1, R2and p as defined above. Most preferably Rais —(SiR1R2)p— with R1, R2and p as defined above. Specific examples of Rainclude Me2C, ethanediyl (—CH2—CH2—), Ph2C and Me2Si. M is a metal selected from Ti, Zr and Hf, preferably it is Zr. X1and X2are independently selected from the group consisting of halogen, hydrogen, C1-C10alkyl, C6-C15aryl, alkylaryl with C1-C10alkyl and C6-C15aryl. Preferably X1and X2are halogen or methyl. Rband Rcare selected independently from one another and comprise a cyclopentadienyl ring. Preferred examples of halogen are Cl, Br, and I. Preferred examples of C1-C10alkyl are methyl, ethyl, n-propyl, iso-propyl, n-butyl, iso-butyl, and tert-butyl. Preferred examples of C5-C7cycloalkyl are cyclopentyl, cyclohexyl, cycloheptyl and cyclooctyl. Preferred examples of C6-C15aryl are phenyl and indenyl. Preferred examples of alkylaryl with C1-C10alkyl and C6-C15aryl are benzyl (—CH2-Ph), and —(CH2)2-Ph. Preferably, Rband Rcmay both be substituted cyclopentadienyl, or may be independently from one another unsubstituted or substituted indenyl or tetrahydroindenyl, or Rbmay be a substituted cyclopentadienyl and Rca substituted or unsubstituted fluorenyl. More preferably, Rband Rcmay both be the same and may be selected from the group consisting of substituted cyclopentadienyl, unsubstituted indenyl, substituted indenyl, unsubstituted tetrahydroindenyl and substituted tetrahydroindenyl. By “unsubstituted” is meant that all positions on Rbresp. Rc, except for the one to which the bridge is attached, are occupied by hydrogen. By “substituted” is meant that, in addition to the position at which the bridge is attached, at least one other position on Rbresp. Rcis occupied by a substituent other than hydrogen, wherein each of the substituents may independently be selected from the group consisting of C1-C10alkyl, C5-C7cycloalkyl, C6-C15aryl, and alkylaryl with C1-C10alkyl and C6-C15aryl, or any two neighboring substituents may form a cyclic saturated or non-saturated C4-C10ring. A substituted cyclopentadienyl may for example be represented by the general formula C5R3R4R5R6. A substituted indenyl may for example be represented by the general formula C9R7R8R9R10R11R12R13R14. A substituted tetrahydroindenyl may for example be represented by the general formula CH4R15R16R17R18. A substituted fluorenyl may for example be represented by the general formula C13R19R20R21R22R23R24R25R26Each of the substituents R3to R26may independently be selected from the group consisting of hydrogen, C1-C10alkyl, C5-C7cycloalkyl, C6-C15aryl, and alkylaryl with C1-C10alkyl and C6-Cis aryl, or any two neighboring R may form a cyclic saturated or non-saturated C4-C10ring; provided, however, that not all substituents simultaneously are hydrogen. Preferred metallocene components are those having C2-symmetry or those having C1-symmetry. Most preferred are those having C2-symmetry. Particularly suitable metallocene components are those wherein Rband Rcare the same and are substituted cyclopentadienyl, preferably wherein the cyclopentadienyl is substituted in the 2-position, the 3-position, or simultaneously the 2-position and the 3-position. Particularly suitable metallocene components are also those wherein Rband Rcare the same and are selected from the group consisting of unsubstituted indenyl, unsubstituted tetrahydroindenyl, substituted indenyl and substituted tetrahydroindenyl. Substituted indenyl is preferably substituted in the 2-position, the 3-position, the 4-position, the 5-position or any combination of these, more preferably in the 2-position, the 4-position or simultaneously in the 2-position and the 4-position. Substituted tetrahydroindenyl is preferably substituted in the 2-position, the 3-position, or simultaneously the 2-position and the 3-position. Particularly suitable metallocene components may also be those wherein Rbis a substituted cyclopentadienyl and Rcis a substituted or unsubstituted fluorenyl. The substituted cyclopentadienyl is preferably substituted in the 2-position, the 3-position, the 5-position or simultaneously any combination of these, more preferably in the 3-position or the 5-position or both simultaneously, most preferably in the 3-position only, with a bulky substituent. Said bulky substituent may for example be —CR27R28R29or —SiR27R28R29with R27, R28and R29independently selected from group consisting of C1-C10alkyl, C1-C7cycloalkyl, C6-C15aryl, and alkylaryl with C1-C10alkyl and C6-C15aryl, or any two neighboring R may form a cyclic saturated or non-saturated C4-C10ring. it is preferred that R27, R28and R29are methyl. Examples of Particularly Suitable Metallocenes are dimethylsilanediyl-bis(2-methyl-cyclopentadienyl)zirconium dichloride,dimethylsilanediyl-bis(3-methyl-cyclopentadienyl)zirconium dichloride,dimethylsilanediyl-bis(3-tert-butyl-cyclopentadienyl)zirconium dichloride,dimethylsilanediyl-bis(3-tert-butyl-5-methyl-cyclopentadienyl)zirconium dichloride,dimethylsilanediyl-bis(2,4-dimethyl-cyclopentadienyl)zirconium dichloride,dimethylsilanediyl-bis(indenyl)zirconium dichloride,dimethylsilanediyl-bis(2-methyl-indenyl)zirconium dichloride,dimethylsilanediyl-bis(3-methyl-indenyl)zirconium dichloride,dimethylsilanediyl-bis(3-tert-butyl-indenyl)zirconium dichloride,dimethylsilanediyl-bis(4,7-dimethyl-indenyl)zirconium dichloride,dimethylsilanediyl-bis(tetrahydroindenyl)zirconium dichloride,dimethylsilanediyl-bis(benzindenyl)zirconium dichloride,dimethylsilanediyl-bis(3,3′-2-methyl-benzindenyl)zirconium dichloride,dimethylsilanediyl-bis(4-phenyl-indenyl)zirconium dichloride,dimethylsilanediyl-bis(2-methyl-4-phenyl-indenyl)zirconium dichloride,ethanediyl-bis(indenyl)zirconium dichloride,ethanediyl-bis(tetrahydroindenyl)zirconium dichloride,isopropylidene-(3-tert-butyl-cyclopentadienyl)(fluorenyl) zirconium dichloride isopropylidene-(3-tert-butyl-5-methyl-cyclopentadienyl)(fluorenyl) zirconium dichloride. The metallocene may be supported according to any method known in the art. In the event it is supported, the support used in the present invention can be any organic or inorganic solid, particularly porous supports such as talc, inorganic oxides, and resinous support material such as polyolefin. Preferably, the support material is an inorganic oxide in its finely divided form. The metallocene random copolymer used herein is produced by polymerizing propylene and at least one comonomer in presence of a metallocene-based polymerization catalyst to obtain the metallocene random copolymer of propylene and at least one comonomer. The polymerization of propylene and the at least one comonomer in presence of a metallocene-based polymerization catalyst can be carried out according to known techniques in one or more polymerization reactors at temperatures in the range from 20° C. to 150° C. The metallocene random copolymer used herein is preferably produced by polymerization in liquid propylene at temperatures in the range from 20° C. to 120° C. More preferred temperatures are in the range from 60° C. to 100° C. The pressure can be atmospheric or higher. It is preferably between 25 and 50 bar. The molecular weight of the polymer chains, and in consequence the melt flow of the resulting metallocene propylene random copolymer, may be controlled by the addition of hydrogen to the polymerization medium. Preferably, the metallocene random copolymer is recovered from the one or more polymerization reactors without post-reactor treatment, such as thermal or chemical degradation (e.g. by using peroxides), to reduce its molecular weight and/or narrow the molecular weight distribution, as is often done for polypropylene produced with a Ziegler-Natta catalyst. An example for chemical degradation is visbreaking, wherein the polypropylene is reacted for example with an organic peroxide at elevated temperatures, for example in an extruder or pelletizing equipment. The polypropylene composition used herein may further comprise one or more thermoplastic polymers different from the metallocene random copolymer as defined above. Irrespectively of the number of components, which are comprised in the polypropylene polymer composition, it is understood that their weight percentages, relative to the total weight of said polypropylene composition, add up to 100 wt %. Preferred suitable thermoplastic polymers may be selected from the group consisting of polyolefins, polyamides and polyesters, with the provision that the polyolefin is different from the metallocene polypropylene used herein. By “different from the metallocene polypropylene” is meant that the polyolefin differs in at least one characteristic from the above defined metallocene polypropylene. Said polyolefin may for example be different in composition, such as for example be based on an alpha-olefin different from propylene (e.g. ethylene, 1-butene, 1-pentene, 1-hexene or 1-octene), or be produced with a Ziegler-Natta catalyst instead of a metallocene-based polymerization catalyst, or have a different type of comonomer, or have a different content of comonomer, or have a different melt flow index. Exemplary polyolefins for use herein are olefin homopolymers and copolymers of an olefin and one or more comonomers. The polyolefins may be atactic, syndiotactic or isotactic. The olefin can for example be ethylene, propylene, 1-butene, 1-pentene, 1-hexene, 4-methyl-1-pentene or 1-octene, but also cycloolefins such as for example cyclopentene, cyclohexene, cyclooctene or norbornene. The comonomer is different from the olefin and chosen such that it is suited for copolymerization with the olefin. The comonomer may also be an olefin as defined above. Further examples of suitable comonomers are vinyl acetate (H3C—C(═O)O—CH═CH2) or vinyl alcohol (“HO—CH═CH2”, which as such is not stable and tends to polymerize). Examples of olefin copolymers suited for use in the present invention are random copolymers of propylene and ethylene, random copolymers of propylene and 1-butene, heterophasic copolymers of propylene and ethylene, ethylene-butene copolymers, ethylene-hexene copolymers, ethylene-octene copolymers, copolymers of ethylene and vinyl acetate (EVA), copolymers of ethylene and vinyl alcohol (EVOH). Exemplary polyamides for use herein may be characterized in that the polymer chain comprises amide groups (—NH—C(═O)—). Polyamides useful in the present invention are preferably characterized by one of the following chemical structures wherein m and n may be independently chosen from one another and be an integer from 1 to 20. Specific examples of suitable polyamides are polyamides 4, 6, 7, 8, 9, 10, 11, 12, 46, 66, 610, 612, or 613. Another example of a suitable polyamide is Nylon-MXD6, obtainable by polycondensation of meta-xylylene diamine with adipic acid and commercially available for example from Mitsubishi Gas Chemical Company. Exemplary polyesters for use herein are preferably characterized by the following chemical structure [—C(═O)—C6H4—C(═O)O—(CH2—CH2)n—O-]x wherein n is an integer from 1 to 10, with preferred values being 1 or 2. Specific examples of suitable polyesters are polyethylene terephthalate (PET) and polybutylene terephthalate (PBT). Furthermore, preferred polyesters are poly(hydroxy carboxylic acid)s. With respect to the melt flow index of the polypropylene composition, it is preferred that it is within the same ranges and values as defined above for the metallocene random copolymer. Thermoplastic Polymer Composition If present, a thermoplastic polymer composition used herein consists of one or more thermoplastic polymers as defined above with respect to the polypropylene composition. Irrespectively of the number of components of the thermoplastic polymer composition it is understood that their weight percentages, relative to the total weight of said thermoplastic polymer composition, add up to 100 wt %. With respect the the melt flow index of the one or more thermoplastic polymer compositions, it is preferred that they are within the same ranges and values as defined above for the metallocene random copolymer. Production of Fibers and Nonwovens The fibers of the present application are produced by commonly known production methods, such as for example described in Polypropylene Handbook, ed. Nello Pasquini, 2ndedition, Hanser, 2005, pages 397-403 or in F. Fourné, Synthetische Fasern, Carl Hanser Verlag, 1995, chapter 5.2 or in B. C. Goswami et al., Textile Yarns, John Wiley & Sons, 1977, p. 371-376. Generally, fibers are produced by melting a polymer or a polymer composition in an extruder, optionally passing the molten polymer through a melt pump to ensure a constant feeding rate and then extruding the molten polymer or molten polymer composition through a number of fine capillaries of a spinneret to form fibers. These still molten fibers are simultaneously cooled by air and drawn to a final diameter and are finally collected. Optionally, the so-obtained fibers may be subjected to a further drawing step, though for the present application it is preferred they are as-spun, i.e. that no further drawing step is performed on the fibers. Thus, the process for producing the fibers as defined above comprises the steps of(a) polymerizing propylene and at least one comonomer in presence of a metallocene-based polymerization catalyst, to obtain a metallocene random copolymer of propylene and at least one comonomer;(b) melt-extruding a polypropylene composition comprising the metallocene random copolymer obtained in step (a) to obtain a molten polypropylene stream; (c) extruding the molten polypropylene stream of step (b) from a number of fine, usually circular, capillaries of a spinneret, thus obtaining filaments of molten polypropylene; and(d) subsequently rapidly reducing the diameter of the filaments obtained in the previous step to obtain a final diameter; wherein said metallocene random copolymer is as defined above. For the production of fibers comprising said polypropylene composition and one or more thermoplastic polymer compositions, the process comprises the steps of(a) polymerizing propylene and at least one comonomer in presence of a metallocene-based polymerization catalyst, to obtain a metallocene random copolymer of propylene and at least one comonomer;(a′) providing a thermoplastic polymer composition as defined earlier in this application to a further extruder;(b) subsequently melt-extruding the polypropylene composition to obtain a molten polypropylene stream;(b′) subsequently melt-extruding the thermoplastic polymer composition to obtain a molten thermoplastic polymer stream;(c) extruding the molten polypropylene stream of step (b) from a number of fine, usually circular, capillaries of a die, thus obtaining extrudates of molten polypropylene;(c′) extruding the molten thermoplastic polymer stream of step (b′) through a number of fine openings surrounding the capillaries of step (b), thus obtaining extrudates of molten thermoplastic polymer; and(c″) combining the extrudates obtained in steps (c) and (c′) to form single filaments of an intermediate diameter, such that an extrudate of step(c′) covers at least 70% of the surface of the fine polypropylene fiber, and(d) subsequently cooling and reducing the titer of the filaments obtained in step (c) to a final fiber titer to obtain fine polypropylene fibers. For the production of multicomponent fibers, i.e. fibers consisting of more than two components, one or more further polymer compositions, such as for example a further polypropylene composition or a further thermoplastic polymer composition, may in turn be fed to separate extruders, subsequently melt extruded to form the respective extrudates, which are then combined with the extrudates of steps (c) and (c′) to form single filaments. The polypropylene nonwovens of the present application may be produced by any suitable methods. Such methods include thermal bonding of staple fibers, the spunlacing process, and the spunbonding process. The preferred method is the spunbonding process. Preferably, in addition to the above described process steps (a), (b), (c) to (d), respectively (a), (a′), (b), (b′), (c), (c′), (c″) and (d) the process for producing nonwovens comprising the fibers of the present application further comprises the steps of(e) collecting the fine filaments obtained in step (d) on a support; and(f) subsequently bonding the collected fine polypropylene fibers of step (e) to form a bonded nonwoven. For the production of thermally bonded nonwovens the present fibers are cut into staple fibers having a length in the range from 5 to 30 mm. Said staple fibers are then carded, i.e. collected as a more or less continuous non-consolidated web on a support. In a final step the non-consolidated web is consolidated by thermal or chemical bonding, with thermal bonding being preferred. In the spunlacing process continuous fibers or staple fibers are distributed randomly a support to form a non-consolidated web, which is then consolidated by means of fine high-pressure water jets and dried. In the spunbonding process a thermoplastic polymer is melted in a first extruder, optionally passed through a melt pump to ensure a constant feeding rate and then extruded through a number of fine, usually circular capillaries of a spinneret. For the production of multicomponent fibers, i.e. fibers comprising the polypropylene composition and one or more thermoplastic polymer compositions as defined above, for example bicomponent fibers, further polymer blends are melted in further extruders, optionally passed through a melt pump, and then extruded through a number of fine openings surrounding the fine, usually circular capillaries of the spinneret. The various extrudates are then combined to form a single-essentially still molten—filament of an intermediate diameter. The filament formation can either be done by using one single spinneret with a large number of holes, generally several thousand, or by using several smaller spinnerets with a correspondingly lower number of holes per spinneret. After exiting from the spinneret, the still molten filaments are quenched by a current of air. The diameter of the filaments is then quickly reduced by a flow of high-pressure air. Air velocities in this drawdown step can range up to several thousand meters per minute. After drawdown the filaments are collected on a support, for example a forming wire or a porous forming belt, thus first forming an unbonded web, which is then passed through compaction rolls and finally through a bonding step. Bonding of the fabric may be accomplished by thermobonding, hydroentanglement, needle punching, or chemical bonding. Composites may be formed from two or more nonwovens, of which at least one comprises fine polypropylene fibers defined above. Said two or more nonwovens may either be bonded together, or they may be left “unbonded” to one another, i.e. just placed on top of each other. In particular, the composites comprise a spunlace or spunbond nonwoven layer (S) according to the present invention or a melt blown nonwoven layer (M) according to the present invention. Composites in accordance with the present invention can for example be SS, SSS, SMS, SMMSS or any other combination of spunlace or spunbond and melt blown nonwoven layers. A first nonwoven or composite, said first nonwoven or composite comprising the fine polypropylene fibers defined above, and a film may be combined to form a laminate. The film preferably is a polyolefin film. The laminate is formed by bringing the first nonwoven or composite and the film together and laminating them to one another for example by passing them through a pair of lamination rolls. The laminates may further include a second nonwoven or composite, which can be but need not be according to the present invention, on the face of the film opposite to that of the first nonwoven or composite. In a preferred embodiment, the film of the laminate is a breathable polyolefin film, thus resulting in a laminate with breathable properties. The polypropylene fibers and filaments described herein can be used in carpets, woven textiles, and nonwovens. The polypropylene spunbond nonwovens of the present invention as well as composites or laminates comprising it can be used for hygiene and sanitary products, such as for example diapers, feminine hygiene products and incontinence products, products for construction and agricultural applications, medical drapes and gowns, protective wear, lab coats, wipes, for example in sanitary but also in industrial applications, etc. With regards to the production of nonwoven, particularly of spunbond nonwoven, the advantages of the present invention are seen in allowing an increase in the speed of the support on which the fibers are collected, i.e. an increase in the speed of the support in step (e) of the present process, due to the fact that the polypropylene composition requires less energy in order to achieve good bonding. This is or particular advantage in the production of laminates comprising a melt blown fibers. When used in combination with known polypropylene compositions and under the respective process conditions in the bonding step, melt blown fibers, these tend to stick to the calender rolls. Using the present fibers this problem can be avoided because either the line can be sped up while keeping the same process conditions as for known polypropylene compositions or the process conditions, particularly the temperature of the calender, can be reduced. The present fibers are also thought to result in a broader bonding window in the bonding step. This should allow more freedom in adapting and optimizing process conditions for the bonding step, particularly when laminates are produced. In terms of mechanical properties, the present fibers and nonwovens are expected to offer improved elongation with respect to that of a metallocene propylene homopolymer while having a strength comparable to that of a metallocene propylene homopolymer. Test Methods Molecular weights are determined by Size Exclusion Chromatography (SEC) at high temperature (145° C.). A 10 mg polypropylene sample is dissolved at 160° C. in 10 ml of trichlorobenzene (technical grade) for 1 hour. Analytical conditions for the GPCV 2000 from WATERS are:Injection volume: +/−400 μlAutomatic sample preparation and injector temperature: 160° C.Column temperature: 145° C.Detector temperature: 160° C.Column set: 2 Shodex AT-806MS and 1 Styragel HT6EFlow rate: 1 ml/minDetector: Infrared detector (2800-3000 cm−1)Calibration: Narrow standards of polystyrene (commercially available)Calculation for polypropylene: Based on Mark-Houwink relation (log10(MPP)=log10(MPS)−0.25323); cut-off on the low molecular weight end at MPP=1000. The molecular weight distribution (MWD) is then calculated as Mw/Mn. Xylene solubles (XS), i.e. the xylene soluble fraction, are determined as follows: Between 4.5 and 5.5 g of propylene polymer are weighed into a flask and 300 ml xylene are added. The xylene is heated under stirring to reflux for 45 minutes. Stirring is continued for 15 minutes without heating. The flask is then placed in a thermostat bath set to 25° C.+/−1° C. for 1 hour. The solution is filtered through Whatman n° 4 filter paper and 100 ml of solvent are collected. The solvent is then evaporated and the residue dried and weighed. The percentage of xylene solubles (“XS”), i.e. the amount of the xylene soluble fraction, is then calculated according to XS(in wt %)=(Weight of the residue/Initial total weight of PP)*300 with all weights being in the same unit, such as for example in grams. The13C-NMR analysis is performed using a 400 MHz Bruker NMR spectrometer under conditions such that the signal intensity in the spectrum is directly proportional to the total number of contributing carbon atoms in the sample. Such conditions are well known to the skilled person and include for example sufficient relaxation time etc. In practice the intensity of a signal is obtained from its integral, i.e. the corresponding area. The data is acquired using proton decoupling, 4000 scans per spectrum, a pulse repetition delay of 20 seconds and a spectral width of 26000 Hz. The sample is prepared by dissolving a sufficient amount of polymer in 1,2,4-trichlorobenzene (TCB, 99%, spectroscopic grade) at 130° C. and occasional agitation to homogenize the sample, followed by the addition of hexadeuterobenzene (C6D6, spectroscopic grade) and a minor amount of hexamethyldisiloxane (HMDS, 99.5+%), with HMDS serving as internal standard. To give an example, about 200 mg of polymer are dissolved in 2.0 ml of TCB, followed by addition of 0.5 ml of C6D6and 2 to 3 drops of HMDS. Following data acquisition the chemical shifts are referenced to the signal of the internal standard HMDS, which is assigned a value of 2.03 ppm. The isotacticity is determined by13C-NMR analysis on the total polymer. In the spectral region of the methyl groups the signals corresponding to the pentads mmmm, mmmr, mmrr and mrrm are assigned using published data, for example A. Razavi, Macromol. Symp., vol. 89, pages 345-367. Only the pentads mmmm, mmmr, mmrr and mrrm are taken into consideration due to the weak intensity of the signals corresponding to the remaining pentads. For the signal relating to the mmrr pentad a correction is performed for its overlap with a methyl signal related to 2.1-insertions. The percentage of mmmm pentads is then calculated according to % mmmm=AREAmmmm/(AREAmmmm+AREAmmmr+AREAmmrr+AREAmrrm)·100 Determination of the percentage of 2.1-insertions for a metallocene propylene homopolymer: The signals corresponding to the 2.1-insertions are identified with the aid of published data, for example H. N. Cheng, J. Ewen, Makromol. Chem., vol. 190 (1989), pages 1931-1940. A first area, AREA1, is defined as the average area of the signals corresponding to 2.1-insertions. A second area, AREA2, is defined as the average area of the signals corresponding to 1.2-insertions. The assignment of the signals relating to the 1.2-insertions is well known to the skilled person and need not be explained further. The percentage of 2.1-insertions is calculated according to 2.1-insertions(in %)=AREA1/(AREA1+AREA2)·100 with the percentage in 2.1-insertions being given as the molar percentage of 2.1-inserted propylene with respect to total propylene. The determination of the percentage of 2.1-insertions for a metallocene random copolymer of propylene and ethylene is determined by two contributions:(i) the percentage of 2.1-insertions as defined above for the propylene homopolymer, and(ii) the percentage of 2.1-insertions, wherein the 2.1-inserted propylene neighbors an ethylene, thus the total percentage of 2.1-insertions corresponds to the sum of these two contributions. The assignments of the signal for case (ii) can be done either by using reference spectra or by referring to the published literature. Melting temperatures Tmeltwere determined by Differential Scanning Calorimetry (DSC) according to ISO 3146 on a DSC Q2000 instrument by TA Instruments. To erase the thermal history the samples are first heated to 200° C. and kept at 200° C. for a period of 3 minutes. The reported melting temperatures Tmeltare then determined with heating and cooling rates of 20° C./min. Fiber tenacity and elongation were measured on a Lenzing Vibrodyn according to ISO 5079:1995 with a testing rate of 10 mm/min. Tensile strength and elongation of nonwovens were measured according to ISO 9073-3:1989. | 35,388 |
11859317 | DETAILED DESCRIPTION Representatively illustrated inFIG.1is a system10for use with a well, and an associated method, which can embody principles of this disclosure. However, it should be clearly understood that the system10and method are merely one example of an application of the principles of this disclosure in practice, and a wide variety of other examples are possible. Therefore, the scope of this disclosure is not limited at all to the details of the system10and method described herein and/or depicted in the drawings. In theFIG.1example, a tubular string12is conveyed into a wellbore14lined with casing16and cement18. Although multiple casing strings would typically be used in actual practice, for clarity of illustration only one casing string16is depicted in the drawings. Although the wellbore14is illustrated as being vertical, sections of the wellbore could instead be horizontal or otherwise inclined relative to vertical. Although the wellbore14is completely cased and cemented as depicted inFIG.1, any sections of the wellbore in which operations described in more detail below are performed could be uncased or open hole. Thus, the scope of this disclosure is not limited to any particular details of the system10and method. The tubular string12ofFIG.1comprises coiled tubing20and a bottom hole assembly22. As used herein, the term “coiled tubing” refers to a substantially continuous tubing that is stored on a spool or reel24. The reel24could be mounted, for example, on a skid, a trailer, a floating vessel, a vehicle, etc., for transport to a wellsite. Although not shown inFIG.1, a control room or cab would typically be provided with instrumentation, computers, controllers, recorders, etc., for controlling equipment such as an injector26and a blowout preventer stack28. As used herein, the term “bottom hole assembly” refers to an assembly connected at a distal end of a tubular string in a well. It is not necessary for a bottom hole assembly to be positioned or used at a “bottom” of a hole or well. When the tubular string12is positioned in the wellbore14, an annulus30is formed radially between them. Fluid, slurries, etc., can be flowed from surface into the annulus30via, for example, a casing valve32. One or more pumps34may be used for this purpose. Fluid can also be flowed to surface from the wellbore14via the annulus30and valve32. Fluid, slurries, etc., can also be flowed from surface into the wellbore14via the tubing20, for example, using one or more pumps36. Fluid can also be flowed to surface from the wellbore14via the tubing20. In the further description below of the example ofFIGS.7&8, one or more flow conveyed plugging devices are used to block or plug openings in the system10ofFIG.1. However, it should be clearly understood that these methods and the plugging device may be used with other systems, and the plugging device may be used in other methods in keeping with the principles of this disclosure. The example methods described below allow existing fluid passageways to be blocked permanently or temporarily in a variety of different applications. Certain plugging device examples described below are made of a filament material and may comprise a central body, a “knot” or other enlarged geometry. The plugging devices may be conveyed into the passageways or leak paths to be plugged using pumped fluid. Filaments, yarn or twine extending outwardly from a body of a device can “find” and follow the fluid flow, pulling the enlarged geometry and/or filaments into a restricted portion of a flow path, causing the enlarged geometry and additional strands to become tightly wedged into the flow path, thereby sealing off fluid communication. The plugging devices can comprise degradable or non-degradable materials. The degradable materials can be either self-degrading, or can require degrading treatments, such as, by exposing the materials to certain acids, certain base compositions, certain chemicals, certain types of radiation (e.g., electromagnetic or “nuclear”), or elevated temperature. The exposure can be performed at a desired time using a form of well intervention, such as, by spotting or circulating a fluid in the well so that the material is exposed to the fluid. In some examples, the material can be an acid degradable material (e.g., nylon, etc.), a mix of acid degradable materials (for example, nylon fibers mixed with particulate such as calcium carbonate), self-degrading material (e.g., poly-lactic acid (PLA), poly-glycolic acid (PGA), etc.), material that degrades by galvanic action (such as, magnesium alloys, aluminum alloys, etc.), a combination of different self-degrading materials, or a combination of self-degrading and non-self-degrading materials. In certain examples described below, the plugging device can be made of knotted filament materials. Multiple knots can be used with any number of loose ends. The ends can be splayed outward. The filament material can be incorporated into twine, rope, fabric, metal wool, cloth or another woven or braided structure. The plugging device can be used to block open sleeve valves, perforations or any leak paths in a well (such as, leaking connections in casing, corrosion holes, etc.). Any opening or passageway through which fluid flows can be blocked with a suitably configured plugging device. For example, an intentionally or inadvertently opened rupture disk, or another opening in a well tool, could be plugged using the plugging device. In some examples, the bottom hole assembly22can comprise a perforator that is used to form perforations through the casing16and cement18. In this manner, fluid communication is established between an interior of the casing16and an earth formation penetrated by the wellbore14. Plugging devices can be used to control the flow of fluid (such as a treatment fluid) through some or all of the perforations. Referring additionally now toFIG.2, an example of a filament yarn40is representatively illustrated. The filament yarn40may be used to construct a plugging device for use in theFIG.1well system10and method, or it may be used to construct plugging devices for use with other systems or methods. In theFIG.2example, the yarn40comprises multiple continuous filaments42that are twisted together to form the yarn. In other examples, the filaments42may not be twisted together to form the yarn40. The filaments42and yarn40can be either be as spun, or texturized. Texturizing may be accomplished by imparting a “false twist” or by air entanglement. Any number of filament(s)42may be used in the yarn40, the filaments are not necessarily continuous, and the filaments are not necessarily twisted together, in other examples. The filaments42may be formed from a variety of different materials. These materials include, but are not limited to, polyethylene terephthalate (PET), nylon, acrylics, polyurethanes, polypropylene, rayon, aramid, modacrylic, olefin, polyester, saran, polylactic acid (PLA), polyether ether ketone (PEEK), polyphenylene sulfide, etc. Each filament42could comprise a combination of different materials in some examples. If multiple filaments42are used in the yarn40, then the different filaments may comprise respective different materials. The scope of this disclosure is not limited to use of any particular material or combination of materials for the filaments42. In some examples, the yarn40can be used to produce a rope or twine (seeFIG.5) as an intermediate step in constructing a plugging device. In that case, multiple strands of yarn40may be braided together to form the twine, and the twine (or multiple twines) may then be used to form the plugging device. Referring additionally now toFIG.3, a flowchart for an example method50of producing a plugging device is representatively illustrated. In this example, a certain configuration of the plugging device is produced, but it will be appreciated by those skilled in the art that different plugging device configurations may be produced using certain steps of the method50, without departing from the principles of this disclosure. In step52, a yarn (such as, theFIG.2filament yarn40) is impregnated with a swellable material (seeFIG.8). In this example, the swellable material is selected so that it swells (increases in volume) in response to contact with water in a well. Each of the filaments42of the yarn40is coated with the swellable material in the impregnating step52. A suitable water-swellable polymer for use as the swellable material is sodium polyacrylate. In order to facilitate the impregnating of the yarn40, the sodium polyacrylate may be dispersed in a polyvinyl chloride (PVC) plastisol resin matrix, so that the material coats the individual filaments42. In other examples, the swellable material could swell in response to other types of stimuli. The swellable material could swell in response to contact with a particular swelling agent in the well, such as, hydrocarbons or a fluid having a selected pH range. The swellable material could swell in response to exposure to elevated temperature in the well. The swellable material could swell in response to passage of time. Thus, the scope of this disclosure is not limited to any particular technique, mechanism or stimulus for causing the swellable material to swell. Additional suitable swellable materials include the following:1. Poly(acrylic acid), crosslinked, sodium or potassium salt thereof;2. Poly(acrylic acid-co-acrylamide), crosslinked, sodium or potassium salt thereof;3. Poly(acrylic acid), sodium or potassium salt-graft-poly(ethylene oxide), crosslinked;4. Poly(2-hydroxyethyl methacrylate);5. Poly(2-hydroxyethyl acrylate);6. Starch;7. Starch grafted with acrylic acid or methacrylic acid, sodium or potassium salt thereof;8. Water-swellable clay (e.g., sodium bentonite); and9. Cellulose derivatives (e.g., hydroxyethylcellulose). In step54, the impregnated yarn40is heat cured. Application of heat binds the swellable material coating to the filaments42of the yarn40. In step56, the yarn40is braided to form a twine. The term “twine” is used herein to indicate a string or rope formed of multiple strands braided or twisted together. In step58, the twine is knotted to form an enlarged body of the plugging device (seeFIG.5). A knot may be formed in the twine by interlacing ends of the twine, so that a compact bundle, knob or knot in the twine serves as the body of the plugging device. In step60, after the plugging device has been produced, it is deployed into a well. In theFIG.1system10and method, one or more plugging devices may be deployed into the wellbore14to plug or block fluid flow through perforations formed in the casing16and cement18. The plugging devices may be deployed into the wellbore14before or after the perforations are formed. The plugging devices may be individually or collectively deployed into the wellbore14from a surface location, or the plugging devices may be conveyed into the wellbore in a container (for example, as a component of the bottom hole assembly22) and then released from the container downhole. The scope of this disclosure is not limited to any particular method for deploying the plugging devices into a well. Referring additionally now toFIG.4, another example flowchart for the method50is representatively illustrated. In this example, the steps54,56,58,60of theFIG.3method50are used, but the steps are performed in a different order. In theFIG.4method50, the yarn40is braided to form the twine in step56, and then the twine is impregnated with the swellable material in step62. This step62is similar to the step52of theFIG.3method, except that the filaments42of the yarn40are coated with the swellable material after the twine is formed from the yarn. In order to ensure that each of the individual filaments42is coated with the swellable material, the impregnating step62may be performed after a vacuum has been applied to the twine. For example, the twine could be placed in a vacuum chamber or flexible enclosure, a vacuum could be applied to the chamber or enclosure, and then the swellable material could be introduced into the chamber or enclosure. After the twine is impregnated with the swellable material, the steps54,58and60are performed to bind the swellable material to the filaments42, form the knot and then deploy the plugging device70into the well. Referring additionally now toFIG.5, an example of a plugging device70that the method50may be used to produce is representatively illustrated. However, it should be understood that other plugging device configurations could be produced by the method50examples described herein, in keeping with the principles of this disclosure. In theFIG.5example, multiple strands of the yarn40are braided together to form a rope or twine72. An enlarged body74of the plugging device70is formed by knotting the twine72, such as, by interlacing ends of the twine to form a compact knot (for example, a double overhand knot or other type of knot). A size of the knot is selected so that the knot or the body74will engage but not pass through an opening in the well. For example, if it is desired to prevent flow through a perforation in the well, the body74can be configured so that it has an outer dimension that is larger than a diameter of the perforation. In this manner, the plugging device70can engage the perforation or other opening and block flow of fluid through the opening. As depicted inFIG.5, the strands of yarn40are splayed outward at ends of the twine72. This configuration increases fluid drag on the plugging device70, so that the plugging device is carried with fluid flow to an opening which it is desired to plug. Even more fluid drag may be produced by splaying outward the individual filaments42of the yarn40at the ends of the twine72. However, it is not necessary for the strands of yarn40to be splayed outward at the ends of the twine72. For example, the twine itself extending outwardly from the body74may be sufficient to produce a desired amount of fluid drag on the plugging device70. Referring additionally now toFIG.6, another example flowchart for the method50is representatively illustrated. In this example, the knot is formed in the step58prior to impregnating the knot with the swellable material in a step78. The knot may be formed as described above for theFIGS.3&4examples. The yarn40is braided to form the twine72(see step56) and the resulting twine is used to form the knot or body74of the plugging device70in this example. As depicted inFIG.6, a vacuum is applied to the plugging device70after the knot or body74is formed in step76. This is similar to the technique described above in relation to impregnating the twine72in theFIG.4example. However, in theFIG.6method50, the knot is formed prior to the vacuum being applied to the plugging device70. The plugging device70could be placed in a vacuum chamber or flexible enclosure, a vacuum could be applied to the chamber or enclosure, and then the swellable material could be introduced into the chamber or enclosure. In step78, the knot is impregnated with the swellable material. If the technique described above is used (e.g., a vacuum is applied to the entire plugging device70), then the entire plugging device can be impregnated with the swellable material. Preferably, each of the individual filaments42in at least the knot or body74of the plugging device70is coated with the swellable material as a result of this step78. After the knot is impregnated with the swellable material, the steps54and60are performed to bind the swellable material to the filaments42and then deploy the plugging device70into the well. Referring additionally now toFIG.7, the plugging device70is representatively illustrated in the well system10and method after the plugging device has engaged an opening80in the well. In this example, the plugging device70is deployed into the well and is conveyed into engagement with the opening80by fluid flow in the well. The twine72and yarn40extending outwardly from the body74enhances fluid drag on the plugging device70, so that it is conveyed by the flow to the opening80(through which the fluid flows prior to the plugging device engaging the opening). The plugging device70engages the opening80, but the body74of the plugging device70is too large to permit the plugging device70to pass through the opening. In this manner, the plugging device70blocks flow through the opening80. The opening80in theFIG.7example is in the form of a perforation formed through the casing16and cement18. The perforation provides fluid communication between an interior of the casing16and an earth formation82. Other types of openings may be blocked by the plugging device70in other examples. The plugging device70includes features that enhance the engagement between the plugging device and the opening80, so that the plugging device can more effectively prevent fluid flow through the opening. For example, the use of the swellable material on the filaments42of the yarn40can enable the plugging device70to sealingly engage a surface of the opening80, and can provide for sealing between the filaments so that leakage of fluid through the plugging device itself is prevented, thereby decreasing a permeability of the plugging device. Referring additionally now toFIG.8, an enlarged scale cross-sectional view of engagement between the plugging device70and the opening80is representatively illustrated. In this view, one of the yarns40of the plugging device body74is depicted in contact with a surface80aof the opening80. The yarn40shown inFIG.8could instead be part of the twine72extending outwardly from the body74. Thus, it will be appreciated that portions of the plugging device70other than the body74can engage and seal against the surface80aof the opening80. As described above, each of the filaments42is coated with a swellable material84. After the plugging device70is deployed into the well, the swellable material84swells. For example, the swellable material84may be contacted by a suitable swelling agent (such as, water, hydrocarbons, a fluid having a particular pH range, etc.) or may experience another type of stimulus (such as, heat, passage of time, etc.), which causes the swellable material to swell. In theFIG.8example, the swellable material84has swollen and sealingly engages the inner surface80aof the opening80. In this manner, the ability of the plugging device70to effectively block flow through the opening80is significantly enhanced, especially in circumstances in which the surface80aof the opening is irregularly shaped as depicted inFIG.8. In addition, the swellable material84on each of the filaments42sealingly contacts the swellable material on adjacent filaments, thereby sealing between the filaments. This prevents fluid flow through the plugging device70itself, thereby decreasing a permeability of the plugging device. Note that the swellable material84may swell before or after the plugging device70engages the opening80. A swelling agent that causes the swellable material84to swell may be present in the well when the plugging device70is deployed into the well, or it may be introduced into the well after the plugging device is deployed or after the plugging device engages the opening80. As mentioned above, the plugging device70may comprise a degradable material. After it is no longer desired for the plugging device70to block flow through the opening80(such as, when it is desired to produce fluid from the formation82), all or a portion of the plugging device may degrade, so that it can no longer block flow through the opening. For example, the filaments42, the swellable material84or both of them may comprise a degradable material. Any technique or mechanism for degrading a material of the plugging device70may be used, including but not limited to those described in US Publication No. 2017/0260828, the entire disclosure of which is incorporated herein by this reference for all purposes. Alternatively, the plugging device70could be dislodged from the opening80by production fluid flow from the formation82or by mechanical means, including but not limited to those described in U.S. Pat. No. 9,920,589, the entire disclosure of which is incorporated herein by this reference for all purposes. It may now be fully appreciated that the above disclosure provides significant advancements to the art of constructing and utilizing plugging devices for controlling flow through openings in wells. In some examples described above, individual filaments42of a plugging device70are coated with a swellable material84. The swellable material84swells in the well, so that sealing is enhanced between the individual filaments42and/or between the filaments42and a surface80aof an opening80. The above disclosure provides to the art a method50of constructing a plugging device70for use in a subterranean well. In one example, the method50can comprise: incorporating multiple filaments42into the plugging device70, and coating each individual filament42with a swellable material84. In any of the examples described herein: The incorporating step may include incorporating the filaments42into multiple strands of yarn40. The incorporating step may include forming a twine72with the multiple strands of yarn40. The coating step may include coating the filaments42after the twine72forming step. The method may include forming a knot in the twine72. The coating step may include coating the filaments42after the knot forming step. The swellable material84may comprise a water-swellable material. The swellable material84may swell in the well. Also described above is a plugging device70for use in a subterranean well. In one example, the plugging device70can comprise: a body74comprising multiple filaments42, and a swellable material84on each of the individual filaments42. In any of the examples described herein: The body74may comprise a knot. The swellable material84may comprise a coating on each of the filaments42. The swellable material84may seal between the filaments42. The swellable material84may prevent fluid flow between the filaments42. The filaments42may be incorporated into multiple strands of yarn40. The strands of yarn40may be incorporated into a twine72, and a knot may be formed in the twine72. The yarn40may be splayed outward at ends of the twine72. A system10for use with a subterranean well is also described above. In one example, the system10can comprise: a plugging device70conveyed by fluid flow into engagement with an opening80, whereby the plugging device70blocks flow through the opening80. The plugging device70in this example comprises multiple filaments42, each of the multiple filaments42being coated with a swellable material84. The swellable material84seals against a surface80aof the opening80. In any of the examples described herein: The filaments42may be incorporated into a body74of the plugging device70. The body74may comprise a knot. The body74may be larger than the opening80, whereby the body74is prevented from passing through the opening80. The swellable material84may comprise a water-swellable material. The swellable material84may seal between the filaments42. The swellable material84may prevent fluid flow between the filaments42. The filaments42may be incorporated into multiple strands of yarn40. The strands of yarn40may be incorporated into a twine72, and a knot may be formed in the twine72. The yarn40may be splayed outward at ends of the twine72. The swellable material84may reduce a permeability of the plugging device70. Although various examples have been described above, with each example having certain features, it should be understood that it is not necessary for a particular feature of one example to be used exclusively with that example. Instead, any of the features described above and/or depicted in the drawings can be combined with any of the examples, in addition to or in substitution for any of the other features of those examples. One example's features are not mutually exclusive to another example's features. Instead, the scope of this disclosure encompasses any combination of any of the features. Although each example described above includes a certain combination of features, it should be understood that it is not necessary for all features of an example to be used. Instead, any of the features described above can be used, without any other particular feature or features also being used. The terms “including,” “includes,” “comprising,” “comprises,” and similar terms are used in a non-limiting sense in this specification. For example, if a system, method, apparatus, device, etc., is described as “including” a certain feature or element, the system, method, apparatus, device, etc., can include that feature or element, and can also include other features or elements. Similarly, the term “comprises” is considered to mean “comprises, but is not limited to.” Of course, a person skilled in the art would, upon a careful consideration of the above description of representative embodiments of the disclosure, readily appreciate that many modifications, additions, substitutions, deletions, and other changes may be made to the specific embodiments, and such changes are contemplated by the principles of this disclosure. For example, structures disclosed as being separately formed can, in other examples, be integrally formed and vice versa. Accordingly, the foregoing detailed description is to be clearly understood as being given by way of illustration and example only, the spirit and scope of the invention being limited solely by the appended claims and their equivalents. | 25,764 |
11859318 | DETAILED DESCRIPTION In the following a weft yarn feeding arrangement for a weaving machine will be described. In the Figures, the same reference numerals designate identical or corresponding elements throughout the several figures. It will be appreciated that these figures are for illustration only and are not in any way restricting the scope of the invention. Also, it is possible to combine features from different described embodiments to meet specific implementation needs. For many types of yarns twist is not allowed to exist in the finished fabric. For such yarns the yarn feeding cannot twist the yarn and the yarn is fed with zero twist, that can be termed a zero-twist yarn feeding arrangement. InFIG.1a weft yarn feeding arrangement12comprising a motor driven bobbin13in combination with a motor driven loop buffer device16is shown. The arrangement12can be used to feed a yarn with zero twist. In the arrangement12, weft yarn40is tangentially un-rolled from the motor driven bobbin13. The motor driven bobbin13is connected to a motor14. The motor14can in accordance with some embodiments be directly connected to a shaft on which the bobbin in placed. In accordance with some embodiments the motor is connected via a gearing mechanism or the bobbin is rotated by the motor14by a line shaft. Other configurations for rotating the bobbin by controlling the motor14can be envisaged. The weft yarn passes the motor driven loop buffer device16, which is adapted to form a weft yarn buffer. The weft yarn is supplied from the motor driven buffer device16to a weaving machine10. The weaving machine10can for example be a rapier weaving machine or a projectile weaving machine. The motor driven buffer device16can be formed by a yarn loop-forming arm22, a buffer arm. The arm22can be moved to form an adjustable buffer of weft yarn to be supplied to the weaving machine10. The movements of the arm22are achieved by a motor18connected to the arm22. The arm can be connected either directly to the motor shaft or via a gear arrangement to the motor. A force sensor or a tension sensor29can also be provided to detect and output a signal representing the actual yarn tension. In the set-up in accordance withFIG.1the weft yarn inserted in to the weaving machine will always have a controlled yarn tension, i.e. there will be no loose yarn that can be drawn into the weaving machine. The arm motor18and also the motor14of the motor driven bobbin13can be controlled by a controller32as will described in more detail below. In accordance with one embodiment the motor driven bobbin13is configured to unroll the bobbin by a center drive as is shown inFIG.1. When controlling a weft yarn feeding arrangement12as described above, a controller32can be used. The controller32can be provided with control data to control the speed of the motor14driving the bobbin and the movement of the motor driven loop buffer device16. By controlling the motor driven bobbin13and motor driven loop buffer device16, weft yarn can be supplied to the weaving machine correctly at high weaving speed. The input to the controller for determining control data can in accordance with one embodiment be one or many of:signals representing the state of the weaving machine. The signals can for example represent actual position (machine angle, machine encoder position), start in advance, speed ramp up, pattern, channel sequence or other signals representing events or motions in the weaving machine that could impact the insertion speed or sequence of the weft yarn. The signals can also be used to suppress an insertion if the weaving machine is performing a so-called pick finding. For example, the weaving machine can in accordance with one embodiment be run in slow motion or back and forth to remove a faulty pick. During such a procedure, the yarn feeding arrangement can be controlled to not release any yarn. Another example can be that the weaving machine moves in a special sequence to avoid start marks in the woven textile. Based on these movements and commands from the weaving machine, the controller32of the yarn feeding arrangement12can be configured to perform pre-determined actions.signals from the motor driving the bobbin. The signals can for example be a signal representing the position and/or speed of the motor, for example a signal from a rotation/angle sensor such as an encoder. Other signals representing the state of the motor could also be used. Examples can here be the motor current. The motor current provides information about the momentum of the motor that can be used to determine the acceleration of the bobbin.signals from the loop-forming arm motor. The signals can for example be a signal representing the position and/or speed of the motor, for example a signal from a rotation/angle sensor such as an encoder. Other signals representing the state of the motor could also be used.signals indicative of the present (actual) weft yarn tension, for example signals from a force sensor.signals representing the length of the inserted yarn measured at the right-hand side of the machine, for example by a sensor that measures the position or length of the free end of the yarn, so called waste length sensor.a signal representing the momentary (actual) bobbin circumference.parameters P describing the particular set-up for, for example, loop-forming arm length, position of the weft yarn guides, settings of the weaving machine. In some embodiments. the position of various components such as the position of the bobbin, the position of the buffer arm, and the position of the sensor arm can be used. The positions of the components can be used to determine the length of yarn based on the angular relationship between the buffer and the bobbin and sensor arm, respectively. For a rapier weaving machine, the position of rapier(s) in relation to the rapier machine angle position etc. In particular, a look-up table or some other relation for the position of rapier(s) in relation to the weaving machine angle position can be provided. From such a look-up table the desired insertion speed of the weft yarn to the weaving machine can be deduced based on the actual weaving machine angle. Hereby the arm can be controlled to a position that allows for the correct amount of yarn to be fed to the weaving machine at a corresponding machine angle. The arm can be controlled based on a mathematic model following the amount of yarn to be fed at a particular machine angle. The mathematic model can in accordance with some embodiments be formed by cubic splines. From the controller32speed/position control signals to the loop-forming arm motor18and bobbin un-wind motor14can be output. The controller32is programmed to run the bobbin un-wind motor at a speed at which the average amount of weft yarn that the weaving machine consumes is unwound from the bobbin or close to such a speed. At the same time the controller is programmed to run the motor of the loop-forming arm so that the movement of the arm compensates for the difference of the essentially constant un-wind speed of the weft yarn from the bobbin and the intermittent consumption of weft yarn by the weaving machine. Generally, the motor of a motor driven buffer device is driven to keep the buffered yarn length equal to, or within a pre-determined range around, the difference between the amount of yarn unrolled from the bobbin and the amount of yarn consumed by the weaving machine during the insertion to thereby control the yarn tension. The target of the control system can in accordance with one embodiment be to have a constant yarn tension or to follow a varying yarn tension curve over a weaving machine cycle. In an alternative or supplemental configuration, the speed of the motor of the motor driven bobbin is adjusted based on another input signal than a signal representing the actual yarn tension. For example, a signal representing the position of the motor driven loop buffer device can be used or any other signal indicative of if the bobbin is unwound at a speed that matches the average yarn consumption of the weaving machine. Also, a signal indicative of accumulated errors in the amount of yarn fed to the weaving machine can be used. Hereby errors compensated for by the yarn buffer can be restored and the yarn buffer be returned to a neutral position, or the bobbin can be rotated faster or slower. A force sensor29detecting the yarn tension can be used to give feedback to the control system in order to correct for the error between the expected consumption of the weaving machine and the real consumption, both in average and during the actual insertion. The control system can also be programmed to correct for the error between the expected amount of yarn unrolled from the bobbin and real amount based on a feedback signal from the force sensor. In a set-up where the bobbin is driven on its center shaft, the control output signal can be revolutions per minute (rpm). Thus, it is important to know the actual circumference of the bobbin. This is especially important at start-up of the system. To gain this information a sensor that measures the diameter of the bobbin can for example be used, or a learning procedure as described below can be performed. The motors of the weft yarn feeding arrangement can be controlled according to the following principles: The controller32for control of the motor running the loop-forming arm can have predetermined values or functions and parameters for the required buffer position in relation to the weaving machine angle, a so-called feed forward control model. The controller is also provided with information about the dynamics of the system. When the weaving machine is running the motor driven loop-forming arm will be controlled to act accordingly in order to always have the buffer arm in the proper position at all weaving machine angles and weaving machine speeds. The force sensor gives a feedback to the control system so it can correct deviations, such as external influence and also dynamic model pre-set values or actual running inaccuracy. To improve the control a learning procedure aiming at providing control data to the controller about system components and behavior of system components when the yarn feeding arrangement beforehand can be applied. The learning procedure gives the controller32knowledge of the system components before the weaving machine is started to operate at full operational speed. Hereby, control can be improved and the risk for faulty control is reduced. InFIG.2, a flow chart illustrating some steps when controlling a weft yarn feeding arrangement12using a learning procedure is shown. First, in a step201a learning procedure is run. During the learning procedure, at least some parts of the yarn feeding arrangement is operated to gain knowledge about parameters of the yarn feeding arrangement or of the weaving machine that can be used to control the yarn feeding arrangement. Operation of the yarn feeding arrangement will typically involve driving at least one of the motors either backwards or forward. For example, the bobbin motor14and or the buffer arm motor18can be driven. The learning procedure can be any procedure run to establish data about the components of the yarn feeding arrangement12. Various possible procedural steps that can be performed are described in more detail below. Next, in a step203, a model for weft insertion yarn motion in the yarn feeding arrangement is determined based on the learning procedure. Then, in a step205, the motor of the motor driven bobbin is driven at a speed to feed a determined essentially average amount of weft yarn to be consumed by the weaving machine. The motor of the motor driven loop buffer is, in a step207, driven based on the difference between the output yarn motion from the motor driven bobbin and the model of weft insertion yarn motion in the weaving machine. The speeds set in steps205and207can then be continually adjusted based on feedback information. The start values determined during the learning procedure will ensure that the control can be started with high speed. InFIG.3, a controller32for controlling a weft yarn feeding arrangement12is depicted. The controller32can comprise an input/output81for receiving input signals for parameters used for controlling the yarn feeding device as set out above. For example, the input signals can be various sensor signals from sensors of the yarn feeding device. For example, sensor signals can be provided from any type of sensor, e.g. optical sensors, mechanical sensors or capacitive sensors. The yarn tension sensor(s) can for example be a piezo resistive type sensor, a strain gauge type sensor, or by sensing the position of a resilient or spring-loaded yarn guide. Hereby the yarn length can be determined. The yarn length can be used as an alternative or in combination with a yarn tension signal as a feed-back signal to control the motor speed of the motor driven loop buffer device and in some embodiments as a feed-back signal to control the motor speed of the motor driven bobbin. Other types of input signals can also be provided such as encoder signals and the like. Also signals from the weaving machine can be input to the controller32and used to control the weft yarn feeding arrangement. In particular, the weaving machine angle can be provided. The input/output81outputs motor control signal(s) to the controlled motors of the weft yarn feeding arrangement. The controller32further comprises a micro-processor or some other suitable data processing device such as a Central Processing unit (CPU) or a Digital Signal processor (DSP) that also can be referred to as a processing unit82. The processing unit82is connected to and can execute computer program instructions stored in a memory83. The memory83can also store data that can be accessed by the processing unit82. The data in the memory can comprise pre-stored data relating to the weaving machine10. In particular, a model of the rapier movements can be stored to form a model of the weft yarn speed into a rapier weaving machine. The computer program instructions can be adapted to cause the controller to control the yarn feeding arrangement in accordance with the teachings herein. The controller32can be located at any suitable location. For example, the controller32can be integrated in a motor of the yarn feeding arrangement. The controller32can also be distributed at different locations. For example, one controller can be provided for each motor to be controlled and a central controller can be provided as a central control unit to control the motor controllers. The yarn feeding arrangement as described herein is a so-called positive feed system; it measures and outputs a pre-defined amount of yarn in synchronism with the weaving machine angle. In other words, the yarn feeding arrangement controls the amount of yarn available for the weaving machine in that the weaving machine cannot draw more yarn than the yarn feeding arrangement has fed. This in contrast to a so-called negative feeding arrangement where the weaving machine draws an amount of yarn without being limited by how much yarn the yarn feeder can supply. Thus, in a negative feed system, the weaving machine has more or less free access to yarn, whereas in positive feed systems the yarn feed arrangement determines how much yarn can be fed to the weaving machine. The feedback to correct errors between the pre-defined amount of yarn and the real consumption in the positive feed system is obtained by a sensor, in particular a yarn tension sensor. In one embodiment, the yarn tension sensor is combined with a small mechanical or spring-loaded yarn buffer. InFIG.4, the amount of yarn output from the yarn feeding arrangement is depicted during a complete machine cycle (0-360 degrees). As can be seen the amount of yarn output per machine angle will vary. InFIG.5, the difference between the amount of yarn output from the yarn feeding arrangement and the amount received by from the motor driven bobbin is depicted. The curve depicted inFIG.5is the curve that the motor driven loop buffer device aims to follow and which the motion model based on the learning procedure aims at mimicking. Exemplary Learning Procedures Determination of Bobbin Diameter If the bobbin is driven in its center it is important to know the actual outside diameter/circumference of the bobbin or some other parameter from which the amount of yarn that is unrolled from the bobbin per angle. Another component that can affect the yarn motion in the yarn feeding arrangement is the yarn store length on the bobbin as well as the slope (gradient) of the yarn wound on the bobbin. When unrolling the yarn from the bobbin, the yarn will sweep from side to side and thus influence the length drawn from the bobbin at each rotation of the bobbin. In accordance with one exemplary embodiment of the learning procedure, the controller is configured to determine the drawn of length for each rotation of the motor driven bobbin. This can be performed by a thread up of the yarn feeding arrangement and fix the free end of the yarn, for example in the entrance of the insertion system of the weaving machine. The yarn is then stretched to provide a starting point of the learning procedure. The motor driven bobbin is then rotated and the yarn tension or position sensor detects the difference in tension or position. With use of this information the controller is configured to run the motor driven buffer arm to keep the yarn tension or the position of the sensor arm constant or according to a predetermined pattern. By detecting the angle of the buffer arm and compare this with the rotation of the bobbin and a model of the geometry of the yarn feeding arrangement, the controller can determine the length of yarn for each revolution and each degree of rotation of the bobbin. By using a substantial portion, e.g. more than 50%, of the stroke of the buffer arm when rotating the bobbin, a good precision can be achieved. In accordance with some embodiments, the position or angle of the sensor arm can be used in the determination of the length of yarn per revolution of the bobbin. The learning procedure for determining the drawn of length for each rotation of the motor driven bobbin is preferably performed each time a new bobbin with an unknown diameter is introduced. However, if the same type of bobbin is used again and again it is typically unpractical to perform the learning procedure after each bobbin change (when a bobbin is finished it has to be replaced with a new one). In this case a user can instruct the yarn feeding arrangement that a new, full, bobbin is put in operation. This can be done by a push button or a command on a Human-Machine Interface (HMI), or any other method. When the controller of the yarn feeding arrangement receives this information, the controller can be configured to replace a latest parameter of the nearly empty bobbin with a stored value representing a full bobbin. The weaving machine can be started immediately after threading up and without any learning procedure. Slow-Motion Insertion By making in a learning procedure an insertion in slow motion a lot of data can be captured before operating the weaving machine at full operational speed. This is advantageous because starting the weaving machine at full operational speed before a good control model is obtained could potentially lead to failure. By operating the weaving machine in slow motion, important data for the control model can be captured that allows for driving the weaving machine at full operational speed with feed forward control. In a slow-motion operation, the weaving machine is operated at a speed below normal speed of operation. Typically, the weaving machine can be operated at 50 rpm or below. During the slow-motion insertion, the tension/position sensor gives input to the controller of the yarn feeder arrangement in a way so either the bobbin is rotated, or the buffer arm is moved or both the bobbin and the buffer arm are moved at the same time. During the slow-motion insertion, it is aimed to keep the yarn tension constant or at predetermined target tensions, or to keep the sensor arm in a constant position or two or several predetermined positions. By during such a slow-motion insertion then capture the angle and/or position of the weaving machine, the bobbin, the buffer arm and the sensor arm and comparing these, the length of yarn inserted in each weaving machine cycle a curve for yarn motion through the yarn feeding arrangement can be determined for a complete machine cycle. I.e. the controller can determine the amount of yarn fed from the bobbin and consumed by the weaving machine for each moment in the machine cycle. Another way of describing the length of yarn inserted in each machine cycle is to calculate a gearing ratio between the bobbin and the weaving machine. The gearing ratio will yield a ratio between the angular speed of the bobbin and the average yarn speed of the weaving machine. Thus, the gearing ratio represents the relation between yarn length per complete weaving machine cycle and the corresponding bobbin rotation angle. The gearing ratio parameter is speed independent because the angular speed of the bobbin will increase linearly with the average yarn speed inserted into the weaving machine during a machine cycle. To increase the accuracy, the learning procedure can in accordance with some embodiment comprise several repeated slow-motion insertions. The repetition can be performed, either with feedback, such as by using a proportional-integral-derivative (PID) regulator, or by running the curve in feed forward mode and read out the deviation, or a combination of both. The slow-motion insertion described above will enable capturing data to be used by the controller for taking into account static properties of the yarn feeding arrangement. However, to also include dynamic properties change caused by for example elasticity of yarn and mechanical components that might occur when running at a speed higher than slow motion, a learning procedure can also be run at an increased speed. The increased speed is higher than the slow-motion speed and can typically be about 25%-50% of full operational speed. In accordance with some embodiments, the increased speed is the full operational speed. Further, to improve the data received and used by the controller, the sensor arm preferably has a very low moment of inertia, this to be able to follow the rapid speed changes that occurs in a modern weaving machine. This can be particularly advantageous for a two-sided rapier machine, where the rapier takes the yarn at start of the insertion when the rapier already has accelerated to a significant speed, as well as at end of insertion where the rapier often releases the yarn at a comparatively high speed. The yarn will then be exposed to a speed step. To follow the speed step without causing high tension deviations, the sensor arm must have a very low moment of inertia and a force from for example a spring that is high enough to follow this rapid speed change. The spring force can then typically be high enough to give a yarn tension of up to a few hundred cN, typically 50-200 cN. The mass of inertia can advantageously be in the range of a few grams equivalent mass, typically 1-4 grams. Equivalent mass is the mass that the yarn experience and that it needs to shift to move it, or moment of inertia divided by the radius in square. To keep the mass of inertia low, the design of the sensor arm and also the buffer arm is made with very light elements. Most tape yarns works well with a normal sliding friction against the senor arm deflection bar and the buffer arm deflection bar. This bar can be made of ceramic or aluminum, or any light material below some pre-determined density, coated with a wear resistant surface. Some yarns however have a very high friction or is sensitive for sliding against a deviation element. In this case a roller that has a bearing can be used. Sensitive yarns are for example some carbon and glass fiber yarns and tapes. The sensor comprises a sensor arm and it is advantageous if the length of the arm is sufficient to take up or release the yarn length that is a result from errors in the regulation and the yarn length that results from the speed steps when the rapier takes and release the yarn and the buffer arm is not fast enough to follow. Typically, the sensor arm can have a length between 15 mm and 70 mm, in particular between 20 mm and 40 mm. The force of the sensor arm can in one embodiment be settable by for example an spring with variable force, or via an actuator for example an electric motor or electromagnet. The force can be settable to optimize a certain article, for example can yarns of different size and weight need different spring force to have optimized running conditions. The force can in one embodiment be settable also within the pick to obtain different yarn tension in different zones of the insertion. Learning Procedure There are a numerous different possible combinations of learning possible using the different components of the yarn feeding arrangement such as buffer arm, bobbin unwind, machine stand still, slow motion and running. They can be performed in different order. Advantageously the controller is configured to obtain knowledge about the gearing ratio between the bobbin and the weaving machine and the motion of the yarn in the yarn feeding arrangement during a machine cycle. This can also be seen as the speed of yarn unwound from the bobbin and the speed of yarn entering the weaving machine and the yarn motion in the yarn feeding arrangement. The controller can be connected to the weaving machine to obtain the machine angle information. It is then not necessary to stop between machine cycles or run whole cycles. In a preferred embodiment at least one machine cycle (360 degrees) is run during the learning procedure. In a preferred embodiment the weaving machine is first run slowly where a feedback regulation is possible to use. The data is then saved and computed and used to partly or fully run a feed forward control in higher speeds. A typical learning procedure when introducing a new yarn or a new machine can be as follows: 1. Thread up the machine and position the buffer arm in a position where it buffers at least one insertion. Make a, first, slow-motion insertion and use information from the sensor arm to control the buffer arm so it gives the yarn needed to follow the insertion procedure, e.g. the rapier(s) in a rapier machine. The bobbin is kept still during the slow-motion insertion. After one complete machine cycle, 360 degrees, the weaving machine is stopped. The buffer arm is then moved back to its original start position and the bobbin is rotated to give out corresponding length of yarn. By comparing the rotation of the bobbin with the buffer arm movement and the sensor position, a gearing ratio between the motor driven bobbin and the weaving machine is determined. The controller now knows how much yarn the weaving machine consumes for each insertion and thus how much yarn needs to be unwound from the bobbin during a complete machine cycle. If a higher precision is needed this can be repeated for several weaving machine cycles. 2. The buffer arm is moved to the start position for weaving and the bobbin is rotated to keep the yarn stretched and the sensor arm in the desired starting position. Another, second slow-motion insertion is then performed and the bobbin is rotated according to the gearing ratio calculated in step 1, i.e. it rotates so it follows the machine angle and after one machine cycle the bobbin has released the yarn length that corresponds to one insertion. The sensor arm signal obtained is used to control the buffer arm so it follows the insertion of the weaving machine and by comparing the amount of yarn unwound from the bobbin, the weaving machine angle, the sensor arm position and the buffer arm position the motion of the yarn in the yarn feeding arrangement, i.e. from leaving the bobbin to entering the weaving machine is determined. A feed forward curve to be used by the control system is determined based on the determined motion of yarn in the yarn feeding arrangement and used in the next step. If a higher precision is needed this can be repeated for several weaving machine cycles. 3. Step 2 is repeated in higher speed. Dynamic properties are obtained and the controller makes compensation for dynamic properties. During step 3 the determined feed forward curve from step 2 can be used. 4. The control system now has information enough to start weaving. An ILC (Iterative Learning Control) component in the controller can be used to compensate for the deviations that occur during running of the system. Iterative Learning Control (ILC) is in accordance with Wikipedia, a method of tracking control for systems that work in a repetitive mode. Examples of systems that operate in a repetitive manner include robot arm manipulators, chemical batch processes and reliability testing rigs. In each of these tasks the system is required to perform the same action over and over again with high precision. This action is represented by the objective of accurately tracking a chosen reference signal r(t) on a finite time interval. The repetition allows the system to improve tracking accuracy from repetition to repetition, in effect learning the required input needed to track the reference exactly. The learning process uses information from previous repetitions to improve the control signal ultimately enabling a suitable control action can be found iteratively. The internal model principle yields conditions under which perfect tracking can be achieved but the design of the control algorithm still leaves many decisions to be made to suit the application. A typical, simple control law is of the form: Up+1=Up+K*ep where Upis the input to the system during the p:th repetition, ep is the tracking error during the p:th repetition and K is a design parameter representing operations on ep. Achieving perfect tracking through iteration is represented by the mathematical requirement of convergence of the input signals as p becomes large whilst the rate of this convergence represents the desirable practical need for the learning process to be rapid. There is also the need to ensure good algorithm performance even in the presence of uncertainty about the details of process dynamics. The operation K is crucial to achieving design objectives and ranges from simple scalar gains to sophisticated optimization computations. Start of Machine At start of a weaving machine, such as a rapier weaving machine, the machine typically accelerates from zero to a substantial speed, for example 100 rpm, or 300 rpm, or even up to today's industrial speeds of 650 rpm for a 2 m wide machine. A typical industrial speed of a 4 m wide machine is 350 rpm. The buffer arm with its drive and control is dimensioned to follow the maximum speed of the insertion of the weaving machine. Dimensioned means that the length of the buffer arm must be sufficient to buffer at least the difference in length between average speed of the yarn consumption and the momentarily speed of the yarn. It is however for other reasons practical to have a buffer arm that can buffer at least the yarn length for one complete weaving machine cycle (360 degrees)+some extra for regulation purpose. A wide machine demands a longer arm. The acceleration of the bobbin can in some applications be a limiting factor. A bobbin with big outside diameter and a heavy weight means a big moment of inertia. A big moment of inertia cannot be accelerated too fast for several reasons. A) It takes a too big torque to accelerate a big moment of inertia and thus the motor, gears and drive train will be unpractical big and too costly. B) If a too big torque is applied to the center of the bobbin the center of the bobbin will follow the acceleration curve, but the outside of the bobbin risk to not follow and the layers of the yarn in-between the center and the outside will collapse. C) If a too big torque is applied to the outside of the bobbin via for instance driven rollers, the top layers of yarn on the outside of the bobbin will be damaged by either friction when the rollers slip, or by pressure force if the pressure from the rollers to prevent slipping is too big. To limit the acceleration of the bobbin different start procedures can in accordance with some embodiments be applied, for example: 1. Before start of the weaving machine, the buffer arm is moved in a position so it buffers as much yarn as possible. At weaving machine start, the buffer arm and the bobbin start to move as soon as the sensor arm starts to move and give a signal. If the buffer arm stores more than one insertion of weft yarn, there is more time for the bobbin to accelerate to its full speed. For example, for the first insertion, ½ of the yarn length consumed by the weaving machine can be taken from the buffer formed by the buffer arm and ½ of the yarn length consumed by the weaving machine can be taken from the bobbin. For the next insertion, the full length of yarn consumed by the weaving machine can be taken from the bobbin. If needed the bobbin acceleration can be even further reduced if the second insertion is still configured to also take yarn from the buffer arm where the buffer arm at the end of the second insertion can be configured to be in a position where the buffer is at its minimum. For example, for the second insertion, ¼ of the yarn length consumed by the weaving machine can be taken from the buffer arm and ¾ of the yarn length consumed by the weaving machine can be taken from the bobbin. In such a startup procedure, the bobbin speed can then temporarily be set a bit over the average yarn consumption to compensate for the loss in the beginning, a so-called speed overshoot. With this start up procedure the only needed synchronization with the weaving machine is the actual weaving machine angle by means of for instance an encoder or resolver. 2. If the control system of the yarn feeder arrangement is provided with information about the machine start in advance an improved start sequence can be obtained. With information about how long time it takes from the start in advance signal until the machine really starts a calculation can be done to start up the yarn feeder arrangement before the weaving machine. Hereby the motor driven bobbin already been, at least partly, accelerated before the weaving machine starts and the consumption of yarn begins. For example, the start position of the buffer arm can be set with minimum of yarn stored in the buffer formed by the buffer arm. At start of the yarn feeding arrangement, the bobbin starts to accelerate and the yarn released from the bobbin is buffered by the buffer arm. The starting time can be synchronized so that the weaving machine starts to consume yarn when the buffer arm has stored its maximum length or near the maximum length such as 90% or more of the maximum length. The bobbin has then already reached a certain speed at insertion start and do not have to accelerate so fast to reach the required speed to output the average amount of yarn per cycle consumed by the weaving machine. The second insertion can in accordance with some embodiments be partly taken from the buffer and finally the bobbin has reached its predetermined speed, i.e. the speed that unroll the average amount (length) of yarn per machine cycle consumed by weaving machine. The start in advance information can come from monitoring the weaving machine encoder, or via a special start in advance signal from the weaving machine. 3. An even lower acceleration demand can be achieved if the weaving machine is controlled to start slowly and the weaving machine is controlled to ramp up its speed. This speed ramp can typically start from zero and successively increase the machine speed. The increase in speed can be controlled to be linear, in steps or in accordance with some pre-determined speed increase curve. Some woven articles show a different weaving result of the ready cloth, for instance a different aspect of the cloth, depending on the speed it is woven at. The biggest differences are often seen at low speeds. In this case it might be advantageous to start the machine fast, for instance to ½ of its production speed in one step, and then ramp up to the production speed in smaller steps or following a pre-determined speed increase curve until full production speed is reached for the weaving machine. Of course, any other first speed step followed by other steps or speed curve might be used. For some woven articles it is necessary to immediately go to the production speed and in this case start procedure 1 or 2 can be used. The above described start procedures can of cause be used not only for limiting the acceleration of the bobbin, but instead to reach a higher speed of the weaving machine. Stop of Machine The stop of the weaving can also be limited in a corresponding manner as start of a weaving machine can be limited as described above. To stop the rotation of a bobbin with a big mass of inertia typically takes a certain time to not overload the motor and control system, and to not damage the bobbin. In accordance with one exemplary embodiment, a controlled stop, for example if the operator pushes the stop button, a ramp down sequence can be used. The stop sequence can be the start sequence in reverse. This ramp down speed curve and positioning of the buffer arm can advantageous be connected with weaving machine speed, speed ramp down, positions and other activities in the weaving machine. The machine can stop at different positions and with different braking speeds, depending on the type of machine, the type of stop (filling, warp or hand stop) and starting mark settings (e.g. max allowed brake position). In some cases, the insertion will be cancelled to avoid a starting mark or even warp damage. Therefore, special stop procedures can be used to avoid yarn to be released from the yarn feeding arrangement and to maintain a minimum tension. It is typically important that the yarn feeding arrangement knows the position and can coordinate its activities with the actual machine position. Weft Stops In some other type of stops, for example if the rapier lose the yarn, or at a weft yarn break, the free end of the weft yarn will not anymore be connected to the weaving machine but instead be placed somewhere in the area between the weaving machine and the bobbin. At such a yarn break the yarn tension is lost, it goes down to zero or near zero. If the yarn tension decreases, the controller of the yarn feeding arrangement typically can be set to compensate this by rotating the bobbin slower and/or by moving the buffer arm backwards to stretch the yarn (increasing the buffer). As the end of the yarn is in free air, the yarn feeding arrangement will not succeed in increasing the yarn tension and a safety protocol can be used by the controller to prevent the system from acting in an undesired way. For example by moving the buffer arm excessively. The control system will also prevent these sensor data from entering the coming regulation system. Input from a yarn break shall not influence the feed forward control, the ILC or control model. If communication is set up between the weaving machine and the yarn feeding arrangement, the weaving machine can be configured to send a stop signal to the yarn feeding arrangement informing that there has been a weft stop. The yarn feeding arrangement can then be configured to in response to such a stop signal stop the yarn feeding arrangement and not try to stretch the yarn. Correspondingly, if the yarn feeding arrangement detects a yarn break or other malfunction, it will send a stop signal to the weaving machine. If there is no such communication or if a stop signal is not received for some reason, the yarn feeding arrangement can be configured to, upon detection of a sudden drop of weft tension, control the yarn feeding arrangement in response to such a detected sudden drop of weft tension. For example, the yarn feeding arrangement can be controlled to have a time out in the action to try to come back to the desired yarn tension. In another embodiment, if the tension drops to zero, or to a significantly lower level at a predetermined time or at a pre-determined weaving machine angle the controller can be configured to determine that a weft stop has occurred and that a stop should be initiated. Another way to detect a machine stop is to detect a sudden drop of the weaving machine speed by reading the master encoder of the weaving machine. Thread Up of System If the weaving machine stops due to a warp problem or any other kind of stop where the weft yarn is still connected to the left side of the weaving machine, the yarn feeding arrangement is already threaded up. To prepare for the coming start the yarn feeding arrangement can be configured to place the motor driven buffer arm in a start position and the bobbin will be rotated so that the yarn is all the time under a certain, predetermined, tension. The yarn tension sensor will provide the controller with information so this predetermined tension can be kept during the whole preparation for start cycle. At a typical preparation after a weft stop where the free end of the weft yarn is not connected to the weaving machine, an operator needs to rethread the yarn and connect it to the weaving machine. For example, in a rapier weaving machine, to a position where the rapier will catch the yarn when the machine starts again. After threading the yarn, the operator can inform the controller that the yarn feeding arrangement is threaded and the control system will then stretch up the yarn and place the buffer arm in start position. This information can be provided to the controller in different ways, for example with a push button. In accordance with one embodiment, the buffer arm when in stop state can be positioned in a start position and the bobbin is rotated and give the desired amount of yarn based on information from the yarn tension sensor. In that case the bobbin will always keep the yarn stretched. When an operator pulls the yarn manually the bobbin will rotate to supply yarn to the threading operation, and if the operator releases yarn after fastening the yarn end in the weaving machine, the bobbin will rotate backwards to keep the yarn under tension. These procedures can be coordinated with controlled brakes that can be controlled to hold or nip the yarn in place at certain times to facilitate the threading operation. The here mentioned brake can also be used during weaving in order to nip the yarn, or lock the yarn, so at for example at the end of insertion, the yarn feeding arrangement cannot deliver more yarn. This to ensure that the yarn released from e.g. a receiving rapier always gives the same length or as similar length as possible from pick to pick of yarn. In accordance with another embodiment the yarn feeding arrangement will be set in safe mode and the bobbin drive and buffer arm drive will be prevented from movement or applying any torque, alternatively, a holding torque is applied to keep the bobbin and buffer arm in fixed positions and prevent any movement when an operator is in a defined safety zone. The safety zone can be an zone close to the yarn feeding arrangement. The safety zone can be physically delimited by a door or similar or a virtual zone where sensors detect the presence of an operator close to the yarn feeding arrangement. In accordance with one embodiment, the safe mode can allow running of the yarn feeding arrangement at a very low speed even when an operator is present in the safety zone. Bobbin Change—End of Bobbin When changing bobbin in a conventional weaving system, the end tail of a bobbin is typically connected (e.g. by a knot) to the beginning of the bobbin to be used next. By constantly replacing the empty bobbin with a new and connect the full bobbin to the end of the bobbin in work the weaving machine can continue to run also at bobbin switch. In a zero twist system, the bobbin rotates and thus makes it impossible to use the conventional weaving system. In a zero twist system, at least the yarn feeding arrangement for the channel in question has to be stopped, and in most cases also the weaving machine has to be stopped. The bobbin will then be replaced and the yarn from the new bobbin must be connected to the insertion system in the weaving machine. This can be done in several ways. A) In one embodiment, the complete system is threaded up from bobbin, through the buffer arm, the sensor arm, and if mounted, yarn brakes and other accessories, and finally in to the insertion entrance of the weaving machine. B) In another embodiment, the end of the new bobbin is connected to the end of the yarn that is already threaded in the yarn feeding arrangement. This connection can be made by knotting, splicing, tape or other methods. The connection point is in most cases not allowed in the woven cloth and it has to be removed before starting to weave again. This can be done by manually or automatically drawn the yarn through the yarn feeding arrangement until the connection point comes out before the insertion entrance and can be removed. The operator can in some embodiments check and if needed adjust the tape so it is not twisted in the system before start. To enable embodiment B), the weaving machine typically has to be stopped before the bobbin is finished and the tail goes in to the yarn feeding arrangement system, or in to the weaving machine. Also, for reasons of quality of the ready cloth, the yarn tail should preferably not pass in to the weaving machine. One way to stop the weaving process before the bobbin is finished is to have a sensor that supervise the bobbin and detects when the bobbin is nearly finished. An example of such a sensor might be an optical sensor that looks at the bobbin and detects the difference in reflection between the yarn and the bobbin center. As bobbin center a tube of paper, plastic or metal is often used. This has normally other optical properties than the yarn that is wound on the bobbin center. An optical sensor will then detect the difference in optical properties when the bobbin center starts to show between the last windings of yarn on the bobbin. Based on the reading of the optical sensor the weaving machine can be stopped before the bobbin is finished. Other ways of detecting the end of bobbin in advance are also envisaged, for example sensors measuring the diameter of the bobbin. Such sensors can be optical or mechanical. In accordance with one embodiment, the bobbin can be provided with the length of yarn stored on the bobbin and this information can be given to the controller. The controller can then be programmed to count the length of yarn fed to the weaving machine and determine when the yarn on the bobbin is near the end to be when the weaving machine has consumed (almost) the amount of yarn given from the bobbin. Thus, the rotating bobbin can be measured to detect the end of the bobbin in advance. There is a maximum speed a bobbin can be rotated at before the yarn layers in the bobbin collapses by the centrifugal forces and the yarn is thrown out and messes up. This will result in a yarn tangle and the yarn feed typically needs to be stopped. To reach high weaving machine speeds, two or more yarn feeding arrangements as described above can be used, or a two or more channel yarn feeding arrangement can be used. Such a yarn feeding arrangement can comprise two bobbins, two buffer arms and two sensors. The weaving machine runs a pattern called weft mix, or pick a pick. That is, channel one makes one insertion followed by an insertion from channel2and then again channel1etc. Hereby the maximum weaving machine speed can be increased without a risk for a yarn tangle at the bobbin. A two or more channel system can be optimized and contain common parts such as a common central unit for all channels, a common frame and common I/O. To synchronize the yarn feeding arrangements with the weaving machine, a signal representing the channel pattern can be given to the yarn feeing arrangement. This signal can for example be a signal that tells which channel that shall be inserted next. It is important to have this information for running the system and inserting the right channel, but also to perform a learning cycle, for start of weaving, for pick finding and for various weft repair and preparation procedures. This information can be obtained from the weaving machine control system or from separately mounted sensors. | 49,063 |
11859319 | DESCRIPTION OF EXEMPLARY EMBODIMENTS AND BEST MODE The present invention is described more fully hereinafter with reference to the accompanying drawings, in which one or more exemplary embodiments of the invention are shown. Like numbers used herein refer to like elements throughout. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be operative, enabling, and complete. Accordingly, the particular arrangements disclosed are meant to be illustrative only and not limiting as to the scope of the invention, which is to be given the full breadth of the appended claims and any and all equivalents thereof. Moreover, many embodiments, such as adaptations, variations, modifications, and equivalent arrangements, will be implicitly disclosed by the embodiments described herein and fall within the scope of the present invention. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation. Unless otherwise expressly defined herein, such terms are intended to be given their broad ordinary and customary meaning not inconsistent with that applicable in the relevant industry and without restriction to any specific embodiment hereinafter described. As used herein, the article “a” is intended to include one or more items. Where only one item is intended, the term “one”, “single”, or similar language is used. When used herein to join a list of items, the term “or” denotes at least one of the items, but does not exclude a plurality of items of the list. For exemplary methods or processes of the invention, the sequence and/or arrangement of steps described herein are illustrative and not restrictive. Accordingly, it should be understood that, although steps of various processes or methods may be shown and described as being in a sequence or temporal arrangement, the steps of any such processes or methods are not limited to being carried out in any particular sequence or arrangement, absent an indication otherwise. Indeed, the steps in such processes or methods generally may be carried out in various different sequences and arrangements while still falling within the scope of the present invention. Additionally, any references to advantages, benefits, unexpected results, or operability of the present invention are not intended as an affirmation that the invention has been previously reduced to practice or that any testing has been performed. Likewise, unless stated otherwise, use of verbs in the past tense (present perfect or preterit) is not intended to indicate or imply that the invention has been previously reduced to practice or that any testing has been performed. Referring now specifically to the drawings, a knit sock according to one exemplary embodiment of the present disclosure is illustrated inFIGS.1and2, and shown generally at reference numeral10. The exemplary sock10is constructed on a circular hosiery knitting machine, and includes a plurality of yarns formed in needle and sinker loops extending in circumferential courses and axial wales. The sock10may be formed throughout of a body yarn and a ground yarn knitted in plated relationship in various stitch constructions described below, and with inlaid elastic yarns and pattern yarns in certain portions, areas, and regions of the sock. Referring toFIGS.3-6, the exemplary sock10comprises an integrally-knit raised ankle portion11and foot portion12. The foot portion12includes an upper instep area14, a lower sole area15, and a reciprocally-knitted heel16and toe17. The upper instep area14and lower sole area15are circularly-knit in foot portion12and designated by notional center lines ICL and OCL inFIGS.3and5, such that an upper half of the foot portion12substantially defines the instep area14while the lower half substantially defines the sole area15. The upper instep area14has a front ankle region21, an intermediate instep region22, and a forward instep region23. These regions21,22,23are axially divided by notional center line TCL inFIG.6. The lower sole area15has inner and outer arch regions25,26axially divided by center line BCL inFIG.4, and a forward ball region27adjacent the toe17. The inner arch region25is designed to reside substantially adjacent an inner arch of the wearer's foot, as best shown inFIG.2, and incorporates a generally semi-circular, anatomically-shaped targeted compression zone30comprising elastic yarns, pattern (or accent) yarns, and axially extending compression ridges32, discussed further below. When applied to the foot, the compression zone30of sock10may exert an intensified targeted compression force at the inner arch of the foot which is substantially greater than the compression force applied in other directly adjacent areas of the foot portion12. The intensified compression may be as much as 3-4 times greater (or more) in the compression zone30of the sock10. As best shown inFIGS.3and4, the compression zone30has a substantially linear side30A at its top margin31A which extends adjacent the inside axial center line ICL of foot portion12, and a substantially arcuate side30B opposite the linear side30A at its bottom margin31B, and facing the bottom axial centerline BCL of the foot portion12.FIG.7further illustrates quadrants of the circularly-knit foot portion12of sock10. The quadrants are divided by respective center lines ICL, OCL, TCL, BCL discussed above. As shown, the targeted compression zone30may reside substantially entirely within the lower inside quadrant of the foot portion at the inner arch region25(substantially between ICL and BCL). The compression ridges32are spaced apart circumferentially from a top of the compression zone30to the bottom of the compression zone30, and taper in axial length within a generally semi-circular shape between top and bottom margins31A,31B. The remainder of the lower sole area15immediately surrounding the targeted compression zone30(both axially and circumferentially) comprises a less dense flat knit fabric structure with a one-by-one mock rib. The upper instep area14of the sock may comprise a 1×1 mesh knit construction in the divided front ankle and forward instep regions21,23. The intermediate instep region22may incorporate a 1×1 mock rib construction similar to the fabric area immediately surrounding the compression zone30. The heel and toe16,17are formed in a conventional manner, and may also comprise mock ribbing. The ankle portion11of sock10may comprise a mesh knit elastic cuff, and mock ribbing knit in a conventional manner. Exemplary Knit Structure of Compression Zone30 Referring toFIGS.3,4,8, and9, in the exemplary embodiment the targeted compression zone30of sock10is formed of a one-by-one mock rib construction with the elastic yarn (E) laid-in the plaited body yarn (B) on a reverse side of the fabric in each course C1-C12by tuck and float stitches in needle loops of wales W1-W8.FIG.8illustrates the knit structure of the compression zone30in spaced top and bottom margins31A,31B—the compression zone30, margins31A,31B, and axial compression ridges32being shownFIGS.3and4. The axial compression ridges32between the margins31A,31B are formed by the introduction of two pattern yarns (P1) and (P2), shown inFIG.9, integrally knit with the plaited body yarn (B) in each course C1-C12in a manner using tuck and float stitches, such that the compression ridges32(W2-W4and W6-W8) are raised and substantially more dense as compared to directly adjacent areas of the foot portion12. For clarity of illustration, the pattern yarns (P1) and (P2) are distinguished inFIG.9by broken-lines and bold lines, respectively. In the exemplary embodiment, pattern yarn (P1) is knit in wales W2, W3, W6, and W7of the plaited body yarn (B), and floats uncut across wales W1, W4, W5, and W8. Pattern yarn (P2) is knit in wales W4and W8of the plaited body yarn (B), and floats uncut across wales W1, W2, W3, W5, W6and W7. As indicated previously, the compression zone30may be knit in a manner resulting in increased stitch density, tighter gauge, and reduced stretchability compared to directly adjacent areas of the foot portion12. For the purposes of describing and defining the present invention it is noted that the use of relative terms, such as “substantially”, “generally”, “approximately”, and the like, are utilized herein to represent an inherent degree of uncertainty that may be attributed to any quantitative comparison, value, measurement, or other representation. These terms are also utilized herein to represent the degree by which a quantitative representation may vary from a stated reference without resulting in a change in the basic function of the subject matter at issue. Exemplary embodiments of the present invention are described above. No element, act, or instruction used in this description should be construed as important, necessary, critical, or essential to the invention unless explicitly described as such. Although only a few of the exemplary embodiments have been described in detail herein, those skilled in the art will readily appreciate that many modifications are possible in these exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention as defined in the appended claims. In the claims, any means-plus-function clauses are intended to cover the structures described herein as performing the recited function and not only structural equivalents, but also equivalent structures. Thus, although a nail and a screw may not be structural equivalents in that a nail employs a cylindrical surface to secure wooden parts together, whereas a screw employs a helical surface, in the environment of fastening wooden parts, a nail and a screw may be equivalent structures. Unless the exact language “means for” (performing a particular function or step) is recited in the claims, a construction under § 112, 6th paragraph is not intended. Additionally, it is not intended that the scope of patent protection afforded the present invention be defined by reading into any claim a limitation found herein that does not explicitly appear in the claim itself. | 10,399 |
11859320 | DETAILED DESCRIPTION The following discussion and accompanying figures disclose a variety of concepts relating to knitted components and the manufacture of knitted components. Although the knitted components may be utilized in a variety of products, an article of footwear that incorporates one of the knitted components is disclosed below as an example. In addition to footwear, the knitted components may be utilized in other types of apparel (e.g., shirts, pants, socks, jackets, undergarments), athletic equipment (e.g., golf bags, baseball and football gloves, soccer ball restriction structures), containers (e.g., backpacks, bags), and upholstery for furniture (e.g., chairs, couches, car seats). The knitted components may also be utilized in bed coverings (e.g., sheets, blankets), table coverings, towels, flags, tents, sails, and parachutes. The knitted components may be utilized as technical textiles for industrial purposes, including structures for automotive and aerospace applications, filter materials, medical textiles (e.g. bandages, swabs, implants), geotextiles for reinforcing embankments, agrotextiles for crop protection, and industrial apparel that protects or insulates against heat and radiation. Accordingly, the knitted components and other concepts disclosed herein may be incorporated into a variety of products for both personal and industrial purposes. Footwear Configuration An article of footwear100is depicted inFIGS.1-4Cas including a sole structure110and an upper120. Although footwear100is illustrated as having a general configuration suitable for running, concepts associated with footwear100may also be applied to a variety of other athletic footwear types, including baseball shoes, basketball shoes, cycling shoes, football shoes, tennis shoes, soccer shoes, training shoes, walking shoes, and hiking boots, for example. The concepts may also be applied to footwear types that are generally considered to be non-athletic, including dress shoes, loafers, sandals, and work boots. Accordingly, the concepts disclosed with respect to footwear100apply to a wide variety of footwear types. For reference purposes, footwear100may be divided into three general regions: a forefoot region101, a midfoot region102, and a heel region103. Forefoot region101generally includes portions of footwear100corresponding with the toes and the joints connecting the metatarsals with the phalanges. Midfoot region102generally includes portions of footwear100corresponding with an arch area of the foot. Heel region103generally corresponds with rear portions of the foot, including the calcaneus bone. Footwear100also includes a lateral side104and a medial side105, which extend through each of regions101-103and correspond with opposite sides of footwear100. More particularly, lateral side104corresponds with an outside area of the foot (i.e. the surface that faces away from the other foot), and medial side105corresponds with an inside area of the foot (i.e., the surface that faces toward the other foot). Regions101-103and sides104-105are not intended to demarcate precise areas of footwear100. Rather, regions101-103and sides104-105are intended to represent general areas of footwear100to aid in the following discussion. In addition to footwear100, regions101-103and sides104-105may also be applied to sole structure110, upper120, and individual elements thereof. Sole structure110is secured to upper120and extends between the foot and the ground when footwear100is worn. The primary elements of sole structure110are a midsole111, an outsole112, and a sockliner113. Midsole111is secured to a lower surface of upper120and may be formed from a compressible polymer foam element (e.g., a polyurethane or ethylvinylacetate foam) that attenuates ground reaction forces (i.e., provides cushioning) when compressed between the foot and the ground during walking, running, or other ambulatory activities. In further configurations, midsole111may incorporate plates, moderators, fluid-filled chambers, lasting elements, or motion control members that further attenuate forces, enhance stability, or influence the motions of the foot, or midsole21may be primarily formed from a fluid-filled chamber. Outsole112is secured to a lower surface of midsole111and may be formed from a wear-resistant rubber material that is textured to impart traction. Sockliner113is located within upper120and is positioned to extend under a lower surface of the foot to enhance the comfort of footwear100. Although this configuration for sole structure110provides an example of a sole structure that may be used in connection with upper120, a variety of other conventional or nonconventional configurations for sole structure110may also be utilized. Accordingly, the features of sole structure110or any sole structure utilized with upper120may vary considerably. Upper120defines a void within footwear100for receiving and securing a foot relative to sole structure110. The void is shaped to accommodate the foot and extends along a lateral side of the foot, along a medial side of the foot, over the foot, around the heel, and under the foot. Access to the void is provided by an ankle opening121located in at least heel region103. A lace122extends through various lace apertures123in upper120and permits the wearer to modify dimensions of upper120to accommodate proportions of the foot. More particularly, lace122permits the wearer to tighten upper120around the foot, and lace122permits the wearer to loosen upper120to facilitate entry and removal of the foot from the void (i.e., through ankle opening121). In addition, upper120includes a tongue124that extends under lace122and lace apertures123to enhance the comfort of footwear100. In further configurations, upper120may include additional elements, such as (a) a heel counter in heel region103that enhances stability, (b) a toe guard in forefoot region101that is formed of a wear-resistant material, and (c) logos, trademarks, and placards with care instructions and material information. Many conventional footwear uppers are formed from multiple material elements (e.g., textiles, polymer foam, polymer sheets, leather, synthetic leather) that are joined through stitching or bonding, for example. In contrast, a majority of upper120is formed from a knitted component130, which extends through each of regions101-103, along both lateral side104and medial side105, over forefoot region101, and around heel region103. In addition, knitted component130forms portions of both an exterior surface and an opposite interior surface of upper120. As such, knitted component130defines at least a portion of the void within upper120. In some configurations, knitted component130may also extend under the foot. Referring toFIGS.4A-4C, however, a strobel sock125is secured to knitted component130and an upper surface of midsole111, thereby forming a portion of upper120that extends under sockliner113. Knitted Component Configuration Knitted component130is depicted separate from a remainder of footwear100inFIGS.5and6. Knitted component130is formed of unitary knit construction. As utilized herein, a knitted component (e.g., knitted component130) is defined as being formed of “unitary knit construction” when formed as a one-piece element through a knitting process. That is, the knitting process substantially forms the various features and structures of knitted component130without the need for significant additional manufacturing steps or processes. Although portions of knitted component130may be joined to each other (e.g., edges of knitted component130being joined together) following the knitting process, knitted component130remains formed of unitary knit construction because it is formed as a one-piece knit element. Moreover, knitted component130remains formed of unitary knit construction when other elements (e.g., lace122, tongue124, logos, trademarks, placards with care instructions and material information) are added following the knitting process. The primary elements of knitted component130are a knit element131and an inlaid strand132. Knit element131is formed from at least one yarn that is manipulated (e.g., with a knitting machine) to form a plurality of intermeshed loops that define a variety of courses and wales. That is, knit element131has the structure of a knit textile. Inlaid strand132extends through knit element131and passes between the various loops within knit element131. Although inlaid strand132generally extends along courses within knit element131, inlaid strand132may also extend along wales within knit element131. Advantages of inlaid strand132include providing support, stability, and structure. For example, inlaid strand132assists with securing upper120around the foot, limits deformation in areas of upper120(e.g., imparts stretch-resistance) and operates in connection with lace122to enhance the fit of footwear100. Knit element131has a generally U-shaped configuration that is outlined by a perimeter edge133, a pair of heel edges134, and an inner edge135. When incorporated into footwear100, perimeter edge133lays against the upper surface of midsole111and is joined to strobel sock125. Heel edges134are joined to each other and extend vertically in heel region103. In some configurations of footwear100, a material element may cover a seam between heel edges134to reinforce the seam and enhance the aesthetic appeal of footwear100. Inner edge135forms ankle opening121and extends forward to an area where lace122, lace apertures123, and tongue124are located. In addition, knit element131has a first surface136and an opposite second surface137. First surface136forms a portion of the exterior surface of upper120, whereas second surface137forms a portion of the interior surface of upper120, thereby defining at least a portion of the void within upper120. Inlaid strand132, as noted above, extends through knit element131and passes between the various loops within knit element131. More particularly, inlaid strand132is located within the knit structure of knit element131, which may have the configuration of a single textile layer in the area of inlaid strand132, and between surfaces136and137, as depicted inFIGS.7A-7D. When knitted component130is incorporated into footwear100, therefore, inlaid strand132is located between the exterior surface and the interior surface of upper120. In some configurations, portions of inlaid strand132may be visible or exposed on one or both of surfaces136and137. For example, inlaid strand132may lay against one of surfaces136and137, or knit element131may form indentations or apertures through which inlaid strand passes. An advantage of having inlaid strand132located between surfaces136and137is that knit element131protects inlaid strand132from abrasion and snagging. Referring toFIGS.5and6, inlaid strand132repeatedly extends from perimeter edge133toward inner edge135and adjacent to a side of one lace aperture123, at least partially around the lace aperture123to an opposite side, and back to perimeter edge133. When knitted component130is incorporated into footwear100, knit element131extends from a throat area of upper120(i.e., where lace122, lace apertures123, and tongue124are located) to a lower area of upper120(i.e., where knit element131joins with sole structure110. In this configuration, inlaid strand132also extends from the throat area to the lower area. More particularly, inlaid strand repeatedly passes through knit element131from the throat area to the lower area. Although knit element131may be formed in a variety of ways, courses of the knit structure generally extend in the same direction as inlaid strands132. That is, courses may extend in the direction extending between the throat area and the lower area. As such, a majority of inlaid strand132extends along the courses within knit element131. In areas adjacent to lace apertures123, however, inlaid strand132may also extend along wales within knit element131. More particularly, sections of inlaid strand132that are parallel to inner edge135may extend along the wales. As discussed above, inlaid strand132passes back and forth through knit element131. Referring toFIGS.5and6, inlaid strand132also repeatedly exits knit element131at perimeter edge133and then re-enters knit element131at another location of perimeter edge133, thereby forming loops along perimeter edge133. An advantage to this configuration is that each section of inlaid strand132that extends between the throat area and the lower area may be independently tensioned, loosened, or otherwise adjusted during the manufacturing process of footwear100. That is, prior to securing sole structure110to upper120, sections of inlaid strand132may be independently adjusted to the proper tension. In comparison with knit element131, inlaid strand132may exhibit greater stretch-resistance. That is, inlaid strand132may stretch less than knit element131. Given that numerous sections of inlaid strand132extend from the throat area of upper120to the lower area of upper120, inlaid strand132imparts stretch-resistance to the portion of upper120between the throat area and the lower area. Moreover, placing tension upon lace122may impart tension to inlaid strand132, thereby inducing the portion of upper120between the throat area and the lower area to lay against the foot. As such, inlaid strand132operates in connection with lace122to enhance the fit of footwear100. Knit element131may incorporate various types of yarn that impart different properties to separate areas of upper120. That is, one area of knit element131may be formed from a first type of yarn that imparts a first set of properties, and another area of knit element131may be formed from a second type of yarn that imparts a second set of properties. In this configuration, properties may vary throughout upper120by selecting specific yarns for different areas of knit element131. The properties that a particular type of yarn will impart to an area of knit element131partially depend upon the materials that form the various filaments and fibers within the yarn. Cotton, for example, provides a soft hand, natural aesthetics, and biodegradability. Elastane and stretch polyester each provide substantial stretch and recovery, with stretch polyester also providing recyclability. Rayon provides high luster and moisture absorption. Wool also provides high moisture absorption, in addition to insulating properties and biodegradability. Nylon is a durable and abrasion-resistant material with relatively high strength. Polyester is a hydrophobic material that also provides relatively high durability. In addition to materials, other aspects of the yarns selected for knit element131may affect the properties of upper120. For example, a yarn forming knit element131may be a monofilament yarn or a multifilament yarn. The yarn may also include separate filaments that are each formed of different materials. In addition, the yarn may include filaments that are each formed of two or more different materials, such as a bicomponent yarn with filaments having a sheath-core configuration or two halves formed of different materials. Different degrees of twist and crimping, as well as different deniers, may also affect the properties of upper120. Accordingly, both the materials forming the yarn and other aspects of the yarn may be selected to impart a variety of properties to separate areas of upper120. As with the yarns forming knit element131, the configuration of inlaid strand132may also vary significantly. In addition to yarn, inlaid strand132may have the configurations of a filament (e.g., a monofilament), thread, rope, webbing, cable, or chain, for example. In comparison with the yarns forming knit element131, the thickness of inlaid strand132may be greater. In some configurations, inlaid strand132may have a significantly greater thickness than the yarns of knit element131. Although the cross-sectional shape of inlaid strand132may be round, triangular, square, rectangular, elliptical, or irregular shapes may also be utilized. Moreover, the materials forming inlaid strand132may include any of the materials for the yarn within knit element131, such as cotton, elastane, polyester, rayon, wool, and nylon. As noted above, inlaid strand132may exhibit greater stretch-resistance than knit element131. As such, suitable materials for inlaid strands132may include a variety of engineering filaments that are utilized for high tensile strength applications, including glass, aramids (e.g., para-aramid and meta-aramid), ultra-high molecular weight polyethylene, and liquid crystal polymer. As another example, a braided polyester thread may also be utilized as inlaid strand132. An example of a suitable configuration for a portion of knitted component130is depicted inFIG.8A. In this configuration, knit element131includes a yarn138that forms a plurality of intermeshed loops defining multiple horizontal courses and vertical wales. Inlaid strand132extends along one of the courses and alternates between being located (a) behind loops formed from yarn138and (b) in front of loops formed from yarn138. In effect, inlaid strand132weaves through the structure formed by knit element131. Although yarn138forms each of the courses in this configuration, additional yarns may form one or more of the courses or may form a portion of one or more of the courses. Another example of a suitable configuration for a portion of knitted component130is depicted inFIG.8B. In this configuration, knit element131includes yarn138and another yarn139. Yarns138and139are plated and cooperatively form a plurality of intermeshed loops defining multiple horizontal courses and vertical wales. That is, yarns138and139run parallel to each other. As with the configuration inFIG.8A, inlaid strand132extends along one of the courses and alternates between being located (a) behind loops formed from yarns138and139and (b) in front of loops formed from yarns138and139. An advantage of this configuration is that the properties of each of yarns138and139may be present in this area of knitted component130. For example, yarns138and139may have different colors, with the color of yarn138being primarily present on a face of the various stitches in knit element131and the color of yarn139being primarily present on a reverse of the various stitches in knit element131. As another example, yarn139may be formed from a yarn that is softer and more comfortable against the foot than yarn138, with yarn138being primarily present on first surface136and yarn139being primarily present on second surface137. Continuing with the configuration ofFIG.8B, yarn138may be formed from at least one of a thermoset polymer material and natural fibers (e.g., cotton, wool, silk), whereas yarn139may be formed from a thermoplastic polymer material. In general, a thermoplastic polymer material melts when heated and returns to a solid state when cooled. More particularly, the thermoplastic polymer material transitions from a solid state to a softened or liquid state when subjected to sufficient heat, and then the thermoplastic polymer material transitions from the softened or liquid state to the solid state when sufficiently cooled. As such, thermoplastic polymer materials are often used to join two objects or elements together. In this case, yarn139may be utilized to join (a) one portion of yarn138to another portion of yarn138, (b) yarn138and inlaid strand132to each other, or (c) another element (e.g., logos, trademarks, and placards with care instructions and material information) to knitted component130, for example. As such, yarn139may be considered a fusible yarn given that it may be used to fuse or otherwise join portions of knitted component130to each other. Moreover, yarn138may be considered a non-fusible yarn given that it is not formed from materials that are generally capable of fusing or otherwise joining portions of knitted component130to each other. That is, yarn138may be a non-fusible yarn, whereas yarn139may be a fusible yarn. In some configurations of knitted component130, yarn138(i.e., the non-fusible yarn) may be substantially formed from a thermoset polyester material and yarn139(i.e., the fusible yarn) may be at least partially formed from a thermoplastic polyester material. The use of plated yarns may impart advantages to knitted component130. When yarn139is heated and fused to yarn138and inlaid strand132, this process may have the effect of stiffening or rigidifying the structure of knitted component130. Moreover, joining (a) one portion of yarn138to another portion of yarn138or (b) yarn138and inlaid strand132to each other has the effect of securing or locking the relative positions of yarn138and inlaid strand132, thereby imparting stretch-resistance and stiffness. That is, portions of yarn138may not slide relative to each other when fused with yarn139, thereby preventing warping or permanent stretching of knit element131due to relative movement of the knit structure. Another benefit relates to limiting unraveling if a portion of knitted component130becomes damaged or one of yarns138is severed. Also, inlaid strand132may not slide relative to knit element131, thereby preventing portions of inlaid strand132from pulling outward from knit element131. Accordingly, areas of knitted component130may benefit from the use of both fusible and non-fusible yarns within knit element131. Another aspect of knitted component130relates to a padded area adjacent to ankle opening121and extending at least partially around ankle opening121. Referring toFIG.7E, the padded area is formed by two overlapping and at least partially coextensive knitted layers140, which may be formed of unitary knit construction, and a plurality of floating yarns141extending between knitted layers140. Although the sides or edges of knitted layers140are secured to each other, a central area is generally unsecured. As such, knitted layers140effectively form a tube or tubular structure, and floating yarns141may be located or inlaid between knitted layers140to pass through the tubular structure. That is, floating yarns141extend between knitted layers140, are generally parallel to surfaces of knitted layers140, and also pass through and fill an interior volume between knitted layers140. Whereas a majority of knit element131is formed from yarns that are mechanically-manipulated to form intermeshed loops, floating yarns141are generally free or otherwise inlaid within the interior volume between knitted layers140. As an additional matter, knitted layers140may be at least partially formed from a stretch yarn. An advantage of this configuration is that knitted layers will effectively compress floating yarns141and provide an elastic aspect to the padded area adjacent to ankle opening121. That is, the stretch yarn within knitted layers140may be placed in tension during the knitting process that forms knitted component130, thereby inducing knitted layers140to compress floating yarns141. Although the degree of stretch in the stretch yarn may vary significantly, the stretch yarn may stretch at least one-hundred percent in many configurations of knitted component130. The presence of floating yarns141imparts a compressible aspect to the padded area adjacent to ankle opening121, thereby enhancing the comfort of footwear100in the area of ankle opening121. Many conventional articles of footwear incorporate polymer foam elements or other compressible materials into areas adjacent to an ankle opening. In contrast with the conventional articles of footwear, portions of knitted component130formed of unitary knit construction with a remainder of knitted component130may form the padded area adjacent to ankle opening121. In further configurations of footwear100, similar padded areas may be located in other areas of knitted component130. For example, similar padded areas may be located as an area corresponding with joints between the metatarsals and proximal phalanges to impart padding to the joints. As an alternative, a terry loop structure may also be utilized to impart some degree of padding to areas of upper120. Based upon the above discussion, knit component130imparts a variety of features to upper120. Moreover, knit component130provides a variety of advantages over some conventional upper configurations. As noted above, conventional footwear uppers are formed from multiple material elements (e.g., textiles, polymer foam, polymer sheets, leather, synthetic leather) that are joined through stitching or bonding, for example. As the number and type of material elements incorporated into an upper increases, the time and expense associated with transporting, stocking, cutting, and joining the material elements may also increase. Waste material from cutting and stitching processes also accumulates to a greater degree as the number and type of material elements incorporated into the upper increases. Moreover, uppers with a greater number of material elements may be more difficult to recycle than uppers formed from fewer types and numbers of material elements. By decreasing the number of material elements utilized in the upper, therefore, waste may be decreased while increasing the manufacturing efficiency and recyclability of the upper. To this end, knitted component130forms a substantial portion of upper120, while increasing manufacturing efficiency, decreasing waste, and simplifying recyclability. Further Knitted Component Configurations A knitted component150is depicted inFIGS.9and10and may be utilized in place of knitted component130in footwear100. The primary elements of knitted component150are a knit element151and an inlaid strand152. Knit element151is formed from at least one yarn that is manipulated (e.g., with a knitting machine) to form a plurality of intermeshed loops that define a variety of courses and wales. That is, knit element151has the structure of a knit textile. Inlaid strand152extends through knit element151and passes between the various loops within knit element151. Although inlaid strand152generally extends along courses within knit element151, inlaid strand152may also extend along wales within knit element151. As with inlaid strand132, inlaid strand152imparts stretch-resistance and, when incorporated into footwear100, operates in connection with lace122to enhance the fit of footwear100. Knit element151has a generally U-shaped configuration that is outlined by a perimeter edge153, a pair of heel edges154, and an inner edge155. In addition, knit element151has a first surface156and an opposite second surface157. First surface156may form a portion of the exterior surface of upper120, whereas second surface157may form a portion of the interior surface of upper120, thereby defining at least a portion of the void within upper120. In many configurations, knit element151may have the configuration of a single textile layer in the area of inlaid strand152. That is, knit element151may be a single textile layer between surfaces156and157. In addition, knit element151defines a plurality of lace apertures158. Similar to inlaid strand132, inlaid strand152repeatedly extends from perimeter edge153toward inner edge155, at least partially around one of lace apertures158, and back to perimeter edge153. In contrast with inlaid strand132, however, some portions of inlaid strand152angle rearwards and extend to heel edges154. More particularly, the portions of inlaid strand152associated with the most rearward lace apertures158extend from one of heel edges154toward inner edge155, at least partially around one of the most rearward lace apertures158, and back to one of heel edges154. Additionally, some portions of inlaid strand152do not extend around one of lace apertures158. More particularly, some sections of inlaid strand152extend toward inner edge155, turn in areas adjacent to one of lace apertures158, and extend back toward perimeter edge153or one of heel edges154. Although knit element151may be formed in a variety of ways, courses of the knit structure generally extend in the same direction as inlaid strands152. In areas adjacent to lace apertures158, however, inlaid strand152may also extend along wales within knit element151. More particularly, sections of inlaid strand152that are parallel to inner edge155may extend along wales. In comparison with knit element151, inlaid strand152may exhibit greater stretch-resistance. That is, inlaid strand152may stretch less than knit element151. Given that numerous sections of inlaid strand152extend through knit element151, inlaid strand152may impart stretch-resistance to portions of upper120between the throat area and the lower area. Moreover, placing tension upon lace122may impart tension to inlaid strand152, thereby inducing the portions of upper120between the throat area and the lower area to lay against the foot. Additionally, given that numerous sections of inlaid strand152extend toward heel edges154, inlaid strand152may impart stretch-resistance to portions of upper120in heel region103. Moreover, placing tension upon lace122may induce the portions of upper120in heel region103to lay against the foot. As such, inlaid strand152operates in connection with lace122to enhance the fit of footwear100. Knit element151may incorporate any of the various types of yarn discussed above for knit element131. Inlaid strand152may also be formed from any of the configurations and materials discussed above for inlaid strand132. Additionally, the various knit configurations discussed relative toFIGS.8A and8Bmay also be utilized in knitted component150. More particularly, knit element151may have areas formed from a single yarn, two plated yarns, or a fusible yarn and a non-fusible yarn, with the fusible yarn joining (a) one portion of the non-fusible yarn to another portion of the non-fusible yarn or (b) the non-fusible yarn and inlaid strand152to each other. A majority of knit element131is depicted as being formed from a relatively untextured textile and a common or single knit structure (e.g., a tubular knit structure). In contrast, knit element151incorporates various knit structures that impart specific properties and advantages to different areas of knitted component150. Moreover, by combining various yarn types with the knit structures, knitted component150may impart a range of properties to different areas of upper120. Referring toFIG.11, a schematic view of knitted component150shows various zones160-169having different knit structures, each of which will now be discussed in detail. For purposes of reference, each of regions101-103and sides104and105are shown inFIG.11to provide a reference for the locations of knit zones160-169when knitted component150is incorporated into footwear100. A tubular knit zone160extends along a majority of perimeter edge153and through each of regions101-103on both of sides104and105. Tubular knit zone160also extends inward from each of sides104and105in an area approximately located at an interface regions101and102to form a forward portion of inner edge155. Tubular knit zone160forms a relatively untextured knit configuration. Referring toFIG.12A, a cross-section through an area of tubular knit zone160is depicted, and surfaces156and157are substantially parallel to each other. Tubular knit zone160imparts various advantages to footwear100. For example, tubular knit zone160has greater durability and wear resistance than some other knit structures, especially when the yarn in tubular knit zone160is plated with a fusible yarn. In addition, the relatively untextured aspect of tubular knit zone160simplifies the process of joining strobel sock125to perimeter edge153. That is, the portion of tubular knit zone160located along perimeter edge153facilitates the lasting process of footwear100. For purposes of reference,FIG.13Adepicts a loop diagram of the manner in which tubular knit zone160is formed with a knitting process. Two stretch knit zones161extend inward from perimeter edge153and are located to correspond with a location of joints between metatarsals and proximal phalanges of the foot. That is, stretch zones extend inward from perimeter edge in the area approximately located at the interface regions101and102. As with tubular knit zone160, the knit configuration in stretch knit zones161may be a tubular knit structure. In contrast with tubular knit zone160, however, stretch knit zones161are formed from a stretch yarn that imparts stretch and recovery properties to knitted component150. Although the degree of stretch in the stretch yarn may vary significantly, the stretch yarn may stretch at least one-hundred percent in many configurations of knitted component150. A tubular and interlock tuck knit zone162extends along a portion of inner edge155in at least midfoot region102. Tubular and interlock tuck knit zone162also forms a relatively untextured knit configuration, but has greater thickness than tubular knit zone160. In cross-section, tubular and interlock tuck knit zone162is similar toFIG.12A, in which surfaces156and157are substantially parallel to each other. Tubular and interlock tuck knit zone162imparts various advantages to footwear100. For example, tubular and interlock tuck knit zone162has greater stretch resistance than some other knit structures, which is beneficial when lace122places tubular and interlock tuck knit zone162and inlaid strands152in tension. For purposes of reference,FIG.13Bdepicts a loop diagram of the manner in which tubular and interlock tuck knit zone162is formed with a knitting process. A 1×1 mesh knit zone163is located in forefoot region101and spaced inward from perimeter edge153. 1×1 mesh knit zone has a C-shaped configuration and forms a plurality of apertures that extend through knit element151and from first surface156to second surface157, as depicted inFIG.12B. The apertures enhance the permeability of knitted component150, which allows air to enter upper120and moisture to escape from upper120. For purposes of reference,FIG.13Cdepicts a loop diagram of the manner in which 1×1 mesh knit zone163is formed with a knitting process. A 2×2 mesh knit zone164extends adjacent to 1×1 mesh knit zone163. In comparison with 1×1 mesh knit zone163, 2×2 mesh knit zone164forms larger apertures, which may further enhance the permeability of knitted component150. For purposes of reference,FIG.13Ddepicts a loop diagram of the manner in which 2×2 mesh knit zone164is formed with a knitting process. A 3×2 mesh knit zone165is located within 2×2 mesh knit zone164, and another 3×2 mesh knit zone165is located adjacent to one of stretch zones161. In comparison with 1×1 mesh knit zone163and 2×2 mesh knit zone164, 3×2 mesh knit zone165forms even larger apertures, which may further enhance the permeability of knitted component150. For purposes of reference,FIG.13Edepicts a loop diagram of the manner in which 3×2 mesh knit zone165is formed with a knitting process. A 1×1 mock mesh knit zone166is located in forefoot region101and extends around 1×1 mesh knit zone163. In contrast with mesh knit zones163-165, which form apertures through knit element151, 1×1 mock mesh knit zone166forms indentations in first surface156, as depicted inFIG.12C. In addition to enhancing the aesthetics of footwear100, 1×1 mock mesh knit zone166may enhance flexibility and decrease the overall mass of knitted component150. For purposes of reference,FIG.13Fdepicts a loop diagram of the manner in which 1×1 mock mesh knit zone166is formed with a knitting process. Two 2×2 mock mesh knit zones167are located in heel region103and adjacent to heel edges154. In comparison with 1×1 mock mesh knit zone166, 2×2 mock mesh knit zones167forms larger indentations in first surface156. In areas where inlaid strands152extend through indentations in 2×2 mock mesh knit zones167, as depicted inFIG.12D, inlaid strands152may be visible and exposed in a lower area of the indentations. For purposes of reference,FIG.13Gdepicts a loop diagram of the manner in which 2×2 mock mesh knit zones167are formed with a knitting process. Two 2×2 hybrid knit zones168are located in midfoot region102and forward of 2×2 mock mesh knit zones167. 2×2 hybrid knit zones168share characteristics of 2×2 mesh knit zone164and 2×2 mock mesh knit zones167. More particularly, 2×2 hybrid knit zones168form apertures having the size and configuration of 2×2 mesh knit zone164, and 2×2 hybrid knit zones168form indentations having the size and configuration of 2×2 mock mesh knit zones167. In areas where inlaid strands152extend through indentations in 2×2 hybrid knit zones168, as depicted inFIG.12E, inlaid strands152are visible and exposed. For purposes of reference,FIG.13Hdepicts a loop diagram of the manner in which 2×2 hybrid knit zones168are formed with a knitting process. Knitted component150also includes two padded zones169having the general configuration of the padded area adjacent to ankle opening121and extending at least partially around ankle opening121, which was discussed above for knitted component130. As such, padded zones169are formed by two overlapping and at least partially coextensive knitted layers, which may be formed of unitary knit construction, and a plurality of floating yarns extending between the knitted layers. A comparison betweenFIGS.9and10reveals that a majority of the texturing in knit element151is located on first surface156, rather than second surface157. That is, the indentations formed by mock mesh knit zones166and167, as well as the indentations in 2×2 hybrid knit zones168, are formed in first surface156. This configuration has an advantage of enhancing the comfort of footwear100. More particularly, this configuration places the relatively untextured configuration of second surface157against the foot. A further comparison betweenFIGS.9and10reveals that portions of inlaid strand152are exposed on first surface156, but not on second surface157. This configuration also has an advantage of enhancing the comfort of footwear100. More particularly, by spacing inlaid strand152from the foot by a portion of knit element151, inlaid strands152will not contact the foot. Additional configurations of knitted component130are depicted inFIGS.14A-14C. Although discussed in relation to kitted component130, concepts associated with each of these configurations may also be utilized with knitted component150. Referring toFIG.14A, inlaid strands132are absent from knitted component130. Although inlaid strands132impart stretch-resistance to areas of knitted component130, some configurations may not require the stretch-resistance from inlaid strands132. Moreover, some configurations may benefit from greater stretch in upper120. Referring toFIG.14B, knit element131includes two flaps142that are formed of unitary knit construction with a remainder of knit element131and extend along the length of knitted component130at perimeter edge133. When incorporated into footwear100, flaps142may replace strobel sock125. That is, flaps142may cooperatively form a portion of upper120that extends under sockliner113and is secured to the upper surface of midsole111. Referring toFIG.14C, knitted component130has a configuration that is limited to midfoot region102. In this configuration, other material elements (e.g., textiles, polymer foam, polymer sheets, leather, synthetic leather) may be joined to knitted component130through stitching or bonding, for example, to form upper120. Based upon the above discussion, each of knit components130and150may have various configurations that impart features and advantages to upper120. More particularly, knit elements131and151may incorporate various knit structures and yarn types that impart specific properties to different areas of upper120, and inlaid strands132and152may extend through the knit structures to impart stretch-resistance to areas of upper120and operate in connection with lace122to enhance the fit of footwear100. Knitting Machine And Feeder Configurations Although knitting may be performed by hand, the commercial manufacture of knitted components is generally performed by knitting machines. An example of a knitting machine200that is suitable for producing either of knitted components130and150is depicted inFIG.15. Knitting machine200has a configuration of a V-bed flat knitting machine for purposes of example, but either of knitted components130and150or aspects of knitted components130and150may be produced on other types of knitting machines. Knitting machine200includes two needle beds201that are angled with respect to each other, thereby forming a V-bed. Each of needle beds201include a plurality of individual needles202that lay on a common plane. That is, needles202from one needle bed201lay on a first plane, and needles202from the other needle bed201lay on a second plane. The first plane and the second plane (i.e., the two needle beds201) are angled relative to each other and meet to form an intersection that extends along a majority of a width of knitting machine200. As described in greater detail below, needles202each have a first position where they are retracted and a second position where they are extended. In the first position, needles202are spaced from the intersection where the first plane and the second plane meet. In the second position, however, needles202pass through the intersection where the first plane and the second plane meet. A pair of rails203extend above and parallel to the intersection of needle beds201and provide attachment points for multiple standard feeders204and combination feeders220. Each rail203has two sides, each of which accommodates either one standard feeder204or one combination feeder220. As such, knitting machine200may include a total of four feeders204and220. As depicted, the forward-most rail203includes one combination feeder220and one standard feeder204on opposite sides, and the rearward-most rail203includes two standard feeders204on opposite sides. Although two rails203are depicted, further configurations of knitting machine200may incorporate additional rails203to provide attachment points for more feeders204and220. Due to the action of a carriage205, feeders204and220move along rails203and needle beds201, thereby supplying yarns to needles202. InFIG.15, a yarn206is provided to combination feeder220by a spool207. More particularly, yarn206extends from spool207to various yarn guides208, a yarn take-back spring209, and a yarn tensioner210before entering combination feeder220. Although not depicted, additional spools207may be utilized to provide yarns to feeders204. Standard feeders204are conventionally-utilized for a V-bed flat knitting machine, such as knitting machine200. That is, existing knitting machines incorporate standard feeders204. Each standard feeder204has the ability to supply a yarn that needles202manipulate to knit, tuck, and float. As a comparison, combination feeder220has the ability to supply a yarn (e.g., yarn206) that needles202knit, tuck, and float, and combination feeder220has the ability to inlay the yarn. Moreover, combination feeder220has the ability to inlay a variety of different strands (e.g., filament, thread, rope, webbing, cable, chain, or yarn). Accordingly, combination feeder220exhibits greater versatility than each standard feeder204. As noted above, combination feeder220may be utilized when inlaying a yarn or other strand, in addition to knitting, tucking, and floating the yarn. Conventional knitting machines, which do not incorporate combination feeder220, may also inlay a yarn. More particularly, conventional knitting machines that are supplied with an inlay feeder may also inlay a yarn. A conventional inlay feeder for a V-bed flat knitting machine includes two components that operate in conjunction to inlay the yarn. Each of the components of the inlay feeder are secured to separate attachment points on two adjacent rails, thereby occupying two attachment points. Whereas an individual standard feeder204only occupies one attachment point, two attachment points are generally occupied when an inlay feeder is utilized to inlay a yarn into a knitted component. Moreover, whereas combination feeder220only occupies one attachment point, a conventional inlay feeder occupies two attachment points. Given that knitting machine200includes two rails203, four attachment points are available in knitting machine200. If a conventional inlay feeder were utilized with knitting machine200, only two attachment points would be available for standard feeders204. When using combination feeder220in knitting machine200, however, three attachment points are available for standard feeders204. Accordingly, combination feeder220may be utilized when inlaying a yarn or other strand, and combination feeder220has an advantage of only occupying one attachment point. Combination feeder220is depicted individually inFIGS.16-19as including a carrier230, a feeder arm240, and a pair of actuation members250. Although a majority of combination feeder220may be formed from metal materials (e.g., steel, aluminum, titanium), portions of carrier230, feeder arm240, and actuation members250may be formed from polymer, ceramic, or composite materials, for example. As discussed above, combination feeder220may be utilized when inlaying a yarn or other strand, in addition to knitting, tucking, and floating a yarn. Referring toFIG.16specifically, a portion of yarn206is depicted to illustrate the manner in which a strand interfaces with combination feeder220. Carrier230has a generally rectangular configuration and includes a first cover member231and a second cover member232that are joined by four bolts233. Cover members231and232define an interior cavity in which portions of feeder arm240and actuation members250are located. Carrier230also includes an attachment element234that extends outward from first cover member231for securing feeder220to one of rails203. Although the configuration of attachment element234may vary, attachment element234is depicted as including two spaced protruding areas that form a dovetail shape, as depicted inFIG.17. A reverse dovetail configuration on one of rails203may extend into the dovetail shape of attachment element234to effectively join combination feeder220to knitting machine200. It should also be noted that second cover member232forms a centrally-located and elongate slot235, as depicted inFIG.18. Feeder arm240has a generally elongate configuration that extends through carrier230(i.e., the cavity between cover members231and232) and outward from a lower side of carrier230. In addition to other elements, feeder arm240includes an actuation bolt241, a spring242, a pulley243, a loop244, and a dispensing area245. Actuation bolt241extends outward from feeder arm240and is located within the cavity between cover members231and232. One side of actuation bolt241is also located within slot235in second cover member232, as depicted inFIG.18. Spring242is secured to carrier230and feeder arm240. More particularly, one end of spring242is secured to carrier230, and an opposite end of spring242is secured to feeder arm240. Pulley243, loop244, and dispensing area245are present on feeder arm240to interface with yarn206or another strand. Moreover, pulley243, loop244, and dispensing area245are configured to ensure that yarn206or another strand smoothly passes through combination feeder220, thereby being reliably-supplied to needles202. Referring again toFIG.16, yarn206extends around pulley243, through loop244, and into dispensing area245. In addition, yarn206extends out of a dispensing tip246, which is an end region of feeder arm240, to then supply needles202. Each of actuation members250includes an arm251and a plate252. In many configurations of actuation members250, each arm251is formed as a one-piece element with one of plates252. Whereas arms251are located outside of carrier230and at an upper side of carrier230, plates252are located within carrier230. Each of arms251has an elongate configuration that defines an outside end253and an opposite inside end254, and arms251are positioned to define a space255between both of inside ends254. That is, arms251are spaced from each other. Plates252have a generally planar configuration. Referring toFIG.19, each of plates252define an aperture256with an inclined edge257. Moreover, actuation bolt241of feeder arm240extends into each aperture256. The configuration of combination feeder220discussed above provides a structure that facilitates a translating movement of feeder arm240. As discussed in greater detail below, the translating movement of feeder arm240selectively positions dispensing tip246at a location that is above or below the intersection of needle beds201. That is, dispensing tip246has the ability to reciprocate through the intersection of needle beds201. An advantage to the translating movement of feeder arm240is that combination feeder220(a) supplies yarn206for knitting, tucking, and floating when dispensing tip246is positioned above the intersection of needle beds201and (b) supplies yarn206or another strand for inlaying when dispensing tip246is positioned below the intersection of needle beds201. Moreover, feeder arm240reciprocates between the two positions depending upon the manner in which combination feeder220is being utilized. In reciprocating through the intersection of needle beds201, feeder arm240translates from a retracted position to an extended position. When in the retracted position, dispensing tip246is positioned above the intersection of needle beds201. When in the extended position, dispensing tip246is positioned below the intersection of needle beds201. Dispensing tip246is closer to carrier230when feeder arm240is in the retracted position than when feeder arm240is in the extended position. Similarly, dispensing tip246is further from carrier230when feeder arm240is in the extended position than when feeder arm240is in the retracted position. In other words, dispensing tip246moves away from carrier230when in the extended position, and dispensing tip246moves closer to carrier230when in the retracted position. For purposes of reference inFIGS.16-20C, as well as further figures discussed later, an arrow221is positioned adjacent to dispensing area245. When arrow221points upward or toward carrier230, feeder arm240is in the retracted position. When arrow221points downward or away from carrier230, feeder arm240is in the extended position. Accordingly, by referencing the position of arrow221, the position of feeder arm240may be readily ascertained. The natural state of feeder arm240is the retracted position. That is, when no significant forces are applied to areas of combination feeder220, feeder arm remains in the retracted position. Referring toFIGS.16-19, for example, no forces or other influences are shown as interacting with combination feeder220, and feeder arm240is in the retracted position. The translating movement of feeder arm240may occur, however, when a sufficient force is applied to one of arms251. More particularly, the translating movement of feeder arm240occurs when a sufficient force is applied to one of outside ends253and is directed toward space255. Referring toFIGS.20A and20B, a force222is acting upon one of outside ends253and is directed toward space255, and feeder arm240is shown as having translated to the extended position. Upon removal of force222, however, feeder arm240will return to the retracted position. It should also be noted thatFIG.20Cdepicts force222as acting upon inside ends254and being directed outward, and feeder arm240remains in the retracted position. As discussed above, feeders204and220move along rails203and needle beds201due to the action of carriage205. More particularly, a drive bolt within carriage205contacts feeders204and220to push feeders204and220along needle beds201. With respect to combination feeder220, the drive bolt may either contact one of outside ends253or one of inside ends254to push combination feeder220along needle beds201. When the drive bolt contacts one of outside ends253, feeder arm240translates to the extended position and dispensing tip246passes below the intersection of needle beds201. When the drive bolt contacts one of inside ends254and is located within space255, feeder arm240remains in the retracted position and dispensing tip246is above the intersection of needle beds201. Accordingly, the area where carriage205contacts combination feeder220determines whether feeder arm240is in the retracted position or the extended position. The mechanical action of combination feeder220will now be discussed.FIGS.19-20Bdepict combination feeder220with first cover member231removed, thereby exposing the elements within the cavity in carrier230. By comparingFIG.19withFIGS.20A and20B, the manner in which force222induces feeder arm240to translate may be apparent. When force222acts upon one of outside ends253, one of actuation members250slides in a direction that is perpendicular to the length of feeder arm240. That is, one of actuation members250slides horizontally inFIGS.19-20B. The movement of one of actuation members250causes actuation bolt241to engage one of inclined edges257. Given that the movement of actuation members250is constrained to the direction that is perpendicular to the length of feeder arm240, actuation bolt241rolls or slides against inclined edge257and induces feeder arm240to translate to the extended position. Upon removal of force222, spring242pulls feeder arm240from the extended position to the retracted position. Based upon the above discussion, combination feeder220reciprocates between the retracted position and the extended position depending upon whether a yarn or other strand is being utilized for knitting, tucking, or floating or being utilized for inlaying. Combination feeder220has a configuration wherein the application of force222induces feeder arm240to translate from the retracted position to the extended position, and removal of force222induces feeder arm240to translate from the extended position to the retracted position. That is, combination feeder220has a configuration wherein the application and removal of force222causes feeder arm240to reciprocate between opposite sides of needle beds201. In general, outside ends253may be considered actuation areas, which induce movement in feeder arm240. In further configurations of combination feeder220, the actuation areas may be in other locations or may respond to other stimuli to induce movement in feeder arm240. For example, the actuation areas may be electrical inputs coupled to servomechanisms that control movement of feeder arm240. Accordingly, combination feeder220may have a variety of structures that operate in the same general manner as the configuration discussed above. Knitting Process The manner in which knitting machine200operates to manufacture a knitted component will now be discussed in detail. Moreover, the following discussion will demonstrate the operation of combination feeder220during a knitting process. Referring toFIG.21A, a portion of knitting machine200that includes various needles202, rail203, standard feeder204, and combination feeder220is depicted. Whereas combination feeder220is secured to a front side of rail203, standard feeder204is secured to a rear side of rail203. Yarn206passes through combination feeder220, and an end of yarn206extends outward from dispensing tip246. Although yarn206is depicted, any other strand (e.g., filament, thread, rope, webbing, cable, chain, or yarn) may pass through combination feeder220. Another yarn211passes through standard feeder204and forms a portion of a knitted component260, and loops of yarn211forming an uppermost course in knitted component260are held by hooks located on ends of needles202. The knitting process discussed herein relates to the formation of knitted component260, which may be any knitted component, including knitted components that are similar to knitted components130and150. For purposes of the discussion, only a relatively small section of knitted component260is shown in the figures in order to permit the knit structure to be illustrated. Moreover, the scale or proportions of the various elements of knitting machine200and knitted component260may be enhanced to better illustrate the knitting process. Standard feeder204includes a feeder arm212with a dispensing tip213. Feeder arm212is angled to position dispensing tip213in a location that is (a) centered between needles202and (b) above an intersection of needle beds201.FIG.22Adepicts a schematic cross-sectional view of this configuration. Note that needles202lay on different planes, which are angled relative to each other. That is, needles202from needle beds201lay on the different planes. Needles202each have a first position and a second position. In the first position, which is shown in solid line, needles202are retracted. In the second position, which is shown in dashed line, needles202are extended. In the first position, needles202are spaced from the intersection where the planes upon which needle beds201lay meet. In the second position, however, needles202are extended and pass through the intersection where the planes upon which needle beds201lay meet. That is, needles202cross each other when extended to the second position. It should be noted that dispensing tip213is located above the intersection of the planes. In this position, dispensing tip213supplies yarn211to needles202for purposes of knitting, tucking, and floating. Combination feeder220is in the retracted position, as evidenced by the orientation of arrow221. Feeder arm240extends downward from carrier230to position dispensing tip246in a location that is (a) centered between needles202and (b) above the intersection of needle beds201.FIG.22Bdepicts a schematic cross-sectional view of this configuration. Note that dispensing tip246is positioned in the same relative location as dispensing tip213inFIG.22A. Referring now toFIG.21B, standard feeder204moves along rail203and a new course is formed in knitted component260from yarn211. More particularly, needles202pulled sections of yarn211through the loops of the prior course, thereby forming the new course. Accordingly, courses may be added to knitted component260by moving standard feeder204along needles202, thereby permitting needles202to manipulate yarn211and form additional loops from yarn211. Continuing with the knitting process, feeder arm240now translates from the retracted position to the extended position, as depicted inFIG.21C. In the extended position, feeder arm240extends downward from carrier230to position dispensing tip246in a location that is (a) centered between needles202and (b) below the intersection of needle beds201.FIG.22Cdepicts a schematic cross-sectional view of this configuration. Note that dispensing tip246is positioned below the location of dispensing tip246inFIG.22Bdue to the translating movement of feeder arm240. Referring now toFIG.21D, combination feeder220moves along rail203and yarn206is placed between loops of knitted component260. That is, yarn206is located in front of some loops and behind other loops in an alternating pattern. Moreover, yarn206is placed in front of loops being held by needles202from one needle bed201, and yarn206is placed behind loops being held by needles202from the other needle bed201. Note that feeder arm240remains in the extended position in order to lay yarn206in the area below the intersection of needle beds201. This effectively places yarn206within the course recently formed by standard feeder204inFIG.21B. In order to complete inlaying yarn206into knitted component260, standard feeder204moves along rail203to form a new course from yarn211, as depicted inFIG.21E. By forming the new course, yarn206is effectively knit within or otherwise integrated into the structure of knitted component260. At this stage, feeder arm240may also translate from the extended position to the retracted position. FIGS.21D and21Eshow separate movements of feeders204and220along rail203. That is,FIG.21Dshows a first movement of combination feeder220along rail203, andFIG.21Eshows a second and subsequent movement of standard feeder204along rail203. In many knitting processes, feeders204and220may effectively move simultaneously to inlay yarn206and form a new course from yarn211. Combination feeder220, however, moves ahead or in front of standard feeder204in order to position yarn206prior to the formation of the new course from yarn211. The general knitting process outlined in the above discussion provides an example of the manner in which inlaid strands132and152may be located in knit elements131and151. More particularly, knitted components130and150may be formed by utilizing combination feeder220to effectively insert inlaid strands132and152into knit elements131. Given the reciprocating action of feeder arm240, inlaid strands may be located within a previously formed course prior to the formation of a new course. Continuing with the knitting process, feeder arm240now translates from the retracted position to the extended position, as depicted inFIG.21F. Combination feeder220then moves along rail203and yarn206is placed between loops of knitted component260, as depicted inFIG.21G. This effectively places yarn206within the course formed by standard feeder204inFIG.21E. In order to complete inlaying yarn206into knitted component260, standard feeder204moves along rail203to form a new course from yarn211, as depicted inFIG.21H. By forming the new course, yarn206is effectively knit within or otherwise integrated into the structure of knitted component260. At this stage, feeder arm240may also translate from the extended position to the retracted position. Referring toFIG.21H, yarn206forms a loop214between the two inlaid sections. In the discussion of knitted component130above, it was noted that inlaid strand132repeatedly exits knit element131at perimeter edge133and then re-enters knit element131at another location of perimeter edge133, thereby forming loops along perimeter edge133, as seen inFIGS.5and6. Loop214is formed in a similar manner. That is, loop214is formed where yarn206exits the knit structure of knitted component260and then re-enters the knit structure. As discussed above, standard feeder204has the ability to supply a yarn (e.g., yarn211) that needles202manipulate to knit, tuck, and float. Combination feeder220, however, has the ability to supply a yarn (e.g., yarn206) that needles202knit, tuck, or float, as well as inlaying the yarn. The above discussion of the knitting process describes the manner in which combination feeder220inlays a yarn while in the extended position. Combination feeder220may also supply the yarn for knitting, tucking, and floating while in the retracted position. Referring toFIG.21I, for example, combination feeder220moves along rail203while in the retracted position and forms a course of knitted component260while in the retracted position. Accordingly, by reciprocating feeder arm240between the retracted position and the extended position, combination feeder220may supply yarn206for purposes of knitting, tucking, floating, and inlaying. An advantage to combination feeder220relates, therefore, to its versatility in supplying a yarn that may be utilized for a greater number of functions than standard feeder204 The ability of combination feeder220to supply yarn for knitting, tucking, floating, and inlaying is based upon the reciprocating action of feeder arm240. Referring toFIGS.22A and22B, dispensing tips213and246are at identical positions relative to needles220. As such, both feeders204and220may supply a yarn for knitting, tucking, and floating. Referring toFIG.22C, dispensing tip246is at a different position. As such, combination feeder220may supply a yarn or other strand for inlaying. An advantage to combination feeder220relates, therefore, to its versatility in supplying a yarn that may be utilized for knitting, tucking, floating, and inlaying. Further Knitting Process Considerations Additional aspects relating to the knitting process will now be discussed. Referring toFIG.23, the upper course of knitted component260is formed from both of yarns206and211. More particularly, a left side of the course is formed from yarn211, whereas a right side of the course is formed from yarn206. Additionally, yarn206is inlaid into the left side of the course. In order to form this configuration, standard feeder204may initially form the left side of the course from yarn211. Combination feeder220then lays yarn206into the right side of the course while feeder arm240is in the extended position. Subsequently, feeder arm240moves from the extended position to the retracted position and forms the right side of the course. Accordingly, combination feeder may inlay a yarn into one portion of a course and then supply the yarn for purposes of knitting a remainder of the course. FIG.24depicts a configuration of knitting machine200that includes four combination feeders220. As discussed above, combination feeder220has the ability to supply a yarn (e.g., yarn206) for knitting, tucking, floating, and inlaying. Given this versatility, standard feeders204may be replaced by multiple combination feeders220in knitting machine200or in various conventional knitting machines. FIG.8Bdepicts a configuration of knitted component130where two yarns138and139are plated to form knit element131, and inlaid strand132extends through knit element131. The general knitting process discussed above may also be utilized to form this configuration. As depicted inFIG.15, knitting machine200includes multiple standard feeders204, and two of standard feeders204may be utilized to form knit element131, with combination feeder220depositing inlaid strand132. Accordingly, the knitting process discussed above inFIGS.21A-21Imay be modified by adding another standard feeder204to supply an additional yarn. In configurations where yarn138is a non-fusible yarn and yarn139is a fusible yarn, knitted component130may be heated following the knitting process to fuse knitted component130. The portion of knitted component260depicted inFIGS.21A-21Ihas the configuration of a rib knit textile with regular and uninterrupted courses and wales. That is, the portion of knitted component260does not have, for example, any mesh areas similar to mesh knit zones163-165or mock mesh areas similar to mock mesh knit zones166and167. In order to form mesh knit zones163-165in either of knitted components150and260, a combination of a racked needle bed201and a transfer of stitch loops from front to back needle beds201and back to front needle beds201in different racked positions is utilized. In order to form mock mesh areas similar to mock mesh knit zones166and167, a combination of a racked needle bed and a transfer of stitch loops from front to back needle beds201is utilized. Courses within a knitted component are generally parallel to each other. Given that a majority of inlaid strand152follows courses within knit element151, it may be suggested that the various sections of inlaid strand152should be parallel to each other. Referring toFIG.9, for example, some sections of inlaid strand152extend between edges153and155and other sections extend between edges153and154. Various sections of inlaid strand152are, therefore, not parallel. The concept of forming darts may be utilized to impart this non-parallel configuration to inlaid strand152. More particularly, courses of varying length may be formed to effectively insert wedge-shaped structures between sections of inlaid strand152. The structure formed in knitted component150, therefore, where various sections of inlaid strand152are not parallel, may be accomplished through the process of darting. Although a majority of inlaid strands152follow courses within knit element151, some sections of inlaid strand152follow wales. For example, sections of inlaid strand152that are adjacent to and parallel to inner edge155follow wales. This may be accomplished by first inserting a section of inlaid strand152along a portion of a course and to a point where inlaid strand152is intended to follow a wale. Inlaid strand152is then kicked back to move inlaid strand152out of the way, and the course is finished. As the subsequent course is being formed, inlay strand152is again kicked back to move inlaid strand152out of the way at the point where inlaid strand152is intended to follow the wale, and the course is finished. This process is repeated until inlaid strand152extends a desired distance along the wale. Similar concepts may be utilized for portions of inlaid strand132in knitted component130. A variety of procedures may be utilized to reduce relative movement between (a) knit element131and inlaid strand132or (b) knit element151and inlaid strand152. That is, various procedures may be utilized to prevent inlaid strands132and152from slipping, moving through, pulling out, or otherwise becoming displaced from knit elements131and151. For example, fusing one or more yarns that are formed from thermoplastic polymer materials to inlaid strands132and152may prevent movement between inlaid strands132and152and knit elements131and151. Additionally, inlaid strands132and152may be fixed to knit elements131and151when periodically fed to knitting needles as a tuck element. That is, inlaid strands132and152may be formed into tuck stitches at points along their lengths (e.g., once per centimeter) in order to secure inlaid strands132and152to knit elements131and151and prevent movement of inlaid strands132and152. Following the knitting process described above, various operations may be performed to enhance the properties of either of knitted components130and150. For example, a water-repellant coating or other water-resisting treatment may be applied to limit the ability of the knit structures to absorb and retain water. As another example, knitted components130and150may be steamed to improve loft and induce fusing of the yarns. As discussed above with respect toFIG.8B, yarn138may be a non-fusible yarn and yarn139may be a fusible yarn. When steamed, yarn139may melt or otherwise soften so as to transition from a solid state to a softened or liquid state, and then transition from the softened or liquid state to the solid state when sufficiently cooled. As such, yarn139may be utilized to join (a) one portion of yarn138to another portion of yarn138, (b) yarn138and inlaid strand132to each other, or (c) another element (e.g., logos, trademarks, and placards with care instructions and material information) to knitted component130, for example. Accordingly, a steaming process may be utilized to induce fusing of yarns in knitted components130and150. Although procedures associated with the steaming process may vary greatly, one method involves pinning one of knitted components130and150to a jig during steaming. An advantage of pinning one of knitted components130and150to a jig is that the resulting dimensions of specific areas of knitted components130and150may be controlled. For example, pins on the jig may be located to hold areas corresponding to perimeter edge133of knitted component130. By retaining specific dimensions for perimeter edge133, perimeter edge133will have the correct length for a portion of the lasting process that joins upper120to sole structure110. Accordingly, pinning areas of knitted components130and150may be utilized to control the resulting dimensions of knitted components130and150following the steaming process. The knitting process described above for forming knitted component260may be applied to the manufacture of knitted components130and150for footwear100. The knitting process may also be applied to the manufacture of a variety of other knitted components. That is, knitting processes utilizing one or more combination feeders or other reciprocating feeders may be utilized to form a variety of knitted components. As such, knitted components formed through the knitting process described above, or a similar process, may also be utilized in other types of apparel (e.g., shirts, pants, socks, jackets, undergarments), athletic equipment (e.g., golf bags, baseball and football gloves, soccer ball restriction structures), containers (e.g., backpacks, bags), and upholstery for furniture (e.g., chairs, couches, car seats). The knitted components may also be utilized in bed coverings (e.g., sheets, blankets), table coverings, towels, flags, tents, sails, and parachutes. The knitted components may be utilized as technical textiles for industrial purposes, including structures for automotive and aerospace applications, filter materials, medical textiles (e.g. bandages, swabs, implants), geotextiles for reinforcing embankments, agrotextiles for crop protection, and industrial apparel that protects or insulates against heat and radiation. Accordingly, knitted components formed through the knitting process described above, or a similar process, may be incorporated into a variety of products for both personal and industrial purposes. The current embodiments are disclosed above and in the accompanying figures with reference to a variety of configurations. The purpose served by the disclosure, however, is to provide an example of the various features and concepts related to the present disclosure, not to limit the scope of the invention. One skilled in the relevant art will recognize that numerous variations and modifications may be made to the configurations described above without departing from the scope of the present disclosure, as defined by the appended claims. | 73,758 |
11859321 | DETAILED DESCRIPTION According to one aspect of the subject matter described herein, a software-implemented tool is provided that graphically displays to a user representations of knit and purl stitches, allows the user to build a virtual textile or fabric design using the stitches, and, based on the arrangement of the stitches, predicts the edge rolling and folding behaviors of a physical textile or fabric design having the same stitch patterns as the virtual textile or fabric design. In one implementation, the tool is created in Adobe Illustrator and enables a user to design a fabric and predict edge rolling and folding behaviors though the following process.Step 1) Blocks representing knit and purl are used to represent the stitches in the knit pattern. These blocks are displayed by the tool to the user, and examples of such blocks are illustrated inFIG.1. InFIG.1, the block on the left side (shown in pink) represents a single knit stitch, and the block on the right side (shown in blue) represents a single purl stitch. The tool scales the dimension of these blocks to reflect the real stitch dimensions, using a measured fabric gauge, via the equation: A=stitchesperinch,coursedirectionstitchesperinch,waledirection Where A is the aspect ratio of the stitch dimensions. The width of the pattern block is then multiplied by A, to produce the scaled stitch representation blocks.FIG.2illustrates an example of scaled stitch representation blocks where A=2. Please note: Knit and purl stitches are structurally symmetrical (i.e., the back of knit stitch is a purl stitch, and the back of a purl stitch is a knit stitch.) The side from which they are viewed determines their nomenclature and appearance.Step 2) Next, the user creates their knit and purl stitch pattern by laying out these building blocks in the desired pattern.FIG.3illustrates an example where two simple patterns are created, one in which a cluster of knit stitches is placed next to a cluster of purl stitches and one in which a cluster of knit stitches is placed above a cluster of purl stitches.)Step 3) The tool then merges any continuous sections of knit or purl stitches into blocks of knit segments and purl segments.FIG.4illustrates the virtual fabric designs fromFIG.3where the individual stitches of each type are merged into blocks of stitches of the same type. InFIG.4, the stitches fromFIG.3are merged into larger rectangular sections of knit and purl stitches, replacing the individual stitch representations and removing their shape outlines.Step 4) Next the user would apply edge rolling indicators and folding indicators by adhering to the rules set forth in the tables illustrated inFIGS.5-7. All edge rolling indicators will be placed such that the small curves at the edge perfectly intersect the corner of the knit or purl segment, and then the linear segment is scaled to fully connect the top and bottom or left and right sides of the edge rolling indicator.FIG.8illustrates the edge rolling and folding indicators added to the virtual fabric illustrated inFIG.4. Edge rolling indicators show where the knit segment will curl over the purl segment (thus increasing the pink surface area and decreasing the blue surface area) and where the purl segment will curl over the knit segment (thus increasing the blue surface area and decreasing the pink surface area).Step 5) Scale the pattern pieces, according to experimentally measured or predicted horizontal vs vertical knit to purl transition folding force ratios, if available. (The ratio of force required to unroll a horizontal vs. vertical knit to purl stitch transition) The knit or purl segment blocks are scaled to reflect the magnitude of forces driving deformation and folding behaviors. First the equation below is applied; R=FHFV Where the required force to start unrolling a horizontal knit to purl transition is FHis and the required force to start unrolling a vertical knit to purl transition is Fv, and R is the ratio of horizontal to vertical folding. This ratio is then applied to purl segments to scale, multiplying the height of the segment by R, to produce a modified segment that more accurately reflects the level of deformation that causes the purl to curl over the knit at horizontal boundaries. The scaling ratio is applied in this way to reflect the experimentally determined fact that proportionally, folding at horizontal knit to purl transitions is always stronger than folding at vertical knit to purl transitions. The edge rolling indicators and folding indicators are adjusted also, such that their end points remain tethered to their original location and the linear segment moves in unison with the underlying pattern piece. The curve of the end pieces then adjusts to join back into the linear segment.FIG.9illustrates results of scaling the virtual fabric designs inFIG.8to reflect predicted forces driving deformation and folding behaviors. This tool demonstrates a representation of the self-folding behavior of one face of the fabric at a time. In cases where the knit and purl stitch pattern is symmetrical, only one face needs to be mapped to understand the resulting behavior of both sides of the fabric (such as in “Example of Tool Applied_Pattern #1” and “Example of Tool Applied_Pattern #3”) (described below). In other cases, both faces of the fabric need to be mapped separately in order to understand the resulting behavior of both the front and back of the fabric (such as in “Example of Tool Applied_Pattern #2”). Step 4) in the Case of Patterns with Angles Other than 0 or 90 (Oblique Angles) In step 4 above, the user applies horizontal and vertical edge rolling indicators to a virtual fabric. The tool described herein also allow predicting of fabric edge rolling and folding behaviors for cases where the edge rolling indicators are applied at oblique angles, such as where knit and purl stitches meet on at 45 degree boundary in a virtual fabric. Again, the user would apply edge rolling indicators and then delineate the folding indicators by adhering to the rules laid out in the tables inFIGS.5-7. Mountain fold indicators demonstrate where the fabric will fold upwards. Valley fold indicators demonstrate where the fabric will fold downwards.FIG.10illustrates an example of a virtual fabric where border between knit and purl stitch patterns form oblique angles. The following examples illustrate application of the tool to various stitch patterns. Example #1 of The Tool Applied to a Knit and Purl Stitch Pattern The application of the tool to a virtual fabric with only horizontal and vertical transitions is shown inFIG.11. The steps inFIG.11correspond to those described above with regard toFIGS.1-10. Example #2 of The Tool Applied to a Knit and Purl Stitch Pattern FIGS.12A and12Billustrate an example where the tool is applied to a virtual fabric with a stitch pattern that is different on the front and back side (i.e., with more knit visible on front, more purl visible on back), the different folding behaviors are shown on each side. The steps inFIGS.12A and12Bcorrespond to those described above with regard toFIGS.1-10. Example #3 of The Tool Applied to a Knit and Purl Stitch Pattern FIG.13illustrates application of the fabric design tool to a stitch pattern that has knit to purl transitions at oblique angles. The steps inFIG.13correspond to those described above with regard toFIGS.1-10. Please note that Step 5 is not illustrated inFIG.13. Self-folding occurs as a result of boundary condition behaviors in knit and purl stitch transitions. The folding behavior in the horizontal knit to purl transitions is dominant over the folding behavior in the vertical knit to purl transitions regardless of the fabrication parameters used to produce the fabric. To begin to understand the self-folding behavior of complex knit and purl stitch structures, it is necessary to first observe the plain weft knit fabric, that is, one made of all knit stitches on the technical front and all purl stitches on the technical back. A characteristic edge rolling behavior occurs in all plain knit fabrics, regardless of material or method of manufacture. An example of a plain knit fabric is shown inFIG.14, as viewed from the knit side and the purl side. The characteristic behavior is shown, and, as viewed from the knit side of the fabric, can be described by the tendency of the top and bottom edges to always curl towards the front (towards the knit side) and the tendency of the side edges to always curl towards the back (towards the purl side). This effect is magnified in one direction when a fabric is produced where the number of courses far exceeds the number of wales, or vice-versa.FIG.15Ashows sample of plain knit fabric where the number of courses greatly exceeds the number of wales. Due to this imbalance, the curling effect on the side edges completely overtakes the curling effect on the top and bottom edges, and the entire fabric is deformed into a scroll shape. Only knit stitches can be seen as the knit side is completely curled towards the purl side. If on the other hand, the number of wales greatly exceeds the number of courses, the opposite effect is observed. The entire fabric is deformed into a scroll shape consisting of the purl side curling completely towards the knit side (FIG.15B). By understanding these fundamental behaviors of plain knit segments, it can then be demonstrated that behavior of all knit and all purl segments, when added together into a single side of a fabric, produce dimensional changes at the boundaries through interacting edge rolling behaviors. These result in out of plane deformation, or “folding”.FIG.16depicts a fabric sample that is 60 wales by 120 courses. The first 60 courses are produced using the purl stitch and the second 60 courses are produced using the knit stitch. Considering the knit boundary condition behaviors described above, in the middle of this fabric the stitches transition from purl to knit. The top edge of the purl segment curls backwards, and the bottom edge of the knit segment curls forwards. The resulting fabric exhibits a new kind of rolling behavior in the horizontal transition zone between knit and purl. Similar behavior occurs with a vertically oriented boundary between knit and purl.FIG.17depicts a fabric sample that is 120 wales by 60 courses. The first 60 wales are produced using knit stitches, and the second 60 wales are produced using purl stitches. Here, the resulting fabric exhibits a similar rolling behavior occurring in the vertical transition zone between knit and purl. As previously described, all knit and purl structures can be created at the individual stitch level by transitioning horizontally or vertically between knit and purl stitches on the same side of the fabric. Using these concepts, the developed modular tool for design of self-folding knit fabrics can be used to predict the directions of the folds and how they interact to produce more complex behaviors such as torque. By understanding that the folding behavior occurs as a result of competition between boundary condition deformations, “puzzle pieces” were developed to diagrammatically represent the generalized behavior of segments of all knit or all purl stitches. These puzzle pieces represent an all knit or all purl segment with its appropriate curling behavior at the side, top or bottom edge using saddle shape geometries to represent boundary conditions (FIG.18). In the case of knit segments, the puzzle piece indicates that the segment will curl forward at the top and bottom edges and backwards at the side edges. In the case of purl segments, the puzzle piece indicates that the segment will curl backwards at the top and bottom edges and forwards at the side edges. In both instances, by looking at the corners of each representation, we can also see the direction of twist that will occur in each instance. These corners indicate how the behaviors of the individual segments will interact. These modeling pieces can be rescaled as needed, according to the particular stitch pattern used. When these pieces are fit together, such as in a horizontal or vertical transition from knit to purl, they clearly indicate the direction of folding that occurs in the real textile samples (FIGS.19A and19B). In the case of horizontal transition between knit and purl (FIG.19A) these segments show how the purl segment will curl over the knit, as well as the direction of torque that occurs at each edge. By mapping these pieces over increasingly complex stitch patterns, more complex behaviors can be understood before manufacturing. A checkerboard pattern of knit and purl segments, as seen inFIG.20, shows how torque is created in the middle of the fabric, pushing the center point up and out of the plane. The specific direction of this rotation is indicated by the diagrammatic tool, observed through the interaction of the corners of each knit or purl puzzle piece. Additional information regarding how different planes of the fabric will form is also indicated. The series ofFIGS.21-24) show the puzzle pieces applied to a different knit and purl stitch pattern, and the resulting fabric that is formed. Starting with a knit and purl stitch pattern (FIG.21), the puzzle pieces can be applied for both the front and the back of the sample. Observing the resulting diagram that is produced, the directions of the folds are shown. This mapping pattern can be overlaid with the real fabric held in tension, to confirm the correspondence of the segments (FIG.23), and then when the fabric has relaxed, to confirm the dimensionality that was predicted (FIG.24). To further increase the accuracy in prediction of specific folding behaviors described above, mechanical characterization data can be incorporated, if available, into the tool. This allows the user to predict how the ratio of physical folding forces in the horizontal and vertical directions between knit and purl will affect the resulting fabric. This ratio will differ based on a variety of manufacturing parameters such as yarn material, and machine gauge. This ratio can be determined by measuring the forces required to unfold samples with isolated horizontal knit to purl transitions and comparing with the forces required to unfold samples with isolated vertical knit to purl transitions, when proportionally equivalent samples are produced. Specific methods for measuring these horizontal to vertical folding forces can be found in Chapter 5, Sections 5.3-5.6 of the above-referenced provisional patent application. Further details on how to predict the ratio of horizontal to vertical folding forces without excessive sample testing are detailed in Chapter 7, Section 7.3 of the above-referenced provisional patent application. Exemplary Computer Implementation FIG.25is a block diagram illustrating an exemplary computer implementation of the fabric design tool described herein. Referring toFIG.25, a fabric design tool100may be implemented using computer executable instructions stored in memory102and executed by processor104of computing platform106. In one example, computing platform106may be a general purpose computing platform, such as a personal computer, a tablet, or a mobile phone. and fabric design tool100may be an application program that executes on computing platform106. In another example, computing platform106may be a server, and fabric design tool may be an application that executes on the server to allow users to design fabrics over a network interface, such as a web interface. FIG.26is a flow chart illustrating exemplary steps for designing a fabric using fabric design tool100. Referring toFIG.26, in step200, the process includes receiving graphical input from a user regarding a knit pattern comprised of different types of individual stitches (such as knit and purl) to be included in a textile or fabric design. For example, fabric design tool100may allow the user to input stitch patterns, such as those illustrated inFIG.3. In step202, the process includes graphically displaying a representation of the textile or fabric design. For example, fabric design tool100may display a graphical representation of stitch patterns selected by the user. An example of such a display is illustrated inFIG.3. In step204, the process includes merging sections of continuous stitches of the same type into at least one block. For example, fabric design tool100may merge continuous stitches of the same type into blocks. Even though the term “blocks” is used, blocks of continuous stitches may be any geometric shape corresponding to the continuous stitch patterns in the fabric. In step206, the process includes graphically displaying the textile or fabric design as a pattern of the at least one block.FIG.4illustrates an example where the graphical display includes a block of knit stitches and a block of purl stitches. In step208, the process includes applying edge rolling and/or folding indicators to the displayed pattern of the at least one block. For example, fabric design tool100may, in one example, automatically add edge rolling and/or folding indicators to the edges and transitions between sections of different types of stitches using the rules in the tables inFIGS.5-7. In another example, fabric design tool100may display the rules in the tables inFIGS.5-7to the user and allow the user to add the edge rolling and folding indicators to the graphical representation of the pattern.FIG.8illustrates an example of a graphical representation of a fabric with edge rolling and folding indicators added to the edges and the transition between stitch patterns in the fabric. In step210, the process includes determining scaled dimensions of the at least one block based on measured or predicted forces driving edge rolling and/or folding behavior. For example, fabric design tool100may predict the edge rolling and folding on the at least one block using the equations described herein and use the measured or predicted forces (magnitudes and directions) to determine the scaling to be applied to the blocks of stitches illustrated in the graphical representation of the textile or fabric. In another example, fabric design tool100may use stored measurements of forces from physical fabrics to determine the forces to be used in calculating the scaling to be applied to the dimensions of the displayed fabrics. In step212, the process includes graphically displaying the pattern including the scaled representation of the at least one block. For example, fabric design tool100may determine scaled display a scaled graphical representation of the textile or fabric, such as the representation illustrated inFIG.9, which illustrates predicted edge rolling and folding behaviors of a physical textile fabric. It will be understood that various details of the subject matter described herein may be changed without departing from the scope of the subject matter described herein. Furthermore, the foregoing description is for the purpose of illustration only, and not for the purpose of limitation, as the subject matter described herein is defined by the claims as set forth hereinafter. | 19,252 |
11859322 | DETAILED DESCRIPTION FIGS.1-3illustrate and describe systems and methods for making multi-layer meltblown mats in accordance with embodiments of the present invention. As used herein, the term “multi-layer meltblown mat” means a meltblown mat or web formed from separately deposited layers of meltblown fibers that are laminated together during the melt blowing process. The separately deposited meltblown layers each have exposed outer fibers that are brought into contact with adjacent layers when the separately deposited meltblown layers are combined together. The exposed fibers of each meltblown layer may be bonded, fused, adhered or otherwise attached to adjacent layers, such as exposed outer fibers of an adjacent meltblown layer, to form the multi-layer meltblown mat. The present invention eliminates the need for additional pre-manufactured meltblown webs for covering the fibrous top layer of meltblown mats, which have been necessary in the past for providing a smooth non-fibrous top surface. FIG.1schematically illustrates a multi-layer meltblown mat production system5in accordance with an embodiment of the present invention. The system5includes a first forming box10and a second forming box20. The first forming box10includes multiple first rollers11rotatable in clockwise directions engaging a first moving surface in the form of a first conveyor belt12known to those skilled in the melt blowing art having an upper horizontal surface that travels in a first travel direction14. A first meltblown head15is positioned above the first conveyor belt12, and dispenses first meltblown fibers16onto the top surface of the first conveyor belt12to form a first layer of meltblown fibers18. The second forming box20includes multiple second rollers22rotatable in counterclockwise directions engaging a second moving surface in the form of a second conveyor belt22having an upper horizontal surface that travels in a second travel direction24. A second meltblown head25is positioned above the second conveyor belt22, and dispenses second meltblown fibers26onto the top surface of the second conveyor belt22to form a second layer of meltblown fibers28. In certain embodiments, suction may be applied through one or both of the first and second conveyor belts12and22in a manner known to those skilled in the melt blowing art. As shown inFIG.1, as the first and second layers of meltblown fibers18and28disengage from their respective first and second conveyor belts12and22they are fed through opposed guide rollers51to form combined meltblown layers52that travel in a combined layer travel direction53. The combined meltblown layers52are contacted by a guide roller54and fed through opposing bonding rollers56to thereby form a bonded multi-layer meltblown sheet58, as more fully described below. As shown inFIG.1, during operation, the first and second meltblown conveyor belts12and22travel in opposite directions toward each other. It should be noted that, althoughFIG.1shows two forming areas10and20, any number of forming sections can be used to create the final effect and subsequent product. The first meltblown head15deposits the first supply of meltblown fibers16onto the first conveyor belt12, and the second meltblown head25deposits a second supply of meltblown fibers26onto the second conveyor belt22. The meltblown fibers16and26may be made of any suitable materials such as polymers including polypropylene, polyester, polyethylene, polyamides, bi-component fibers and the like. As the first and second meltblown layers18and28pass through the pair of guide rollers51, the top portions of the deposited layers come into contact with each other. The spacing of the guide rollers51may be adjusted to apply a desired amount of pressure to the first and second meltblown layers18and28as they pass together through the rollers51. The guide rollers51may typically be spaced apart a distance of from 5 to 50 mm, for example, from 10 to 20 mm. The guide rollers51may be used to align the first and second meltblown layers18and28in relation to each other for subsequent bonding later in the process. Alternatively, the guide rollers51may be used as nip rollers that apply sufficient pressure between the first and second meltblown layers18and28to at least partially bond a portion of the exposed fibers of the layers together. The guide rollers51may be used to apply heat to the combined meltblown layers to initiate the bonding process. Additional equipment may be located downstream from the guide rollers51to join the meltblown layers together, either through thermal bonding, ultrasonics, adhesives, hydroentangling, needlepunching, or any other known ways of bonding and/or joining fibers together to produce a nonwoven fabric. If heat is applied to the combined meltblown layers in a region at or near the guide rollers51, a temperature of from 80 to 400° F. may typically be used, for example, from 120 to 150° F. Each deposited meltblown layer18and28typically has a smooth side against its respective conveyor belt12and22, and an opposite fibrous side. The smooth side may be caused by pressing the lower side of each meltblown layer against its respective conveyor belt to generally align the fibers against the contact surface, e.g., the lowermost fibers in each meltblown layer may conform to a smooth planar surface of the underlying conveyor belt. As the first and second meltblown layers18and28pass through the guide rollers51, their fibrous sides contact each other and at least a portion of the contacting fibers may be bound to each other, e.g., by heat and/or pressure. As described above, the heat necessary to bind the exposed fiber layers together may be provided at the guide rollers51, or downstream therefrom. Alternatively, the guide rollers51may not be used to apply sufficient heat and/or pressure to bind the meltblown layers18and28together, and are used to align and feed the adjacent meltblown layers to a bonding stage56downstream from the guide rollers. As shown inFIG.1, the opposed bonding rollers56may be used to bond the fibrous sides of the first and second meltblown layers18and28together. The two opposed bonding rollers56may comprise nip rollers, and the aligned first and second meltblown layers18and28pass through the rollers56in order to bond their fibrous sides together. The bonding rollers56rotate in opposite directions with respect to each other, and may be spaced apart a selected distance that applies a desired level of pressure to the first and second meltblown layers18and28to thereby facilitate bonding of their adjacent fibrous sides. The bonding rollers56may typically be spaced apart a distance of from zero to 20 mm, for example, from 3 to 8 mm. The bonding rollers56may be heated in order to help bond the fibrous sides of the first and second meltblown layers18and28together. In addition to, or in place of, heating the bonding rollers56, additional heating equipment (not shown) may be provided upstream and/or downstream from the bonding rollers. When heat is applied to the combined meltblown layers18and28in the bonding area, a temperature of from 100 to 500° F. may typically be used, for example, from 250 to 350° F. It should be noted that the use of bonding rollers56is as an example of bonding or joining the meltblown fibers and layers together to form nonwoven fabric. Any other suitable bonding or joining techniques can be applied to create a nonwoven fabric. For example, the fabrics can be bonded or joined through the use of ultrasonics, adhesives or other chemical means, hydroentangling, and the like. After passing through the bonding area, the multi-layer meltblown mat may be wound onto a standard takeup roll, fed to a standard sheeter/stacker, or the like (not shown). FIG.2schematically illustrates a multi-layer meltblown mat production system105similar to that shown inFIG.1, with the addition of an auxiliary head150that feeds an additional material151to the first and second layers of meltblown fibers18and28, as more fully described below. The combined meltblown layers18and28and additional material151form a laminated sheet152that is fed through the opposed binding rollers56to form a bonded multi-layer meltblown sheet158, including the additional material151sandwiched between and/or embedded in the first and second layers of meltblown fibers18and28. The region of the opposed rollers51where the layers18and28are joined can form a nip that allows for the introduction, and subsequent containment, of other materials151, which can offer unique or enhanced properties to the resulting meltblown mat158. The additional material(s)151fed from the auxiliary head150may include flowable materials such as powders, granules, fibers, liquids and the like. Examples of powders include talc, antimicrobial powders, and the like. Examples of fibers include super-absorbent fibers, naturally occurring fibers such as cotton, man-made fibers, and the like. Examples of granules include super-absorbent polymers, salts, and the like. Examples of liquids include surfactants, antistats, alcohol repellants, antimicrobials, and the like. Synthetic or natural fiber materials may enhance the bulk, feel, or other performance enhancing characteristics of the resulting meltblown mat. Alternatively, the additional material(s)151fed from the auxiliary head150may include continuous filaments or sheets of material(s). Examples of continuous filaments include reinforcing filaments made of polypropylene, polyethylene, polyester, polyamides, and the like. Examples of sheet materials include reinforcing fabric such as scrim cloth, other nonwoven materials, light weight mesh materials, and the like. FIG.3schematically illustrates a multi-layer meltblown mat production system205similar to that shown inFIG.1, with the addition of a third forming box30and a fourth forming box40. The third forming box30includes multiple third rollers31rotatable in clockwise directions engaging a third conveyor belt32having an upper horizontal surface that travels in a third travel direction34. A third meltblown head35is positioned above the third conveyor belt32, and dispenses third meltblown fibers36onto the top surface of the third conveyor belt32to form a third layer of meltblown fibers38. The fourth forming box40includes multiple fourth rollers41rotatable in counterclockwise directions engaging a fourth conveyor belt42having an upper horizontal surface that travels in a fourth travel direction44. A fourth meltblown head45is positioned above the fourth conveyor belt42, and dispenses fourth meltblown fibers46onto the top surface of the fourth conveyor belt42to form a fourth layer of meltblown fibers48. As shown inFIG.3, the third layer of meltblown fibers38is directly deposited on the first layer of meltblown fibers18, and the fourth layer of meltblown fibers48is directly deposited on the second layer of meltblown fibers28. The combined first, second, third and fourth layers of meltblown fibers18,28,38and48are then fed through the opposing guide rollers51to produce combined meltblown layers252including four layers. The combined meltblown layers252are then fed through the opposing binding rollers56to form a bonded multi-layer meltblown sheet258. In the embodiments shown, the conveyor belts of the forming boxes are typically operated at the same speeds. In the embodiments ofFIGS.1and2, the first and second conveyor belts12and22may thus be operated at the same speeds. In the embodiment ofFIG.3, the first, second, third and fourth conveyor belts12,22,32and42may thus be operated at the same speeds. Any suitable speed known to those skilled in the art may be used, such as conveyor belt speeds of from 50 to 300 feet/minute, or from 100 to 200 feet/minute, or about 150 feet/minute. The rollers11,21,31and41of each forming box10,20,30and40may be driven and controlled by means known to those skilled in the art. Each forming box10,20,30and40may be powered and controlled independently from the other forming boxes. Although the use of conveyor belts as the support surfaces for the deposited meltblown fibers are shown inFIGS.1-3, it should be understood that other moving surfaces, such as forming drums known to those skilled in the melt blowing art, may be used in place of the conveyor belts. The multi-layer meltblown mats of the present invention may have any suitable overall thickness. The first and second meltblown layers18and28may have the same or different thicknesses prior to being combined together. In addition, the first and second meltblown layers18and28may include different types of fibers, may have different fiber densities, etc. The multi-layer meltblown mats may have any desired basis weight, for example, from 5 to 2,000 g/m2, or from 10 to 1,000 g/m2. Relatively heavy absorbent mats may typically have weights of greater than 100 g/m2, such as from 150 to 600 g/m2, or from 200 to 300 g/m2. Relatively light absorbent mats or pads may typically have weights of less than 100 g/m2, or less than 50 g/m2, for example, from 5 to 30 g/m2, or from 10 to 20 g/m2. The multi-layer meltblown mats may be made in any desired widths, for example, from 10 inches to 20 feet wide, or from 1 to 10 feet wide, or from 2 to 8 feet wide, or from 5 to 7 feet wide. The first and second conveyor belts12and22may have web forming surfaces that provide the same or different surface effects on the outer exposed surfaces of the first and second layers18and28. The final multi-layer mats may thus be provided with outer surfaces having desired finishes created by direct contact of the meltblown fibers on the forming surfaces of the first and second conveyor belts12and22. A three-dimensional effect may be provided on one or both exposed outer surfaces of the mats. This may be desirable for products where the surface has a rougher surface to provide, for example, a wiping product that has scrubbing abilities. There also may be a need for a product that has the ability to capture and hold dirt or other contaminants. There further may be a need for a product were a smooth, pillow-top like surface to desired for skin contact or contact with other surfaces where sensitivity is desired. There may be a need for a product that has two distinctive surfaces. Because the present multi-layer meltblown mats are made from at least two different forming boxes with the ability to utilize different forming surfaces, there exists the possibility to produce materials with two different, distinctive surfaces. Furthermore, as the final fabric is produced from different meltblown forming boxes, there exists the possibility to utilize different additives which can provide additional differences to the feel and color of the surfaces. The pattern of the forming surface imparted onto the surface of each exposed outer meltblown layer may be variable and controllable, e.g., through the control of the various processes of the meltblown production. For example, by increasing or decreasing the below-belt suction it is possible to increase or decrease the visual impact and/or subsequent feel of surface of the meltblown layer. In addition, adjusting the melt and die temperatures of the meltblown extrusion process may also produce similar effects. As used herein, “including,” “containing” and like terms are understood in the context of this application to be synonymous with “comprising” and are therefore open-ended and do not exclude the presence of additional undescribed or unrecited elements, materials, phases or method steps. As used herein, “consisting of” is understood in the context of this application to exclude the presence of any unspecified element, material, phase or method step. As used herein, “consisting essentially of” is understood in the context of this application to include the specified elements, materials, phases, or method steps, where applicable, and to also include any unspecified elements, materials, phases, or method steps that do not materially affect the basic or novel characteristics of the invention. Notwithstanding that the numerical ranges and parameters setting forth the broad scope of the invention are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical value, however, inherently contains certain errors necessarily resulting from the standard variation found in their respective testing measurements. Also, it should be understood that any numerical range recited herein is intended to include all sub-ranges subsumed therein. For example, a range of “1 to 10” is intended to include all sub-ranges between (and including) the recited minimum value of 1 and the recited maximum value of 10, that is, having a minimum value equal to or greater than 1 and a maximum value of equal to or less than 10. In this application, the use of the singular includes the plural and plural encompasses singular, unless specifically stated otherwise. In addition, in this application, the use of “or” means “and/or” unless specifically stated otherwise, even though “and/or” may be explicitly used in certain instances. In this application and the appended claims, the articles “a,” “an,” and “the” include plural referents unless expressly and unequivocally limited to one referent. Whereas particular embodiments of this invention have been described above for purposes of illustration, it will be evident to those skilled in the art that numerous variations of the details of the present invention may be made without departing from the invention as defined in the appended claims. | 17,624 |
11859323 | DETAILED DESCRIPTION OF THE INVENTION Hereinafter, typical embodiments of the present invention will be described in detail with reference to the drawings. Note that, since the drawings are for conceptually explaining the present invention, the dimensions, ratios, or numbers may be exaggerated or simplified as necessary for easy understanding, and the present invention is not limited to these. 1. Cloth Used in Embodiments of the Present Invention First, the cloth1used in the embodiment of the present invention will be described. Although a knitted fabric is described as an example of the cloth1, a woven fabric or a non-woven fabric may be used as the cloth1. In other words, the present invention can be applied to cloths including fabrics and textiles in general. The cloth1includes, for example, as shown inFIG.2, a predetermined ratio of thermally fusible fibers (thermally fusible yarns)3and other fibers (other yarns)5having a higher melting point than the thermally fusible fibers3. The mixing ratio of the thermally fusible fibers3and the other fibers5will be described later. First, the structure and composition of the thermally fusible fiber3will be described. As shown inFIG.1(A), the thermally fusible fiber3is a composite filament having a core-sheath structure composed of a core portion3A and a sheath portion3B. The sheath portion3B is made of a resin having a melting point lower than that of the core portion3A, and covers the outer periphery of the core portion3A. When the sheath3B is cooled after fusion or melt by heating, the thermally fusible fibers3adhere to each other or to other fibers to be bonded (fixed), as illustrated inFIG.1(B). By such thermal fusion, the thermally fusible fiber3can retain its strength even after the fusion bonding. In order to function as the thermally fusible fiber3, the sheath3B preferably has a melting point lower than the melting point of the core3A by 20° C. or more, and more preferably by 30° C. or more. That is, the melting point of the sheath3B is lower than the melting point of the core3A at least by 20° C., and more preferably at least by 30° C. Since the thermally fusible fiber3of the embodiment of the present invention has a core-sheath structure, it is generally produced by a melt spinning method. The core component constituting the core portion3A is polyester, and the sheath component constituting the sheath portion3B is a low melting point polyester. Therefore, the polyester as the core component is not particularly limited as long as the effect of the present invention is not impaired, and may have a composition that does not deteriorate melt spinning operability with the sheath component, and may be, for example, a homopolyester or a copolymerized polyester. The melting point of the core component may be 210° C. or more, and more preferably 220° C. or more, for example, when the melting point of the low melting polyester is 190° C. As the material of the core3A, other types of polyester may be employed, or resins other than polyester may be employed. When the core component is a copolymerized polyester, in order to improve the strength of the thermally fusible monofilament, it is preferable that its intrinsic viscosity is in the high viscosity range of 0.66 to 0.90, in particular, the intrinsic viscosity is in the high viscosity range of 0.68 to 0.85. Among them, it is most preferable to use high viscosity PET (polyethylene terephthalate) having an intrinsic viscosity of 0.68 to 0.85. The low melting point polyester, which is a sheath component constituting the sheath3B, is not particularly limited as long as it does not impair the effects of the present invention, and may have a melting point lower than that of the polyester of the core component of the present invention by 20° C. or more, preferably 30° C. or more. For example, copolymerized polyesters obtained by copolymerizing isophthalic acid, adipic acid, 1,4-butanediol, and the like can be exemplified. Among them, polyester obtained by copolymerizing isophthalic acid is preferable, and PET obtained by copolymerizing isophthalic acid is particularly preferable. When isophthalic acid copolymerized PET is used, it is preferable to copolymerize 20 to 40 mol % with respect to the sheath component from the viewpoint of spinning operability and cost. Suitable combinations of the core component and the sheath component include a combination of homo-PET and isophthalic acid copolymerized PET, a combination of high viscosity copolymerized PET and isophthalic acid copolymerized PET, and the like. Among them, the combination of the high viscosity copolymerized PET and the isophthalic acid copolymerized PET is more preferable in that the strength of the fiber or yarn can be sufficiently maintained. As the material of the sheath3B, other types of polyester may be used, or resins other than polyester may be used. In an embodiment of the present invention, the melting point of the sheath component, a low melting point polyester, is 190° C. By subjecting the thermally fusible fibers3to heat processing, the low melting point polyester constituting the sheath3B is fused or melted to form monofilament (single yarn) from multifilament as shown inFIG.1(B), for example. The fineness of the thermally fusible fiber3having such a composition can be appropriately selected according to the desired properties such as strength, hardness, and texture of a cloth or a cloth product, and may be, for example, in the range of 20 to 300 dT (decitex). In addition, it is preferable that the thermal shrinkage ratio of the thermally fusible fibers3is 10% or less so that the cloth or the cloth product is not excessively shrunk and distorted when heat-set is carried out by heating process such as heat pressing. As a specific example of the above-mentioned thermally fusible fiber3, there is a low melting point core-sheath structure filament available under the trade name (registered trademark) of “Bellcouple” by KB Seiren Co., Ltd. Next, other fiber (other yarn)5to be blended into the cloth1will be described. The other fiber5is made of a resin such as polyester, for example. In the present embodiment, the polyester used as the other fiber5has a melting point higher than the melting point of the low melting point polyester constituting the sheath component of the sheath3B of the thermally fusible fiber3. The polyesters of the other fibers5may be the same as the core components of the core3A of the thermal fusion fibers3above-mentioned above. Furthermore, the fineness of the polyester constituting the other fiber5may be in the range of, for example, 20 to 300 dT (decitex), and the melting point of the polyester constituting the other fiber5is, for example, 210° C. or more, preferably 220° C. or more, and more preferably 225° C. or more. However, the other fibers in the present invention are not limited to the polyester having the above composition, fineness and melting point as long as they have a melting point higher than the melting point of the above-mentioned low melting point polyester. The other fibers may be one type of fibers or a plurality of types of fibers. In the cloth1according to the embodiment of the present invention, it is preferable that in the knitted fabric, for example, as shown inFIG.2, the thermally fusible fibers (thermally fusible yarns)3are knitted uniformly when viewed in terms of cloth piece per unit area. That is, one in several lines per unit area is a thermally fusible fiber (thermally fusible yarn). In addition, it is preferable that the thermally fusible yarn3is woven as the warp yarn and/or the weft yarn uniformly in the woven fabric. That is, one in several is a thermally fusible yarn per unit area. The ratio of the thermally fusible fibers3to the other fibers5in the cloth1may be appropriately set in accordance with properties such as thickness, strength, hardness, etc. required for the cloth product to which the cloth1is applied. For example, in an embodiment of the present invention, considering that the cloth1is applied to the clothing10and the bag20, the ratio of the number of the thermally fusible fibers3to the number of the other fibers5is substantially 1:1. When the cloth1is a knitted fabric, the one-loop configuration is knitted with thermally fusible fibers3and other fibers5. When the ratio of the thermally fusible fibers3to the other fibers5is expressed in terms of weight, about 40 to 80% by weight of the cloth1is the thermally fusible fibers3and the remaining is other fibers5(total 100% by weight). However, the present invention is not limited to this ratio. Incidentally, when the low melting point polyester, which is a sheath component included in the thermal fusion yarn3, is knitted or folded into the cloth1, the low melting point polyester starts thermal fusion or melting at a temperature lower than the set melting point of the thermal fusion yarn3. The inventors have found that in the case of the thermal fusion yarn3having a melting point of, for example, 190° C., the sheath3B starts to fuse or melt at 120° C. to 130° C., and the sheath3B starts to fuse or melt to a greater degree when the heat processing temperature exceeds 150° C. Here, the temperatures of 130° C. and 150° C. correspond to the temperatures in the dyeing step and the subsequent drying step of the cloth1, respectively. The cloth1containing the thermally fusible yarn3in a proportion of about 50 to 70% by weight as in the embodiment of the present invention is not so hard, but the cloth1containing the thermally fusible yarn3in a proportion of 100% by weight hardens remarkably after the dyeing step and the drying step. Regardless of the ratio of the thermally fusible yarns3, when the heating temperature reaches 190° C., the sheath3B is almost completely fused or melted, and the cloth1becomes the hardest state that is supposed to be. Therefore, in an embodiment of the present invention, the above-described properties of the thermally fusible yarn3are utilized to cure or harden certain areas of the cloth1more than other areas. In other words, the cloth1containing the thermally fusible fibers3at a predetermined ratio is prepared, and heat processing is carried out on a predetermined region (second region) of the cloth1, thereby increasing the degree of fusion of the sheath portion3B more than other regions (first region). The cloth1thus produced consequently has a region (first region) in which the degree of fusion of the sheath3B is relatively low and a region (second region) in which the degree of fusion of the sheath3B is relatively high. Here, the method of the heating can be changed depending on the degree of curing or hardening required, the thickness of the cloth1, the type of the intended cloth product, and the like. For example, when the clothing10is produced as in Embodiment 1 described later, the cloth1may be heat-pressed while being partially covered with a thick felt. According to this method, the exposed portion of the cloth1can be cured by heat, and at the same time, curing of the remaining portion can be inhibited. Since this method can easily prepare tools, the initial cost is low, and it can cope with a variety of products and a small-volume production. Further, in the case of producing the bag20as in Embodiment 2 described later, it is also possible to cure only a desired region by folding the cloth1and pressing the cloth1while the desired region is positioned on the front surface. This method also requires no additional equipment for pressing and can be easily prepared, so that the initial cost is low, and it can cope with a variety of products and low-volume production. Note that each of the above-described heating processes can be performed alone or in combination. When the jersey cloth (knitted fabric) including the thermally fusible yarn3is heated as described above, the heated portion has a texture like a woven fabric. As a result, in the single cloth1, there are a region (not heat-processed region) having the followability or flexibility as in the jersey fabric and a region (heat-processed region) having the stable shape, and the availability of the cloth1is expanded. Incidentally, the cloth may be subjected to a dyeing step prior to the heat processing, and the dyeing step is carried out, for example, as follows. As a pretreatment, it is preferable to carry out washing using water or a surfactant to remove oil content and dirt from the cloth. In the dyeing step, a method of passing the cloth through a dyeing bath may be employed, and various dyeing machines such as a Wins dyeing machine and a liquid flow dyeing machine may be used as the dyeing machine. A variety of dyes can be used for dyeing, and the type thereof is not particularly limited, but a disperse dye is preferable from the viewpoint of dyeability to polyester fibers. As the disperse dye, for example, an azo or anthraquinone dye can be used. When a disperse dye is used, a leveling agent, a dispersant, and a pH adjusting agent may be added to the dyeing bath individually or in combination. As the leveling agent, for example, a nonionic leveling agent (for example, an alkylphenol oxidized ethylene additive type) or a special anionic leveling agent (for example, an ether type nonionic sulfuric acid ester type) may be used. As the dispersant, for example, an anionic dispersant (for example, a formalin condensation product of sodium aromatic sulfonate) may be used. The dyeing bath is then heated to about 130° C. under a high pressure and the cloth is immersed in the dyeing bath at about 130° C. for about 30 minutes. Thereafter, as a post-treatment, reduction washing is carried out to remove unfixed dye and contamination adhering to the cloth, and then the cloth is dried. Drying may be accomplished, for example, by placing the cloth in an atmosphere at about 160° C. Here, it is preferable that each step of the method of producing a cloth or a cloth product of the present invention satisfies the following relational expressions (1) and (2) relating to temperature conditions. T1<T3<T5 (1) T2<T3<T5 (2) wherein, T1 is the dyeing temperature of the cloth, T2 is the drying temperature of cloth, T3 is the melting point of the thermally fusible fiber3constituting the cloth, T5 is the melting point of the other fibers5constituting the cloth, and the units of T1, T2, T3 and T5 are ° C. By producing a cloth or a cloth product in a step satisfying the above-mentioned temperature condition, a core material is not separately required to form a frame as a cloth product, and therefore, the width of the product design can be widened. Hereinafter, a clothing and a bag will be given as examples of cloth products using the cloth1as a material. However, the cloth according to the present invention is not limited to the clothing and the bag but can be applied to all of cloth products in general. 2. Embodiment 1 A clothing10according to Embodiment 1 of the present invention will be described. Here, as an example of the clothing10, a sleeveless shirt as shown inFIG.3is taken up. However, the present invention is also applicable to other types of clothing, such as jackets, one-piece dress, pants, trousers, etc. The clothing10according to the first embodiment includes a front body11and a back body13as shown inFIG.3. The clothing10has a collar15and may further include parts such as buttons and pockets. In the clothing10, at least the front body11and the back body13are made of cloth1. Such a clothing10can be produced by the following step. In embodiment 1, knitted fabrics containing 40% to 60% by weight of thermally fusible yarn3is used as cloths1for the front body11and the back body13shown inFIGS.4(A) and (B). The other yarn5other than the thermally fusible yarn3is, for example, a polyester fiber such as polyethylene terephthalate. The other yarn5may comprises, for example, 84 decitex 48 filaments, 56 decitex 36 filaments, 56 decitex 36 filaments, 84 decitex 36 filaments, 100 decitex 48 filaments or 56 decitex 48 filaments and may have a melting point of, for example, 225° C. The other yarn5may be a crimped yarn. As a knitting method of the cloth1, for example, jersey knitting is used, and in particular, a single Denbigh stitch (warp knitted fabric) is adopted as shown inFIG.2. In the single Denbigh stitch, the thermally fusible yarn3is employed to form a portion called Denbigh, and this Denbigh is one per unit area. Thus, this type of cloth is thinner and lighter compared to the double Denbigh knitted cloth described in embodiment 2. After the above-mentioned cloth1is prepared, the cloth1is cut and the front body11and the back body13as inFIGS.4(A) and (B) are prepared. InFIGS.4(A) and (B), the dotted-dashed line indicates a folding line and the broken line indicates a seam, respectively. Then, as shown inFIGS.5(A) and (B), to expose the portion15A and15B corresponding to the collar15inFIG.3, the front body11and the back body13are masked with a thick felt F. The masking is carried out to prevent the body from being cured and hardened by heating process. At this time, a predetermined portion other than the collar portion15, for example, a body side portion (a boundary portion between the front body and the back body), a yoke, a pocket, a skirt, a front stand, a front end, a tab, a belt, a belt loop, or the like can be hardened. In this case, masking may be carried out so that the predetermined portion is also exposed. Then, the front body11and the back body13covered with the felt F are heat-pressed to cure the portions15A and15B corresponding to the collar15. Thereafter, parts such as facings, buttons, pockets, and the like are sewn if applicable, and the front body11and the back body13are further sewn to complete the clothing10as shown inFIG.3. 3. Embodiment 2 Next, the bag20according to the second embodiment of the present invention will be described. Here, a tote bag as shown inFIG.6is taken as an example of the bag20, but the present invention is also applicable to other types of bags such as, for example, a rucksack. The bag20according to the second embodiment includes a main body21and a handle23as shown inFIG.6. The bag20may also have additional parts, such as inner pockets. When used for storing an object, the bag20can hold the form of a container as shown inFIG.6. On the contrary, when any object is not stored, the bag20can be folded as shown inFIG.7to be compactly carried. At least the main body21of the bag20is made of cloth1. Such a bag20can be produced by the following step. In the second embodiment, as the cloth1for the body of the bag20shown inFIG.6, a knitted fabric in which 60% by weight to 80% by weight of the thermally fusible yarn3is admixed is used. The other yarn5other than the thermally fusible yarn3is made of, for example, a polyester fiber such as polyethylene terephthalate. The other yarn5may comprises, for example, 84 decitex 48 filaments, 56 decitex 36 filaments, 56 decitex 36 filaments, 84 decitex 36 filaments, 100 decitex 48 filaments or 56 decitex 48 filaments and may have a melting point of, for example, 225° C. The other yarn5may be a crimped yarn. Further, as a knitting method of the cloth1, for example, jersey knitting is used, and in particular, a double Denbigh stitch is adopted. In the double Denbigh stitch, the thermally fusible yarn3is employed for the Denbigh, and this Denbigh is twice as large as the single Denbigh stitch. Thus, this type of cloth finishes thicker (heavier) compared to the single Denbigh stitch cloth described in embodiment 1. The cloth1as described above is prepared for the main body21of the bag20, and the cloth1is cut into a substantially rectangular shape as shown inFIG.8. Here, inFIG.8, a dotted-dashed line indicates a folding line, and a broken line indicates a seam. At the same time, parts such as a handle23, a belt25, a bottoming cloth (not shown), and a pocket (not shown) are prepared. Next, as shown inFIG.9, the portions27A and27B corresponding to the mouth portion27of the main body21are exposed, and the other portions are covered with the felt F. This is masking for preventing the portion of the main body21other than the mouth portion27from being cured and hardened by heating. Then, the portion of the cloth1covered with the felt F is heat-pressed, and the portions27A and27B corresponding to the mouth portion27are cured. In addition, the bottoming cloth (not shown) and the handle23may be cured by heating. At this time, the press may be set to have a temperature of, for example, 185° C. for 60 seconds on one side. The pressure of the press may be appropriately set in accordance with a desired degree of curing. Next, the main body21is pressed with an iron or the like along the dashed-dotted line inFIG.8to make folds. Then, other parts such as a bottoming cloth and pockets are sewn to the main body21, and both sides and the bottom of the main body21are sewn. Then, as shown inFIG.10, the outer surface21A is formed on the main body21. Thereafter, the outer surface21A of the main body21is heat-pressed on both sides to cure the outer surface21A. At this time, since the portion which is not cured is positioned inside in the previous folding step, it is simply heat-pressed without using the felt F. The press may be set to have a temperature of, for example, 185° C. for 60 seconds. At the time of heat-pressing, in order to eliminate unevenness of the press due to a difference in thickness depending on a portion of the main body21, it is preferable to carry out the pressing in a state in which thick paper is inserted between the press machine and the main body21. After the heating by the press, parts such as the handle23and the belt25are attached to the main body21, thereby completing the bag20. Although representative embodiments of the present invention have been described above, the present invention is not limited to these, and various design modifications are possible, and all such design modifications are included in the technical scope of the present invention. For example, as another method for heating process, it is also possible to prepare a molding die having a shape corresponding to a portion to be heated (a mold having a shape of a heating surface) and press the mold on to a portion to be heated. However, this method is suitable for mass production because it takes a considerable cost to produce a molding die. This method can be used alone or in combination with the pressing process with the masked cloth1and/or the pressing process with the folded cloth1. Further, in the embodiment of the present invention, low melting point polyester is employed as the sheath component of the thermally fusible fiber3, but it is also possible to use a thermally fusible fiber employing low melting point polyester as the core component. That is, it is possible to produce a cloth by using a thermally fusible fiber in which the melting point of the sheath portion is higher than the melting point of the core portion by a predetermined temperature (e.g., 20° C.) or more, and to produce a cloth product (clothing, bag, etc.) including the cloth. In the embodiment of the present invention, polyester fibers are used as the other fibers (other yarns)5, but chemical fibers (for example, nylon fibers) other than polyester fibers or natural fibers can be used. The other fibers (other yarns)5may be thermally fusible fibers having a higher melting point than the thermally fusible fibers3or thermally fusible fibers having a lower degree of melting. EXPLANATION OF NUMERALS 1. . . cloth,3. . . Thermally fusible fiber (thermally fusible yarn),3A . . . Core,3B . . . sheath,5. . . Other fiber (other yarn)10. . . Clothing,15. . . collar,20. . . bag,21. . . Main body,27. . . mouth,F . . . Felt. | 23,867 |
11859324 | DETAILED DESCRIPTION Please refer toFIG.1toFIG.6, a driving clutch device for a sewing machine provided by an embodiment of the present invention is suitable for being installed to a machine frame11which is provided with a fixing plate assembly12. The driving clutch device of the sewing machine includes a drive shaft20, a passive member30, a clutch seat40, an actuating seat50, a power unit60, a clutch member70, a limit rod80, a clutch linkage member91, and a clutch operation member92. Two opposite ends of the drive shaft20are rotatably disposed to the machine frame11by bearings21. The passive member30includes a passive fixing portion31fixed on the drive shaft20, and a passive connecting portion32opposite to the passive fixing portion31. The passive connecting portion32can be connected to a hook arm which is provided with an annular hook (not shown in the figure), but not limited to this implementation; and in this or other embodiments, the passive connecting portion32can be connected to any other part of the sewing machine that need to be controlled. The clutch seat40is fixed on the drive shaft20and includes a through hole41and a limit groove42which are arranged to parallel an axis of the drive shaft20. In another embodiment, the clutch seat40and the passive member30are integrally formed as an integrated structure, thereby reducing the amount of parts and the assembly costs more. The actuating seat50is rotatably disposed to (e.g. rotatably sleeves on) the drive shaft20and includes a clutch hole51arranged to parallel the axis of the drive shaft20, and the clutch hole51can correspond to (e.g. aim at) the through hole41of the clutch seat40; and in this embodiment, the actuating seat50is further provided with a universal rod52. The power unit60includes a power rod61and a driving member62connected to the power rod61. The driving member62is pivotally connected to the actuating seat50, and the power rod61drives the driving member62to move, so as to further drive the actuating seat50to reciprocatingly pivot around (rotate/spin about) the axis of the drive shaft20. In this embodiment, two opposite ends of the power rod61are rotatably disposed to the machine frame11by bearings63, the power rod61is a spinnable shaft and is also provided with an eccentric wheel64, the driving member62includes a first sleeve portion621sleeves on the eccentric wheel64, and a second sleeve portion622provided with a universal joint65capable of multi-angle pivoting. The universal joint65is connected to the universal rod52of the actuating seat50, so that the power rod61is able to drive the driving member62to further drive the actuating seat50to reciprocatingly pivot around (rotate/spin about) the axis of the drive shaft20. As shown inFIG.3, the power rod61drives the driving member62to move to a bottom dead point, so that the actuating seat50and the universal rod52provided thereon are pivoted downward. As shown inFIG.7, the power rod61drives the driving member62to a top dead point, so that the actuating seat50and the universal rod52provided thereon are pivoted upward. The clutch member70is returnably disposed to the clutch seat40and is movable between an engaged position (shown inFIGS.3and6) and a disengaged position (shown inFIGS.8and11). The clutch member70includes a clutch lever71and a controlled portion72. In this embodiment, the clutch lever71is a rod, but is not limited to this implementation, and it can also be integrally formed. The clutch lever71is inserted in the through hole41of the clutch seat40. When the clutch member70is in the engaged position (as shown inFIGS.3and6), the clutch lever71is inserted into both the through hole41of the clutch seat40and the clutch hole51of the actuating seat50, so that the actuating seat50, the passive member30and the clutch seat40are directly driven and moved synchronously through the drive shaft20. When the clutch member70is in the disengaged position (as shown inFIGS.8and11), the clutch lever71is inserted into the through hole41of the clutch seat40but disengaged from the clutch hole51of the actuating seat50, so that the actuating seat50does not move synchronously with the passive member30and the clutch seat40, and the drive shaft20is not driven. The controlled portion72extends along a direction perpendicular to the axis of the drive shaft20, and is located between the passive member30and the clutch seat40. In addition, the clutch member70in this embodiment is returnably disposed to the clutch seat40through a return spring95. The return spring95is a tension spring, and includes a first spring end951disposed to the clutch seat40, and a second spring end952disposed to the clutch member70, so that the clutch member70can be automatically returned to the engaged position when being located in the disengaged position, and the clutch member70can be kept in the engaged position. The limit rod80is fixed to the clutch member70and parallel to the axis of the drive shaft20, and includes a limit rod portion81that can be inserted into the limit groove42and be moved with the displacement of the clutch member70. No matter when the clutch member70is in the engaged position or the disengaged position, the limit rod80is kept inserted in the limit groove42of the clutch seat40. Since the clutch lever71is inserted in the through hole41of the clutch seat40while the limit rod80is kept staying in the limit groove42of the clutch seat40, the clutch member70can be prevented from rotating relative to the clutch seat40. The clutch linkage member91includes a first pivotable mounting portion911pivotally connected to the fixing plate assembly12of the machine frame11, an elongated guiding slot912, and a pushing portion913for moving the clutch member70from the engaged position to the disengaged position by pushing the controlled portion72of the clutch member70. The clutch operation member92includes a second pivotable mounting portion921pivotally connected to the fixing plate assembly12of the machine frame11, an operatable portion922for being moved by pulling or pushing, and an actuating protrusion923for being inserted in the elongated guiding slot912of the clutch linkage member91. The clutch operation member92and the clutch linkage member91are arranged to be perpendicular to each other, and the clutch operation member92can pivot from a first position (shown inFIG.4) and a second position (shown inFIG.9) on the second pivotable mounting portion921as a pivot. When the operatable portion922is moved to the first position, the pushing portion913of the clutch linkage member91corresponds to the controlled portion72of the clutch member70. When the operatable portion922is moved to the second position, the actuating protrusion923pushes against the wall surface of the elongated guiding slot912to drive the clutch linkage member91to pivot by an angle on the first pivotable mounting portion911as a pivot, so that the pushing portion913of the clutch linkage member91pushes against the controlled portion72of the clutch member70to move the clutch member70from the engaged position to the disengaged position. The relative positions of the clutch operation member92and the clutch linkage member91can be changed depending on the cooperation state of the elongated guiding slot912and the actuating protrusion923, and all these variations belong to the equivalent scope of the present invention. The above description is directed against the configuration(s) of the main components of the embodiments of the present invention. As for the operation modes and technical effects of the present invention, please refer to the following description. State 1: as shown inFIGS.3,5and6, the driving clutch device of the sewing machine of the present invention being in an engaged state, where the clutch member70is pulled by the return spring95to link up the clutch seat40with the actuating seat50, so that the clutch seat40and the actuating seat50together from one body and are in a coaction state; that is, the clutch lever71of the clutch member70is inserted into both the through hole41of the clutch seat40and the clutch hole51of the actuating seat50, whereby the actuating seat50and the clutch seat40can be driven simultaneously through the driving member62to move together when the power rod61of the power unit60works. Moreover, since the clutch seat40and the passive member30are both fixed to the drive shaft20, the passive member30moves with the swing of the clutch seat40to drive the components to be driven, such as the hook arm and the annular hook of the sewing machine. State two: as shown inFIG.8,FIG.10andFIG.11, the driving clutch device of the sewing machine of the present invention being in a disengaged state, where, when the operatable portion922of the clutch operation member92is moved from the first position (as shown inFIG.4) to the second position (as shown inFIG.9), the actuating protrusion923of the clutch operation member92pushes the wall surface of the elongated guiding slot912of the clutch linkage member91to drive the clutch operation member92to pivot by an angle on the second pivotable mounting portion921as a pivot, so that the pushing portion913of the clutch linkage member91pushes against the controlled portion72of the clutch member70to move the clutch member70from the engaged position (as shown inFIGS.3and6) to the disengaged position (as shown inFIGS.8and11), that is, the clutch member70is displaced a distance towards the passive member30. Therefore, the clutch lever71of the clutch member70is inserted into the through hole41of the clutch seat40but is disengaged from the clutch hole51of the actuating seat50, so that the actuating seat50and the clutch seat40do not work synchronously, that is, the clutch seat40and the drive shaft20remain stationary. Furthermore, because the clutch seat40and the passive member30are both fixed on the drive shaft20, the actuating seat50does not move synchronously with the passive member30either, the passive member30cannot move the components to be driven. It is worth mentioning that when the operatable portion922of the clutch operation member92is moved from the first position (as shown inFIG.4) to the second position (as shown inFIG.9) to move the clutch lever71of the clutch member70away from the clutch hole51of the actuating seat50, the clutch member70, which is displaced a distance in the direction toward the passive member30, stretches the return spring95whereby the return spring95accumulates an elastic restoring force; when a force applied on the operatable portion922of the clutch operation member92is removed, the clutch member70can be automatically restored from the disengaged position to the engaged position by the elastic restoring force, and therefore, the clutch operation member92can be automatically restored from the second position to the first position to wait for the next moving operation onto the clutch operation member92. Accordingly, by fixing the passive member30and the clutch seat40to the drive shaft20, pivotally disposing the actuating seat50to the drive shaft20, and returnably disposing the clutch member70to the clutch seat40, the clutch seat40and the actuating seat50can move synchronously when the clutch member70is in the engaged position, but cannot move synchronously when the clutch member70is in the disengaged position, so as to achieve the driving control and the clutch control. The present invention achieves the driving control and the clutch control only by the cooperation of the clutch member70with the passive member30, the clutch seat40and the actuating seat50. Therefore, the design of the driving clutch device is simpler and the driving is more direct and instant, thereby reducing assembly costs and the error of action coordination. In addition, referring toFIG.12, another embodiment of the present invention is shown, and is different from the above-mentioned embodiments in: The clutch operation member92includes an elongated guiding slot924, and the clutch linkage member91includes an actuating protrusion914for being inserted in the elongated guiding slot924; that is, the arrangement of the elongated guiding slot and the actuating protrusion in this embodiment is reverse to that in the aforementioned embodiments, and can also achieve the control of the displacement of the clutch member70from the engaged position to the disengaged position, so as to achieve another implementation mode. In summary, the above-mentioned embodiments and drawings are only preferred embodiments of the present invention, and cannot be used to limit the scope of implementation of the present invention. All the equivalent changes and modifications made in accordance with the scope of the claims of the present invention are all within the scope of the invention. | 12,796 |
11859325 | DETAILED DESCRIPTION Embodiments consistent with the invention may be used to adapt the physical configuration of a wash tub of a laundry washing machine through manipulation of a variable length agitator that is further biased to a particular configuration, e.g., through a spring or other bias mechanism, to facilitate user manipulation of the agitator. Turning now to the drawings, wherein like numbers denote like parts throughout the several views,FIG.1illustrates an example laundry washing machine10in which the various technologies and techniques described herein may be implemented. Laundry washing machine10is a top-load washing machine, and as such includes a top-mounted door12in a cabinet or housing14that provides access to a vertically-oriented wash tub16housed within the cabinet or housing14. Door12is generally hinged along a side or rear edge and is pivotable between the closed position illustrated inFIG.1and an opened position (not shown). When door12is in the opened position, clothes and other washable items may be inserted into and removed from wash tub16through an opening in the top of cabinet or housing14. Control over washing machine10by a user is generally managed through a control panel18disposed on a backsplash and implementing a user interface for the washing machine, and it will be appreciated that in different washing machine designs, control panel18may include various types of input and/or output devices, including various knobs, buttons, lights, switches, textual and/or graphical displays, touch screens, etc. through which a user may configure one or more settings and start and stop a wash cycle. The embodiments discussed hereinafter will focus on the implementation of the hereinafter-described techniques within a top-load residential laundry washing machine such as laundry washing machine10, such as the type that may be used in single-family or multi-family dwellings, or in other similar applications. However, it will be appreciated that the herein-described techniques may also be used in connection with other types of laundry washing machines in some embodiments. For example, the herein-described techniques may be used in commercial applications in some embodiments. Moreover, the herein-described techniques may be used in connection with other laundry washing machine configurations.FIG.2, for example, illustrates a front-load laundry washing machine20that includes a front-mounted door22in a cabinet or housing24that provides access to a horizontally-oriented wash tub26housed within the cabinet or housing24, and that has a control panel28positioned towards the front of the machine rather than the rear of the machine as is typically the case with a top-load laundry washing machine. Implementation of the herein-described techniques within a front-load laundry washing machine would be well within the abilities of one of ordinary skill in the art having the benefit of the instant disclosure, so the invention is not limited to the top-load implementation discussed further herein. FIG.3functionally illustrates a number of components in laundry washing machine10. Wash tub16is vertically oriented, generally cylindrical in shape, opened to the top and capable of retaining water and/or wash liquor dispensed into the washing machine. Wash tub16may be supported by a suspension system such as a set of support rods30with corresponding vibration dampening springs32. Disposed within wash tub16is a wash basket34that is rotatable about a generally vertical axis A by a drive system36. Wash basket34is generally perforated or otherwise provides fluid communication between an interior38of the wash basket34and a space40between wash basket34and wash tub16. Drive system36may include, for example, an electric motor and a transmission and/or clutch for selectively rotating the wash basket34. In some embodiments, drive system36may be a direct drive system, whereas in other embodiments, a belt or chain drive system may be used. In addition, an agitator42, also referred to as an impeller, auger or other agitation element (collectively referred to hereinafter as an agitator) may be disposed in the interior38of wash basket34to agitate items within wash basket34during a washing operation. Agitator42may be driven by drive system36, e.g., for rotation about the same axis as wash basket34, and a transmission and/or clutch within drive system36may be used to selectively rotate agitator42. In other embodiments, separate drive systems may be used to rotate wash basket34and agitator42. As will become more apparent below, agitator42may be a biased variable length agitator capable of being configured with multiple lengths along an axis of rotation thereof. A water inlet44may be provided to dispense water into wash tub16. In some embodiments, for example, hot and cold valves46,48may be coupled to external hot and cold water supplies through hot and cold inlets50,52, and may output to one or more nozzles54to dispense water of varying temperatures into wash tub16. In addition, a pump system56, e.g., including a pump and an electric motor, may be coupled between a low point, bottom or sump in wash tub16and an outlet58to discharge greywater from wash tub16. In some embodiments, it may be desirable to utilize multiple nozzles54, and in some instances, oscillating nozzles54, such that water dispensed into the wash tub is evenly distributed over the top surface of the load. As will become more apparent below, in some instances, doing so may maximize the amount of water absorbed by the load prior to water reaching the bottom of the wash tub and being sensed by a fluid level sensor. In some embodiments, laundry washing machine10may also include a dispensing system60configured to dispense detergent, fabric softener and/or other wash-related products into wash tub16. Dispensing system60may be configured in some embodiments to dispense controlled amounts of wash-related products, e.g., as may be stored in a reservoir (not shown) in laundry washing machine10. In other embodiments, dispensing system60may be used to time the dispensing of wash-related products that have been manually placed in one or more reservoirs in the machine immediately prior to initiating a wash cycle. Dispensing system60may also, in some embodiments, receive and mix water with wash-related products to form one or more wash liquors that are dispensed into wash tub16. In still other embodiments, no dispensing system may be provided, and a user may simply add wash-related products directly to the wash tub prior to initiating a wash cycle. It will be appreciated that the particular components and configuration illustrated inFIG.3is typical of a number of common laundry washing machine designs. Nonetheless, a wide variety of other components and configurations are used in other laundry washing machine designs, and it will be appreciated that the herein-described functionality generally may be implemented in connection with these other designs, so the invention is not limited to the particular components and configuration illustrated inFIG.3. Now turning toFIGS.4-7, an example implementation of a variable length agitator100is illustrated, including a first, base member102and a second, tower member104. Base member102may include one or more vanes or fins106, and tower member104may include one or more vanes or fins108, each of which configured to agitate a load and/or a wash fluid, and each of which having various configurations suitable for doing so, as will be appreciated by those of ordinary skill having the benefit of the instant disclosure. Agitator100may be configured into at least first and second configurations that respectively provide first and second lengths for the agitator100along an axis of rotation A.FIGS.4and5, for example, illustrate a first, extended configuration that provides a first length L1along axis of rotation A, whileFIGS.6and7illustrate a second, retracted configuration that provides a second length L2along axis rotation A. Moreover, as illustrated inFIGS.5and7, tower member104is movable along axis of rotation A within a channel110defined within base member102, and agitator100is rotated about axis of rotation A by a drive system (not shown inFIGS.4-7) that couples to agitator100through a coupling112. Furthermore, as is also illustrated inFIGS.5and7, agitator100includes a bias mechanism114, e.g., a coiled compression spring, that biases the agitator to the first, extended configuration (illustrated inFIGS.4-5). While a coiled compression spring is illustrated inFIGS.5and7, it will be appreciated that various other types of bias mechanisms may be used in other designs, e.g., including but not limited to extension springs, torsion springs, leaf springs, gas or fluid springs, etc. Moreover, in other designs, a bias mechanism may be used to bias the agitator to a second (or other) configuration, and in some instances, a bias mechanism may be used to bias an agitator to multiple different positions (e.g., so that when a user moves the agitator between two configurations, the agitator is biased to one configuration until a certain point, and then the bias is applied to the other configuration). Furthermore, in some implementations, it may also be desirable to utilize a damping mechanism (not shown inFIGS.4-7) to moderate a maximum speed at which the agitator may transition between different configurations as a result of the bias supplied by the bias mechanism. In addition, a locking mechanism116may be used to lock the agitator100in one or both of the first, extended and second, retracted configurations. Locking mechanism116, in particular, is used to selectively lock the agitator in one or more of its configurations, such that, when locked, relative movement between the base and tower members102,104along axis of rotation A is inhibited, while when unlocked, relative movement between the base and tower members102,104is permitted, thereby enabling a user to manually reconfigure the agitator into a different configuration. As will also become more apparent below, the locking mechanism may be capable of being automatically locked and/or unlocked (e.g., in response to movement to a predetermined position), or may be capable of being manually locked and/or unlocked (thus requiring user manipulation of the locking mechanism to lock and/or unlock the locking mechanism). For example, in one example implementation, locking mechanism116is configured to lock the agitator in the second, retracted configuration by threading together a pair of threaded members118,120respectively coupled to base member102and tower member104, e.g., through manual rotational movement by the user about axis of rotation A. Thus, to lock the agitator in the second configuration, the user pushes down on tower member104until threaded members118,120come into contact with one another, and then rotates threaded member120in a clockwise direction to engage the threaded members118,120with one another and thereby secure the agitator in the second configuration. Then, through rotation of threaded member120in a counter-clockwise direction, threaded members118,120will disengage from one another, and the bias of bias mechanism114will automatically return the agitator to the first configuration once released by the user. It will also be appreciated that whileFIGS.4-7illustrate an agitator100configurable in two configurations, an agitator may also support one or more intermediate configurations, such as the configuration illustrated in phantom at122inFIG.4and providing a length of L3, such that three or more different configurations, and thus three or more lengths along the axis of rotation, may be supported in some embodiments. Now turning toFIGS.8-12, it will be appreciated that a wide variety of different locking mechanisms may be used in different embodiments, providing automatic and/or manual locking and/or unlocking, and using various types of user manipulations, e.g., twisting, rotating, pushing, pulling, etc. FIG.8, for example, illustrates a locking mechanism130capable of locking first and second members132,134(e.g., where first member132is a base member and second member134is a tower member) in an agitator in a predetermined configuration. In this embodiment, members132,134are movable relative to one another (e.g., in a substantially vertical direction) when locking mechanism130is in an unlocked state, but are restricted from relative movement when locking mechanism130is in a locked state. In this embodiment, member132includes an aperture136, and locking mechanism130includes a latch member138defined on member134that engages with a lip140defined on aperture136to restrict relative movement between members132,134. Latch member138is normally biased towards the position illustrated inFIG.8, e.g., as a result of being integrally molded with member134and formed of an elastic material such as a molded polymer, but is deflectable to the position represented at138′, e.g., as a result of pressing on an actuation surface142, such that latch member138disengages from lip140and permits relative movement between members132,134. It will also be appreciated that, at least during a portion of the range of relative movement between members132,134, latch member138may ride along a facing surface of member132, and may be deflected inwardly as illustrated at138′. Furthermore, in some embodiments, locking mechanism130may automatically engage when members132,134are moved into the relative positions illustrated inFIG.8, with outer surface142of latch member138aligning with aperture136. While latch member138is illustrated as an integrally-formed component of member134, it will be appreciated that in other embodiments, latch member138may be a separate component and may be secured to member134through various mechanisms, and may be formed of other materials having sufficient elasticity, e.g., various metals or composite materials. Various geometries may also be used in other embodiments, and may include, for example, ramped surfaces suitable for deflecting latch member138when member134moves from a relative position where latch member138does not face member132to a relative position where latch member138does face member132. FIG.9illustrates another locking mechanism150capable of locking first and second members152,154(e.g., where first member152is a base member and second member154is a tower member) in an agitator in a predetermined configuration. In this embodiment, members152,154are movable relative to one another (e.g., in a substantially vertical direction) when locking mechanism150is in an unlocked state, but are restricted from relative movement when locking mechanism150is in a locked state. In this embodiment, member154includes a latch member156that is similar to latch member138ofFIG.8, and that is normally biased to engage a lip158on member152to restrict relative movement between members152,154. Latch member156is also deflectable to the position represented at156′ to disengage from lip158and permit relative movement between members152,154. However, rather than requiring a user to press directly on latch member156, member152includes an actuator member160having an actuation surface162that may be pressed by a user to cause actuator member160to deflect to the position illustrated at160′, resulting in contact between actuator member160and latch member156to disengage latch member156from lip158and thereby release locking mechanism150. As with latch member138, each of latch member156and actuator member160may be formed in a number of different manners in different embodiments, e.g., as integrally-molded components of members152,154, as separate components secured to members152,154through various mechanisms, and/or formed of other materials having sufficient elasticity. FIG.10illustrates another locking mechanism170capable of locking first and second members172,174(e.g., where first member172is a base member and second member174is a tower member) in an agitator in a predetermined configuration. In this embodiment, members172,174are movable relative to one another (e.g., in a substantially vertical direction) when locking mechanism170is in an unlocked state, but are restricted from relative movement when locking mechanism170is in a locked state. In this embodiment, member174includes a latch member176that is similar to latch member156ofFIG.9, and that is normally biased to engage a lip178formed by an aperture180in member172to restrict relative movement between members172,174. Latch member176is also deflectable to the position represented at176′ to disengage from lip178and permit relative movement between members172,174. However, rather than utilizing an integrally-formed actuator member such as actuator member160ofFIG.9, member172includes a button assembly182including a depressible button184operating as an actuator member and including a post186that projects through aperture180and engages latch member176, and having a spring or other bias mechanism188that biases the button to a disengaged position. With such a configuration, a user may press an actuation surface190of button184to cause post186to translate to the position illustrated at186′, resulting in contact between post186and latch member176to disengage latch member176from lip178and thereby release locking mechanism170. FIG.11illustrates yet another locking mechanism200capable of locking first and second members202,204(e.g., where first member202is a base member and second member204is a tower member) in an agitator in a predetermined configuration. In this embodiment, members202,204are movable relative to one another (e.g., in a substantially vertical direction) when locking mechanism200is in an unlocked state, but are restricted from relative movement when locking mechanism200is in a locked state. In this embodiment, member202includes a latch member206that engages a lip208formed on member204. The latch member206may be mounted, for example, proximate a bottom of member202, and may be pivotable about an axis210and normally biased to engage lip208by a spring or other bias mechanism212to restrict relative movement between members202,204. Latch member206is also pivotable to the position represented at206′ to disengage from lip208and permit relative movement between members202,204. However, rather than utilizing an actuator member disposed on member202, member204includes a button assembly214including an actuator member216that extends through a top surface of member204to engage with latch member206when member204is in the relative position illustrated inFIG.11, and that is biased by a spring or other bias mechanism218that biases the actuator member216to a disengaged position. With such a configuration, a user may press an actuation surface220on actuator member216to cause the actuator member to translate to the position illustrated at216′ and contact latch member206, causing latch member206to pivot to the position illustrated at206′ and disengage latch member206from lip208, thereby releasing locking mechanism200. FIG.12next illustrates from above another locking mechanism230capable of locking first and second members232,234(e.g., where first member232is a base member and second member234is a tower member) in an agitator in a predetermined configuration. In this embodiment, members232,234are movable relative to one another along an axis of rotation B for the agitator when locking mechanism230is in an unlocked state, but are restricted from relative movement along axis of rotation B when locking mechanism230is in a locked state. In this embodiment, a rotatable actuator236is disposed on a top of member234and is rotatable about the axis of rotation B between unlocked and locked configurations. Corresponding tabs238,240on members232,234are used to selectively restrict relative movement between members232,234when the tabs are angularly aligned relative to axis of rotation B. In some embodiments, for example, where the agitator is biased to an extended configuration, tabs238and240may be configured to lock the agitator in a retracted configuration when the tabs are angularly aligned and tab240is disposed at a lower elevation than tab238, such that tab238restricts movement of tab240(and thus member234) towards the extended configuration. When the tabs are not angularly aligned (as is illustrated inFIG.12), relative movement between members232,234is otherwise permitted. Thus, through rotation of rotatable actuator236, locking mechanism230may be selectively locked (through clockwise rotation) or unlocked (through counter-clockwise rotation) to either restrict or permit relative movement between members232,234. It will be appreciated that rotation of rotatable actuator236may be restricted in some embodiments to a limited range of angles, e.g., such that clockwise rotation beyond one in which the tabs238,240are angularly aligned, is restricted. In addition, in some embodiments it may be desirable to bias the rotatable actuator236, e.g., to the locked configuration, such that tabs238,240will automatically engage with one another when members232,234are moved to a predetermined relative position, and thereby automatically engage locking mechanism230. In other embodiments, rotatable actuator236may be biased to the unlocked configuration. Further, in some embodiments it may be desirable to include a damping mechanism, e.g., an air cylinder242, to restrict the maximum rate of relative movement between members232,234. It may also be desirable to position tabs238,240to lock the members232,234in an extended configuration, or to include multiple tabs238and/or multiple tabs240to support locking at multiple configurations (e.g., at both extended and retracted configurations). It will also be appreciated that the use of the term “tab” also encompasses other structures that effectively restrict relative movement between members232,234along axis of rotation B when tabs238,240are angularly aligned. In one non-limiting embodiment, for example, and as illustrated inFIG.13, tab238may include various features to both restrict rotation of rotatable actuator236and to assist in guiding tab240into engagement with tab238. Specifically, tab238is generally “C” shaped, such that rotation of tab240beyond the position represented at240′ is restricted when tabs238and240are at similar elevations. In addition, tab238includes angled surfaces242that serve to guide tab240into engagement with tab238when tabs238,240are elevationally-offset from one another. Furthermore, tab238also may include one or more detents244that resist rotation of rotatable actuator236from the locked configuration to the unlocked configuration to assist in maintaining the rotatable actuator236in the locked configuration. It will be appreciated that an innumerable number of other structures and configurations, which utilize various mechanisms for pulling, pushing, twisting, rotating, etc. an actuator and/or an entire agitator member to lock or unlock multiple agitator members in a fixed relative position along an axis of rotation of an agitator may be used in other embodiments. Therefore, the invention is not limited to the specific types of locking mechanisms disclosed herein. FIGS.14-16next illustrate one particular embodiment of an agitator250suitable for use in some embodiments, and including a base member252and tower member254, each respectively having a plurality of blades or fins256,258, and with agitator250configurable in each of extended (FIG.14) and retracted (FIG.15) configurations. As illustrated inFIG.16, tower member254is slidably received in a channel260in base member252, and a flange262restricts full removal of tower member254from channel260, beyond the extended configuration illustrated in the figure. A spring264serves as a bias mechanism to bias the agitator towards the extended configuration. A locking mechanism for agitator250is defined by a retractable button266on tower member254that is selectively received in an aperture268in base member252when the agitator is in the retracted configuration (FIG.15). As illustrated inFIG.16, button266is biased by a spring270to extend from a surface of tower member, and may be domed or otherwise inclined such that when tower member254is pushed down towards the retracted configuration, button266will recess into tower member254when it engages the sidewall of channel260until it aligns with aperture268, at which point the spring270will extend the button to lock into aperture268and maintain the agitator in the retracted configuration. To release the locking mechanism and restore the agitator to the extended configuration, a user may depress button266(e.g., an actuation surface thereof), thereby disengaging the button from aperture268and allowing the tower member254to extend as a result of the bias applied by spring264. Thus, in this embodiment, a transition from the extended to the retracted configuration may be achieved merely by pressing downwardly on tower member254until button266aligns with aperture268. Conversely, a transition from the retracted configuration to the extended configuration may be achieved merely by depressing button266. It should be noted that, while in the embodiment ofFIGS.14-16no locking mechanism is used to lock the agitator in the extended configuration, such a locking mechanism could be incorporated into an agitator in other embodiments. It may also be desirable in some embodiments to include one or more drainage holes272(FIG.14) to inhibit air capture by the tower member that might otherwise cause the tower member to be buoyant when submerged in wash fluid. It will therefore be appreciated that the use of a bias mechanism may be beneficial in many embodiments, particularly in top-load washing machines where a user may be required to reach into the bottom of the wash tub in order to reconfigure the agitator. In the absence of a bias mechanism that biases the agitator to an extended configuration, for example, the user might otherwise be required to both release the locking mechanism while simultaneously pulling the tower member upwardly into the extended configuration, operations that may require two hands to complete, and that may additionally be further complicated due to the fact that the agitator is near the bottom of the wash tub. In many of the designs described above, however, a single operation by a single hand of a user may be sufficient to release a locking mechanism and enable a bias mechanism to automatically lift the tower member into the extended configuration. Similar advantages may also exist in some embodiments when locking an agitator into a retracted configuration, when locking and/or unlocking an agitator in an extended configuration, or when locking and/or unlocking an agitator in an intermediate configuration. A variable length agitator as described herein may be useful, for example, to retract the agitator to increase the available volume within a wash tub, or to accommodate loads where an agitator may not be useful or may not be desired, e.g., delicates, bulky items such as bed linens, etc., or in any other situations where a fully extended agitator is not desired. Various additional modifications may be made to the illustrated embodiments consistent with the invention. Therefore, the invention lies in the claims hereinafter appended. | 27,440 |
11859326 | DETAILED DESCRIPTION Hereinafter, an embodiment of the present disclosure will be described in detail with reference to the accompanying drawings such that a person having ordinary knowledge in the technical field to which the present disclosure belongs may easily implement the embodiment. However, the present disclosure is able to be implemented in various different forms and is not limited to the embodiment described herein. In addition, in order to clearly describe the present disclosure, components irrelevant to the description are omitted in the drawings. Further, similar reference numerals are assigned to similar components throughout the specification. Duplicate descriptions of the same components are omitted herein. In addition, it will be understood that when a component is referred to as being ‘connected to’ or ‘coupled to’ another component herein, it may be directly connected to or coupled to the other component, or one or more intervening components may be present. On the other hand, it will be understood that when a component is referred to as being ‘directly connected to’ or ‘directly coupled to’ another component herein, there are no other intervening components. The terminology used in the detailed description is for the purpose of describing the embodiments of the present disclosure only and is not intended to be limiting of the present disclosure. As used herein, the singular forms ‘a’ and ‘an’ are intended to include the plural forms as well, unless the context clearly indicates otherwise. It should be understood that the terms ‘comprises’, ‘comprising’, ‘includes’, and ‘including’ when used herein, specify the presence of the features, numbers, steps, operations, components, parts, or combinations thereof described herein, but do not preclude the presence or addition of one or more other features, numbers, steps, operations, components, or combinations thereof. In addition, in this specification, the term ‘and/or’ includes a combination of a plurality of listed items or any of the plurality of listed items. In the present specification, ‘A or B’ may include ‘A’, ‘B’, or ‘both A and B’. FIG.1shows a laundry treating apparatus1according to an embodiment of the present disclosure. In an embodiment of the present disclosure, the laundry treating apparatus1includes a first treating apparatus10and a second treating apparatus20. The first treating apparatus10and the second treating apparatus20may be in various types for treating laundry, such as a washing machine for washing the laundry or a dryer for drying the laundry. For example, the first treating apparatus10positioned on an upper side inFIG.1, which is a dryer for drying the laundry, may have a first drum12disposed therein. The second treating apparatus20that is located on a lower side and supports the first treating apparatus10may correspond to the washing machine for washing the laundry, and may have a second drum22and a tub24arranged therein. When the second treating apparatus20corresponds to the laundry washing machine, the second drum22inside the second treating apparatus20may be rotatably disposed inside the tub24. However, in an embodiment of the present disclosure, the first treating apparatus10and the second treating apparatus20are not necessarily limited to the above types. When necessary, both the first treating apparatus10and the second treating apparatus20may be the washing machines or the dryers. In addition, the first treating apparatus10may be the washing machine, and the second treating apparatus20may be the dryer. The first treating apparatus10and the second treating apparatus20may be various apparatuses for treating the laundry. The first treating apparatus10may include a first cabinet110forming an appearance thereof, and the first cabinet110may have a first front panel112on a front face thereof. A laundry inlet in communication with the first drum12may be defined in the first front panel112, and the laundry inlet may be opened and closed by a cabinet door. In addition, the first treating apparatus10may have each first side panel115on each of both faces thereof in a lateral direction Y, a first rear panel118on a rear face thereof, a first top panel on a top face thereof, and a first bottom panel119on a bottom face thereof. The first front panel112, the first side panels115, the first rear panel118, the first top panel, and the first bottom panel119may form the first cabinet110together, and have a coupling relationship with each other, and define a space in which internals constituting the first treating apparatus10such as the first drum12are arranged. In the first treating apparatus10, the laundry requiring the treatment and the like may be inserted into the first cabinet110through the laundry inlet and accommodated in the first drum12, and a treating process by the first treating apparatus10such as washing, drying, and the like may be performed. According to an embodiment of the present disclosure,FIG.1illustrates the first treating apparatus10in which the laundry inlet is defined in the first front panel112along with the cabinet door, and the first drum12has a rotation axis parallel to a front and rear direction X. However, the laundry inlet may not be necessarily limited to being defined in the first front panel112, and may be defined in the first side panel115, the first top panel, or the like together with the cabinet door. For example, the first treating apparatus10may be a front loader type or a top loader type. In one example, the second treating apparatus20may include a second cabinet120forming an appearance thereof, and the second cabinet120may have a second front panel122on a front face thereof. A laundry inlet in communication with the second drum22may be defined in the second front panel122, and the laundry inlet may be opened and closed by a cabinet door. In addition, the second treating apparatus20may have each second side panel125on each of both faces thereof in the lateral direction Y, a second rear panel128on a rear face thereof, a second top panel on a top face thereof, and a second bottom panel on a bottom face thereof. The second front panel122, the second side panels125, the second rear panel128, the second top panel, and the second bottom panel may form the second cabinet120together, and have a coupling relationship with each other, and define a space in which internals constituting the second treating apparatus20such as the second drum22and the tub24are arranged. In the second treating apparatus20, the laundry requiring the treatment and the like may be inserted into the second cabinet120through the laundry inlet and accommodated in the second drum22, and a treating process by the second treating apparatus20such as the washing, the drying, and the like may be performed. According to an embodiment of the present disclosure,FIG.1illustrates the second treating apparatus20in which the laundry inlet is defined in the second front panel122along with the cabinet door, and the second drum22has a rotation axis parallel to the front and rear direction X. However, the laundry inlet may not be necessarily limited to being defined in the second front panel122, and may be defined in the second side panel125, the second top panel, or the like together with the cabinet door. For example, the first treating apparatus10may be the front loader type or the top loader type. For example, the laundry inserted through the first front panel112may be accommodated in the first drum12to perform the washing, the drying or other treating processes, and the laundry inserted through the second front panel122may be accommodated in the second drum22to perform the washing, the drying, or other treating processes. The first treating apparatus10may be disposed on the second treating apparatus20, and thus, the second treating apparatus20may have a structure supporting the first treating apparatus10upward. For example, the second top panel of the second treating apparatus20may have a structure of directly or indirectly supporting the first bottom panel119of the first treating apparatus10, and a bottom of the first treating apparatus10may be coupled with a top of the second treating apparatus20. In one example, in an embodiment of the present disclosure, a control panel200may be disposed between the first front panel112and the second front panel122. The control panel200may be disposed between the first front panel112and the second front panel122, and may be signally connected to at least one of the first treating apparatus10and the second treating apparatus20. The control panel200may have a front face210, and side faces240on both sides in the lateral direction Y. The side face240may include a first side face242on one side in the lateral direction Y and a second side face244on the other side. The control panel200may have a top face220and a bottom face230. The front face210, the side faces240, the top face220, and the bottom face230may be connected to each other. For example, the side faces240, the top face220, and the bottom face230may have a shape extending rearward from the front face210. The front face210of the control panel200may be exposed to the outside to form a front face of the laundry treating apparatus1according to an embodiment of the present disclosure together with the first front panel112and the second front panel122. The side face240of the control panel200may be exposed to the outside in the lateral direction Y, and the top face220and the bottom face230thereof may be inserted between the first front panel112and the second front panel122and may not be exposed to the outside. The control panel200may be signally connected to at least one of the first treating apparatus10and the second treating apparatus20. The control panel200may include a display capable of indicating states of the first treating apparatus10and/or the second treating apparatus20disposed on the front face210thereof, and the first treating apparatus10, and an input unit capable of inputting operation commands of the first treating apparatus10and/or the second treating apparatus20. Electric parts224may be arranged inside the control panel200, that is, on a rear face of the front face210. The electric parts224may be electrically connected to the first treating apparatus10and/or the second treating apparatus20and may exchange state information or control signals. For example, the electric parts224may include a controller of the first treating apparatus10and a controller of the second treating apparatus20, may include an integrated controller that controls the first treating apparatus10and the second treating apparatus20together, may include a panel controller connected to the controller of the first treating apparatus10and the controller of the second treating apparatus20in a control manner, or may be controlled by the controller of the first treating apparatus10and the controller of the second treating apparatus20without a separate controller. The control panel200may include a rear face, but in an embodiment of the present disclosure, the rear face of the control panel200may be opened. The control panel200disposed between the first front panel112and the second front panel122may be positioned at a lower portion of the first treating apparatus10or at an upper portion of the second treating apparatus20. In one example,FIG.2is a view showing a state in which the control panel200is separated from the laundry treating apparatus1according to an embodiment of the present disclosure,FIG.3shows a lower frame300coupled to a lower portion of the first treating apparatus10according to an embodiment of the present disclosure, andFIG.4shows a perspective view of the lower frame300. As shown inFIGS.2to4, in an embodiment of the present disclosure, the first treating apparatus10may include the lower frame300. The lower frame300may be coupled to the control panel200at the rear of the control panel200to fix the control panel200. The lower frame300may be constructed to be coupled to and fix the control panel200inserted between the first front panel112and the second front panel122. The lower frame300may be disposed beneath the first front panel112and may be disposed at the rear of the control panel200. The lower frame300may be coupled with the control panel200while being fixed to the first treating apparatus10. There may be various schemes of coupling with the control panel200, and as will be described later, the side face240of the control panel200may be coupled with side end extensions330of the lower frame300to fix the control panel200. In an embodiment of the present disclosure, the first treating apparatus10and the second treating apparatus20are arranged together such that a user may perform an efficient laundry treating process, and one control panel200for efficient operation of the first treating apparatus10and the second treating apparatus20is disposed between the first front panel112and the second front panel122to improve ease of use. In addition, as described above, the lower frame300disposed at the rear of the control panel200is disposed at the lower portion of the first treating apparatus10such that the control panel200disposed between the first front panel112and the second front panel122may be stably fixed and used. In addition, the lower frame300and the control panel200are coupled to each other, so that the control panel200may be effectively and stably coupled and fixed. Referring toFIG.3, in an embodiment of the present disclosure, both side faces in the lateral direction Y of the lower frame300may be respectively coupled to the first side panels115respectively facing toward the both side faces of the lower frame300. In the present disclosure, the components may have the front and rear directions X parallel to each other, the lateral directions Y parallel to each other, and the vertical directions Z. For example, the front and rear direction X of the first treating apparatus10may be defined identically to the front and rear directions X of the second treating apparatus20, the control panel200, the lower frame300, and the like. In addition, the lateral directions Y and the vertical directions Z may also be defined as in the above scheme. The both side faces in the lateral direction Y of the lower frame300may be respectively coupled to the first side panels115. The lower frame300may be disposed at the lower portion of the front face of the first treating apparatus10, and the both side faces in the lateral direction Y thereof may respectively face toward the first side panels115at the rear of the first side panels115. Each first side panel115may include a front end bending portion116extending from a front end thereof as will be described later, and the lower frame300may be coupled to the front end bending portions116of the first side panels115. As for a coupling scheme, various schemes such as screw coupling, rivet coupling, fitting coupling, and the like may be used. In an embodiment of the present disclosure, the lower frame300has the both side faces in the lateral direction Y respectively coupled to the first side panels115, and the control panel200disposed at the front is coupled to the lower frame300, so that the control panel200that may be connected with the first treating apparatus10and the second treating apparatus20between the first front panel112and the second front panel122may have the stable fixing structure. In one example, referring toFIG.4, in an embodiment of the present disclosure, the lower frame300may include a main frame face310. The main frame face310extends along the lateral direction Y of the first treating apparatus10and may divide an interior of the first treating apparatus10from the control panel200. Specifically, the main frame face310of the lower frame300may be disposed in parallel with the front face210of the control panel200. The main frame face310may extend in lateral direction Y, so that both ends thereof may be respectively coupled to the first side panels115. The main frame face310may be disposed between the interior of the first treating apparatus10and an interior of the control panel200to divide the interior of the first treating apparatus10from the interior of the control panel200. Inside the first treating apparatus10, various internals may be arranged, and there may be a large amount of water or a high-temperature air current as needed. In a process of using the first treating apparatus10, unintended leak may occur, or the air current may affect the process. Accordingly, an embodiment of the present disclosure may minimize influence of the water or a temperature change inside the first treating apparatus10on the control panel200as the lower frame300includes the main frame face310that divides the control panel200from the interior of the first treating apparatus10. The main frame face310may have various shapes as needed, and as described later, may include a convex portion316to secure a space in which the internals of the first treating apparatus10are arranged and effectively divide the control panel200from the interior of the first treating apparatus10. In one example, in an embodiment of the present disclosure, a connection hole312through which a signal connection line314for signally connecting the first treating apparatus10with the control panel200passes may be defined in the main frame face310. The above-described electric parts224may be arranged inside the control panel200, and the electric parts224may be connected to a sensor, a motor, the controller of first treating apparatus10, or the like disposed inside the first treating apparatus10. To this end, the signal connection line314may extend from the interior of the first treating apparatus10to the electric parts224of the control panel200, and signal connection between components of the first treating apparatus10and the control panel200may be achieved by the signal connection line314. In one example, the control panel200may be fixed by the lower frame300, and the lower frame300may include the main frame face310that divides the control panel200from the interior of the first treating apparatus10, so that the connection hole312through which the signal connection line314may pass may be defined in the main frame face310. The signal connection line314may have various shapes. For example, the signal connection line314may include a connection jack or a connection port, and may be connected to the electric parts224through the connection hole312. There may also be various positions and shapes of the connection hole312.FIG.5is a front view showing the lower frame300according to an embodiment of the present disclosure. Referring toFIG.5, the connection hole312may be defined on one side in the lateral direction Y of the main frame face310, and may be defined on a lower side of said one side such that the signal connection line314may be effectively connected to the electric parts224. In one example,FIG.6shows a side view of the lower frame300according to an embodiment of the present disclosure. Referring toFIGS.4to6, in an embodiment of the present disclosure, the lower frame300may further include an upper end extension320and a top coupling portion350. The upper end extension320may extend forward from an upper end of the main frame face310, and the top coupling portion350may protrude upward from the upper end extension320and be coupled to the bottom of the first front panel112. The upper end extension320may extend forward from the upper end of the main frame face310and may be positioned below the first front panel112. The upper end extension320may extend along the lateral direction Y like the main frame face310, and may extend forward from the main frame face310. The top coupling portion350may be disposed on the upper end extension320. The top coupling portion350may protrude upward from the upper end extension320positioned below the first front panel112and may be coupled to the bottom of the first front panel112. There may be various numbers, positions, and shapes of the top coupling portion350or schemes of coupling with the first front panel112as needed. For example, in an embodiment of the present disclosure, the first front panel112may include a lower end bending portion113, and the top coupling portion350may include a panel inserted portion353inserted into the lower end bending portion113. Specifically, the first front panel112may include the lower end bending portion113extending rearward from a lower end thereof. The lower end bending portion113may be bent at a lower end of a front face of the first front panel112. The lower end bending portion113may be disposed in parallel with the upper end extension320, and the top coupling portion350may include a panel inserted portion353protruding toward the lower end bending portion113to penetrate the lower end bending portion113. The panel inserted portion353may penetrate the lower end bending portion113of the first front panel112and may be inserted into the bottom of the first front panel112. The top coupling portion350may be coupled to the first front panel112as the panel inserted portion353penetrates and is inserted into the lower end bending portion113. In an embodiment of the present disclosure, the lower frame300is fixed by being coupled with each first side panel115, fixes the control panel200by being coupled to the control panel200at the front, is coupled to the first front panel112through the upper end extension320, and supports the first front panel112, thereby effectively improving structural stability of the laundry treating apparatus1according to an embodiment of the present disclosure. FIG.7shows a state in which the panel inserted portion353is inserted into the lower end bending portion113of the first front panel112according to an embodiment of the present disclosure, andFIG.8is a cross-sectional view showing a state in which the lower frame300, the control panel, and the first front panel112are coupled to each other. Referring toFIGS.6to8, in an embodiment of the present disclosure, at least a portion of the panel inserted portion353may extend in an inclined manner such that an upper end thereof is positioned forwardly of a lower end thereof. That is, the panel inserted portion353may be formed to be inclined forward upwardly. An inclined portion of the panel inserted portion353may be formed on an entirety of or only a portion of the panel inserted portion353. As the panel inserted portion353extends in the inclined manner, the upper end thereof is disposed close to the front face of the first treating apparatus10. Accordingly, the lower end bending portion113of the first front panel112is moved rearward, so that the panel inserted portion353may be easily inserted, and fixation of the first front panel112into which the panel inserted portion353may be strengthened. In one example, referring toFIGS.6and8, in an embodiment of the present disclosure, the upper end extension320may be spaced downwardly apart from the first front panel112, and the top coupling portion350may further include an ascending extension354. The ascending extension354may extend from the upper end extension320toward the lower end bending portion113. In addition, the panel inserted portion353may be disposed at an upper end of the ascending extension354to penetrate the lower end bending portion113. The first front panel112, for example, the lower end bending portion113of the first front panel112and the upper end extension320may be spaced apart from each other. The top face220of the control panel200may be inserted between the upper end extension320and the first front panel112. The top coupling portion350may include the ascending extension354extending upward from the upper end extension320, and the panel inserted portion353extending from the ascending extension354may be inserted into the first front panel112. The top fastening portion may include a first top fastening portion and a second top fastening portion. The ascending extension354may be included in the first top fastening portion. That is, in the first top fastening portion, the ascending extension354extending from the upper end extension320may extend to the lower end bending portion113of the first front panel112, and the panel inserted portion353may be disposed on the upper end of the ascending extension354and be inserted into the first front panel112through the lower end bending portion113. There may be various shapes or extension directions of the ascending extension354, andFIG.4shows the plate-shaped panel inserted portion353extending in an inclined manner forward from the upper end of the plate-shaped ascending extension354according to an embodiment of the present disclosure. In one example, in an embodiment of the present disclosure, the top coupling portion350may include a top support356, and the panel inserted portion353may be disposed on the top support356. For example, in an embodiment of the present disclosure, the top coupling portion350may include a second top coupling portion352, the second top coupling portion352may include the top support356, and the panel inserted portion353may be disposed on the top support356. Specifically, the top support356may be disposed on the upper end extension320and support the lower end bending portion113. That is, the top support356may be constructed such that an upper end thereof is in contact with the lower end bending portion113and supports the lower end bending portion113upward. The top support356may have a face for supporting the lower end bending portion113or may be formed in a protrusion shape to be in contact with the lower end bending portion113. When the top support356is disposed on the top coupling portion350, for example, in a case of the second top coupling portion352including the top support356, the panel inserted portion353may be disposed on the top support356to penetrate the lower end bending portion113. That is, the second top coupling portion352may be disposed such that the top support356is in contact with the lower end bending portion113on the upper end extension320, and the panel inserted portion353may be disposed to protrude upward from the top support356to penetrate the lower end bending portion113. As above, in an embodiment of the present disclosure, the top coupling portion350is constructed to include the top support356supporting the lower end bending portion113, so that the bottom of the first front panel112may be supported upward by the lower frame300and may be structurally stabilized. In one example, as shown inFIG.6, the top support356may include a top support face357that is spaced upwardly from the upper end extension320, and is disposed to be in parallel with the lower end bending portion113and supports the lower end bending portion113, and the panel inserted portion353may extend from the top support face357. The top support face357may be disposed to be in parallel with the lower end bending portion113, and may be disposed to be in parallel with the upper end extension320. That is, the top support face357may be in a form offset upward from the upper end extension320. The top support face357may be preferably in a face-contact with a bottom face of the lower end bending portion113to support the first front panel112. In addition, in an embodiment of the present disclosure, the top support356may further include a top connection portion358connecting the top support face357and the upper end extension320with each other, and the panel inserted portion353may be disposed on a front end of the top support face357and at least partially extend in an inclined manner such that an upper end thereof is positioned forward of a lower end thereof. The top support face357may be formed in a plate shape and may be disposed in parallel with the lower end bending portion113, and may have a connection relationship with the upper end extension320by the top connection portion358. That is, in the top support356, the top support face357may be connected to the upper end extension320by the top connection portion358. The top connection portion358may be formed in various shapes.FIGS.5to6show that the top connection portion358is formed in a substantially plate shape according to an embodiment of the present disclosure and extends from one edge of the top support face357toward the upper end extension320. In one example, in a case of the second top coupling portion352having the top support356, the panel inserted portion353may extend upward from the front end, that is, a front edge, of the top support face357. Accordingly, the first front panel112may be supported on the top support face357while being moved rearward on the top of the lower frame300, and the panel inserted portion353may penetrate and be coupled to the lower end bending portion113. In addition, the top connection portion358may be formed at both side edges and a rear edge of the top support face357to stably fix the top support face357to the upper end extension320. In an embodiment of the present disclosure, the top coupling portion350includes a first top coupling portion351having the ascending extension354and coupled to the first front panel112, and a second top coupling portion352that supports and fixes the first front panel112, so that a coupling strength and a support strength between the first front panel112and the lower frame300may be efficiently determined. The numbers and positions of the first top coupling portion351and the second top coupling portion352may be variously determined as needed. FIG.4shows that each first top coupling portions351is disposed on each of both sides in the lateral direction Y of the upper end extension320, and second top coupling portions352are arranged at a center of the upper end extension320according to an embodiment of the present disclosure. In one example,FIG.9shows a state of the control panel200separated from the lower frame300forward, andFIG.10shows a state in which the lower frame300and the control panel200ofFIG.9are coupled to each other. Referring toFIGS.9and10, in the laundry treating apparatus1according to an embodiment of the present disclosure, the lower frame300may include the side end extensions330, and the side end extensions330may respectively extend forward from both sides of the lower frame300to be coupled to the control panel200. As described above, the lower frame300has the main frame face310that divides the control panel200from the interior of the first treating apparatus10, and may include the upper end extension320to support and fix the bottom of the first front panel112. Furthermore, an embodiment of the present disclosure includes the side end extensions330respectively extending forward from both sides in the lateral direction Y of the main frame face310, so that the lower frame300may be coupled with the first front panel112upward, and at the same time, may be coupled with the control panel200in the front through the side end extensions330, thereby enabling efficient space utilization and realizing a coupling structure. The side end extension330may include a first side end extension332disposed on one side in the lateral direction Y of the lower frame300and a second side end extension334disposed on the other side in the lateral direction Y of the lower frame300. In addition, the first side end extension332may include a hook inserting portion336into which a side face hook246disposed on the control panel200is inserted, and the second side end extension334may include a through hole338coupled with a penetrating member248penetrating the control panel200. FIG.9shows the second side end extension334including the through hole338to which the penetrating member248is coupled, andFIG.11is a view of the first side end extension332including the hook inserting portion336viewed from the rear. The first side end extension332includes the hook inserting portion336into which the side face hook246disposed on the control panel200is inserted. The control panel200may include a first side face242on one side in the lateral direction Y, and may include the side face hook246disposed inside the first side face242. Specifically, the first side end extension332may be disposed on one side in the lateral direction Y of the main frame face310to face toward an inner face of the first side face242, and the side face hook246may be disposed between the first side face242and the first side end extension332. The side face hook246may protrude toward the first side end extension332and be inserted into the hook inserting portion336of the first side end extension332, so that the control panel200may be fixed to the lower frame300. The side face hook246may protrude from one side in the lateral direction Y of the control panel200toward the other side and may be inserted into the first side end extension332of the lower frame300. In one example, in an embodiment of the present disclosure, the control panel200may further include a hook extension247.FIG.13shows the hook extension247and the side face hook246arranged on an inner face of the first side face242of the control panel200. The hook extension247may extend rearward from the front face210, may be located between the first side face242and the first side end extension332, may be spaced apart from the first side face242, and may have the side face hook246at an extended end thereof. The hook extension247may extend rearward from the rear face of the front face210of the control panel200, and may be spaced apart from the inner face of the first side face242, that is, one face of the first side face242facing toward the other side in the lateral direction Y of the control panel200. As the side face hook246and the hook extension247are arranged between the first side face242of the control panel200and the first side end extension332of the lower frame300, and as the side face hook246is disposed on an end of the hook extension247spaced apart from the first side face242, in an insertion process of the control panel200, the hook extension247may be bent and deformed as needed such that the side face hook246is inserted into the hook inserting portion336. For example, when the hook extension247is in close contact with the first side face242or when the side face hook246is disposed on the inner face of the first side face242, in the insertion process of the control panel200, the first side face242itself of the control panel200deforms in a process in which the side face hook246reaches the hook inserting portion336of the first side end extension332, resulting in inconvenience in the coupling or a damage. However, in an embodiment of the present disclosure, as the side face hook246is disposed on the hook extension247spaced apart from the first side face242, in the process of coupling the control panel200and the lower frame300with each other, the hook extension247is partially bent and the side face hook246is moved toward the hook inserting portion336, so that effective coupling may be achieved. In one example, the control panel200may have the second side face244disposed on the other side in the lateral direction Y, and the side end extension330may further include the second side end extension334. The second side end extension334may be disposed on the other side in the lateral direction Y of the main frame face310to face toward the inner face of the second side face244. The second side face244of the control panel200may be penetrated by the penetrating member248, and the second side end extension334of the lower frame300positioned on the inner face of the second side face244may include the through hole338into which the penetrating member248is coupled. The through hole338may be penetrated by the penetrating member248like the second side face244, or may be coupled to the penetrating member248as the penetrating member248penetrated the second side face244is inserted thereto. The penetrating member248may have various shapes such as a screw shape, a rivet shape, or the like. In an embodiment of the present disclosure, the first side end extension332of the lower frame300is coupled with the first side face242of the control panel200through the hook inserting portion336, and the second side end extension334of the lower frame300is coupled with the second side face244of the control panel200through the through hole338, so that assembly efficiency may be improved and a structure having excellent coupling stability may be implemented. For example, when both the first side end extension332and the second side end extension334of the lower frame300are coupled with the control panel200in a hook manner through the hook inserting portion336, compared to the coupling using the penetrating member248, the coupling stability may be lower, and it may be disadvantageous in separating the control panel200when necessary. In addition, when both the first side end extension332and the second side end extension334of the lower frame300are coupled with the control panel200using the penetrating member248, there is no means for the control panel200to be fixed at an appropriate position to be coupled with the lower frame300, and a process and a component required for the assembly process are added, which may be disadvantageous. Accordingly, in an embodiment of the present disclosure, the first side face242of the control panel200and the first side end extension332of the lower frame300are coupled with each other through the side face hook246and the hook inserting portions336, so that the position of the control panel200at the beginning of the coupling of the control panel200may be effectively fixed. In addition, the second side face244of the control panel200and the second side end extension334of the lower frame300are coupled with each other through the penetrating member248and the through hole338in a penetrating manner, so that a stable coupling structure in which coupling and separation are easy may be maintained. Referring again toFIGS.4to8, in an embodiment of the present disclosure, the main frame face310of the lower frame300may include the convex portion316that is curved such that a front face of the convex portion316is convex and a rear face of the convex portion316is concave to define a space at the rear. The convex portion316may have a shape extending along the lateral direction Y of the lower frame300so as to be parallel with a longitudinal direction of the main frame face310. In addition, as the front face of the convex portion316is convex and the rear face thereof is concave, the space is secured at the rear, so that the convex portion316and the components inside the first treating apparatus10do not interfere with each other. FIG.8shows a state in which a base cabinet15of the first treating apparatus10is disposed at the rear of the main frame face310of the lower frame300according to an embodiment of the present disclosure.FIG.8shows that the convex portion316of the main frame face310is bent so as to correspond to the base cabinet15, and thus, a space in which the base cabinet15is disposed is secured without interference between the base cabinet15and the lower frame300located at the rear. The base cabinet15may correspond to the first bottom panel of the first treating apparatus10or may be a component included in the first bottom panel. The convex portion316may be formed to include the top of the main frame face310, and the upper end extension320may extend from the convex portion316. That is, the convex portion316may have a shape in which the space defined at the rear is opened upward. The convex portion316may extend downward from the upper end of the main frame face310and may extend along the lateral direction Y. The connection hole312may be defined in the convex portion316or may be positioned avoiding the convex portion316. Because the lower frame300has the shape in which the convex portion316is formed on the top of the main frame face310and the space defined at the rear is opened upward, a space in which the base cabinet15and the like that may be positioned at the rear of the lower frame300may be effectively secured, and a support strength of the first front panel112supported by the upper end extension320may be effectively improved. In one example,FIG.12shows a view of the control panel200viewed from the top,FIG.13shows a view of the bottom face230of the control panel200viewed from the rear, andFIG.14shows a cross-section of the control panel200coupled to the first front panel112and the second front panel122. Referring toFIGS.12to14, in the laundry treating apparatus1according to an embodiment of the present disclosure, the control panel200may be fixed as the top thereof is coupled to the bottom of the first front panel112and the bottom thereof is coupled to a top of the second front panel122. For example, in the control panel200, the top face220may be coupled to the bottom of the first front panel112, and the bottom face230of the control panel200may be coupled to the top of the second front panel122. As described above, the control panel200may form the stable coupling structure as the side faces240are coupled to the lower frame300, and at the same time, the top face220and the bottom face230are respectively coupled to the first front panel112and the second front panel122. The control panel200may be coupled with the first front panel112and the second front panel122in various schemes. For example, the control panel200in front of the lower frame300may move rearward to be inserted between the first front panel112and the second front panel122. In the insertion process of the control panel200, panel fastening portions260that may be arranged on the top face220and the bottom face230may be respectively coupled to the first front panel112and the second front panel122as will be described later. Referring toFIG.14, in an embodiment of the present disclosure, the second front panel122may include an upper end bending portion123extending rearward from an upper end of a front face of the second front panel122. The upper end bending portion123may be bent from the front face of the second front panel122. The top of the control panel200may be coupled to the lower end bending portion113of the first front panel112, and the bottom of the control panel200may be coupled to the upper end bending portion123of the second front panel122. Specifically, the control panel200inserted between the first front panel112and the second front panel122is constructed such that the top thereof, that is, the top face220faces toward the lower end bending portion113of the first front panel112, and the bottom thereof, that is, the bottom face230faces toward the upper end bending portion123of the second front panel122. The top face220of the control panel200may be disposed in parallel with the lower end bending portion113of the first front panel112, and the bottom face230of the control panel200may be disposed in parallel with the upper end bending portion123of the second front panel122. Furthermore, the lower end bending portion113of the first front panel112and the upper end bending portion123of the second front panel122may also be arranged to be in parallel with each other. In the insertion process of the control panel200, the top face220disposed at the top of the control panel200may be coupled to the lower end bending portion113of the first front panel112, and the bottom face230disposed at the bottom of the control panel200may be coupled to the upper end bending portion123of the second front panel122. In one example,FIG.12shows the panel fastening portion260disposed on the top face220of the control panel200, andFIG.13shows the panel fastening portion260disposed on the bottom face230of the control panel200. Referring toFIGS.12and13, the top face220and the bottom face230may include the panel fastening portions260respectively inserted into and coupled to the lower end bending portion113and the upper end bending portion123respectively facing thereto. The panel fastening portion260may be formed in a hook shape and be coupled to the lower end bending portion113or the upper end bending portion123, or may be formed in a protrusion shape and be inserted into and coupled to the lower end bending portion113or the upper end bending portion123. FIGS.12and13show the panel fastening portions260that are respectively inserted into and coupled to the lower end bending portion113of the first front panel112and the upper end bending portion123of the second front panel122as shown inFIG.14according to an embodiment of the present disclosure. The number, a position, and a shape of the panel fastening portion260may be various as needed. In one example, in an embodiment of the present disclosure, each of the top face220and the bottom face230may include an opening262open in the vertical direction Z and a fastening elastic portion265extending from an inner face of the opening262to intersect the opening262. The panel fastening portion260of each of the top face220and the bottom face230may be disposed on the fastening elastic portion265. Specifically, a top face opening263may be defined in the top face220and a bottom face opening264may be defined in the bottom face230. Each of the top face opening263and the bottom face opening264may have a shape open in the vertical direction Z and may penetrate each of the top face220and the bottom face230. The fastening elastic portion265may include a top face fastening elastic portion266disposed on the top face220and a bottom face fastening elastic portion267disposed on the bottom face230. The top face fastening elastic portion266may be disposed to intersect the top face opening263of the top face220, and the bottom face fastening elastic portion267may be disposed to intersect the bottom face opening264of the bottom face230. The fastening elastic portion265may extend in parallel with the top face220or the bottom face230from the inner face of each opening262. For example, the fastening elastic portion265may extend from one side of the inner face of the opening262and may be connected to the other side of the inner face of the opening262. That is, the fastening elastic portion265may be disposed on the opening262. Both ends of the fastening elastic portion265are connected to the top face220or the bottom face230of the control panel200in a longitudinal direction, and the remaining portion thereof is separated from the top face220or the bottom face230. Each opening262may have a shape extending in parallel with the longitudinal direction of the fastening elastic portion265, and may be at least partially shielded in the vertical direction Z by the fastening elastic portion265. For example, the fastening elastic portion265may be formed by cutting both sides thereof from the top face220or the bottom face230along the longitudinal direction of the fastening elastic portion265. Accordingly, the both ends of the fastening elastic portion265connected to the inner face of the opening262are fixed, so that a center of the fastening elastic portion265may be separated from the top face220or the bottom face230and be elastically deformed. In an embodiment of the present disclosure, as the panel fastening portion260is disposed on the fastening elastic portion265, in the insertion process of the control panel200, the fastening elastic portion265is deformed in a process in which each panel fastening portion260is moved toward the lower end bending portion113of the first front panel112or the upper end bending portion123of the second front panel122, and the fastening elastic portion265is restored as the panel fastening portion260reaches each coupling point, so that the coupling structure may be formed. FIG.12shows the top face opening263and the top face fastening elastic portion266respectively defined in and disposed on the top face220of the control panel200, andFIG.13shows the bottom face opening264and the bottom face fastening elastic portion267respectively defined in and disposed on the bottom face230of the control panel200. In one example,FIG.12shows a panel supporting portion268disposed on the top face220of the control panel200according to an embodiment of the present disclosure, andFIG.15shows the panel supporting portion268supporting the lower end bending portion113of the first front panel112from below. Specifically, the panel supporting portion268may be distinguished from the panel fastening portion260, and an upper end of the panel supporting portion268may upwardly support the lower end bending portion113of the first front panel112. The number and a shape of the panel supporting portions268may be various, and the panel supporting portion268may have a top face in parallel with the lower end bending portion113. The panel supporting portion268may protrude upward from the top face220of the control panel200like the panel fastening portion260. However, unlike the panel fastening portion260inserted into the lower end bending portion113, the panel supporting portion268may be in contact with the bottom face of the lower end bending portion113to support the lower end bending portion113. The panel supporting portion268may have a protrusion shape like the panel fastening portion260. In an embodiment of the present disclosure, as the panel supporting portion268supporting the bottom of the first front panel112is disposed on the top face220of the control panel200, the first front panel112may be stably supported. Furthermore, because the panel supporting portion268of the control panel200supports the first front panel112together with the top support356of the lower frame300, structural stability may be improved. In one example, in an embodiment of the present disclosure, the panel fastening portion260may have a protrusion height greater than that of the panel supporting portion268. Accordingly, an entirety of the lower end bending portion113may have a flat face, and a shape in which the panel fastening portion260is inserted into the lower end bending portion113of the first front panel112, and the panel supporting portion268supports the lower end bending portion113may be realized. Referring again toFIGS.9and10, in an embodiment of the present disclosure, the top face220of the control panel200may have a coupling portion receiving groove269into which the top coupling portion350of the lower frame300is inserted and received. Specifically, in an embodiment of the present disclosure, the top face220of the control panel200may be inserted between the lower end bending portion113and the upper end extension320, and as described above, the upper end extension320may include the top coupling portion350protruding upward and inserted into the lower end bending portion113. In addition, the top face220may include the coupling portion receiving groove269that is opened rearward, and the top coupling portion350is inserted into the coupling portion receiving groove269from the rear. The coupling portion receiving groove269may have a shape extending in the front and rear direction X in consideration of an insertion direction of the control panel200, and a width of the coupling portion receiving groove269may be greater than that of the top coupling portion350. A rear end of the coupling portion receiving groove269may be disposed to face toward the top coupling portion350and opened rearward, so that the top coupling portion350may be inserted into the coupling portion receiving groove269through the open rear end of the coupling portion receiving groove269. That is, in the process in which the control panel200in front of the lower frame300is moved rearward and inserted, the top coupling portion350of the lower frame300may move forward from the rear of the coupling portion receiving groove269and be inserted into the coupling portion receiving groove269. Accordingly, the upper end extension320having the top coupling portion350coupled to the first front panel112and supporting the first front panel112is included in the lower frame300. In the structure in which the top face220of the control panel200is inserted between the upper end extension320and the lower end bending portion113of the first front panel112, the top coupling portion350protruding from the upper end extension320of the lower frame300toward the lower end bending portion113may be positioned without structural interference by the coupling portion receiving groove269. In addition, the top face220of the control panel200may also be inserted between the first front panel112and the upper end extension320of the lower frame300without interference by the top coupling portion350. In one example,FIG.13shows an electric parts coupling portion228protruding downward from the inner face of the top face220of the control panel200, andFIG.14schematically shows the electric parts224and the electric parts coupling portion228. Referring toFIGS.13and14, in an embodiment of the present disclosure, the control panel200may further include the electric parts224and the electric parts coupling portion228, and the upper end extension320of the lower frame300may include a front receiving groove324into which the electric parts coupling portion228is inserted and received. The electric parts coupling portion228may protrude downward from the inner face of the top face220and may be coupled to the electric parts224inside the control panel200. The electric parts coupling portion228may include a plurality of electric parts coupling portions. The electric parts coupling portion228may have a protrusion shape as shown inFIG.13and be inserted into and coupled to a groove defined in the electric parts224, or may be disposed to rearwardly support a rear face of the electric parts224as shown inFIG.14. In one example, the upper end extension320of the lower frame300may be located below the top face220of the control panel200, and thus, in the insertion process of the control panel200, the electric parts coupling portion228may be in contact with a front end of the upper end extension320and interfere with the movement of the control panel200. Accordingly, in an embodiment of the present disclosure, the front receiving groove324into which the electric parts coupling portion228is inserted and received may be defined at the front end of the upper end extension320. The front receiving groove324may be defined to face toward the electric parts coupling portion228. That is, the front receiving groove324may be located at the rear of the electric parts coupling portion228. The front receiving groove324may extend in the front and rear direction X in consideration of the coupling direction of the control panel200, and a front end of the front receiving groove324may be opened forward. In the process in which the control panel200is inserted between the first front panel112and the second front panel122, the electric parts coupling portion228may be inserted into the front receiving groove324through the open front end of the front receiving groove324.FIG.9shows the front receiving groove324defined in the upper end extension320of the lower frame300. In one example, as shown inFIG.14, in an embodiment of the present disclosure, a length of the top face220of the control panel200extending rearward from the front face210may be less than a length of the bottom face230extending rearward from the front face210, so that the interference with the upper end extension320of the lower frame300may be prevented. As described above, the top face220of the control panel200may be disposed adjacent to the upper end extension320of the lower frame300in the vertical direction Z. The upper end extension320of the lower frame300has the top coupling portion350coupled to the first front panel112, and the top face220of the control panel200may have the electric parts coupling portion228. As such, the upper end extension320of the lower frame300and the top face220of the control panel200may respectively have components for the coupling and the support in a relationship therebetween, which may cause the structural interference therebetween. In consideration of the above structural features, in an embodiment of the present disclosure, the top face220of the control panel200is formed to have a smaller length than the bottom face230, so that the structural interference between the upper end extension320of the lower frame300and the top face220of the control panel200may be prevented, and structural degrees of freedom therebetween may be effectively improved. In one example, as described above, the top face opening263and the top face fastening elastic portion266may be respectively defined in and disposed on the top face220of the control panel200, and the bottom face opening264and the bottom face fastening elastic portion267may be respectively defined in and disposed on the bottom face230of the control panel200. In addition, referring toFIGS.12and13, in an embodiment of the present disclosure, the top face fastening elastic portion266may be disposed to intersect the top face opening263along the lateral direction Y of the control panel200, and the bottom face fastening elastic portion267may be disposed to intersect the bottom face opening264along the front and rear direction X of the control panel200. That is, the top face fastening elastic portion266may extend along the lateral direction Y of the control panel200, and the bottom face fastening elastic portion267may extend along the front and rear direction X of the control panel200. The top face opening263may also extend in the lateral direction Y like the top face fastening elastic portion266, and the bottom face opening264may also extend in the front and rear direction X like the bottom face fastening elastic portion267. As described above, at least a portion of the upper end extension320of the lower frame300overlaps the top face220of the control panel200and has a coupling relationship with the first front panel112and the like, so that the top face220of the control panel200may have a length of extending from the front face210that is smaller than that of the bottom face230to minimize the structural interference with the upper end extension320. Accordingly, the top face fastening elastic portion266disposed on the top face220has a disadvantage in extending in the front and rear direction X because of the small length of the top face220. Accordingly, the top face fastening elastic portion266may extend in the lateral direction Y of the control panel200. The top face opening263may also extend in the lateral direction Y like the top face fastening elastic portion266. In addition, because of the characteristics of the bottom face230having the larger extension length compared to the top face220, the bottom face fastening elastic portion267disposed on the bottom face230may extend in the front and rear direction X unlike the top face fastening elastic portion266, and the bottom face opening264may also extend in the front and rear direction X like the bottom face fastening elastic portion267. The panel fastening portion260may protrude upward from the top face fastening elastic portion266and may protrude downward from the bottom face fastening elastic portion. In one example, referring toFIG.12again, the laundry treating apparatus1according to an embodiment of the present disclosure may have a drain passage270extending in the lateral direction Y defined in the top face220of the control panel200. The drain passage270may extend along the lateral direction Y on the top face220, and water falling to the top face220may be drained by flowing in the lateral direction Y along the drain passage270. Specifically, as described above, the water may exist inside the first treating apparatus10and the second treating apparatus20, such as a washing machine or a condensing dryer, and the water may leak or may be generated in other operating situations of the first treating apparatus10. The water may fall along the first treating apparatus10or may be supplied to the control panel200in other schemes. As described above, the control panel200may have the display and the manipulation unit on the front face thereof, and may have the electric parts224therein. Therefore, it is necessary to prevent the water existing on the control panel200from flowing into the control panel200or flowing along the front face210. Accordingly, an embodiment of the present disclosure may define the drain passage270in the top face220of the control panel200, and the inflow of the water supplied to the top face220of the control panel200to the front face210or the interior of the control panel200may be minimized through the drain passage270. In addition, because the control panel200is located below the first front panel112, in consideration of the situation in which the water flowing downward along the first front panel112falls to the top face220of the control panel200, in an embodiment of the present disclosure, the drain passage270may be defined in the top face220of the control panel200. The drain passage270may be formed in various shapes, and may be formed in various schemes as necessary.FIG.12shows a state in which the drain passage270opened upward is disposed on the top face220according to an embodiment of the present disclosure. The drain passage270may extend along the lateral direction Y of the control panel200. Accordingly, the water falling to the top face220may flow in the lateral direction Y on the top face220, and a phenomenon in which the water passes the rear end of the top face220and flows into the control panel200or flows along the front face210of the control panel200may be suppressed. In one example, referring toFIG.12along withFIG.9, in an embodiment of the present disclosure, the upper end211of the front face210is located above the top face220. The top face220may have a passage rib272protruding upward and extending in the lateral direction Y at a rear end thereof. The drain passage270may be formed by the upper end211of the front face210and the passage rib272. Specifically, the top face220of the control panel200may extend rearward from the upper end of the front face210, and the upper end211of the front face210may be positioned higher than the top face220. That is, the top face220may extend rearward from the front face210at a vertical level lower than that of the upper end211of the front face210. In other words, the front face210may extend upward such that the upper end211is positioned higher than the top face220. In addition, the passage rib272protruding upward and extending along the lateral direction Y may be disposed at the rear end of the top face220. The top face220may extend in the lateral direction Y of the control panel200like the front face210, and the passage rib272may also extend in the lateral direction Y along the top face220. In addition, as described above, the top face220may have the coupling portion receiving groove269defined therein that is opened rearward at the rear end thereof. A specific extending shape of the passage rib272may correspond to a shape of the rear end of the top face220. That is, the passage rib272may extend along the rear end of the top face220. The drain passage270may be defined in the top face220by the upper end211and the passage rib272of the front face210. That is, the upper end of the front face210may form one side wall of the drain passage270, the passage rib272may form an opposite side wall to said one side wall of the drain passage270, and the top face of the top face220may form a bottom face of the drain passage270to form the drain passage270. In an embodiment of the present disclosure as above, the drain passage270may be formed on an entirety of the top face of the top face220. Accordingly, the water falling to or flowing to the top face220may be entirely located in the drain passage270, or may be drained by flowing in the lateral direction Y along the drain passage270. In one example, in an embodiment of the present disclosure, an upper end241of each side face240of the control panel200may be located above the top face220, and both ends of the passage rib272in the lateral direction Y may be respectively spaced apart from the side faces240respectively facing thereto, so that each drainage276may be defined between the upper end241of each side face240and the passage rib272. Specifically, each side face240extending rearward from each of both sides in the lateral direction Y of the front face210has the upper end241positioned higher than the top face220like the front face210. The upper end211of the front face210and the upper end241of the side face240may extend integrally. Accordingly, the top face220may be disposed such that the front end and both side ends thereof are surrounded by the upper end211of the front face210and the upper end241of the side face240. Both side ends of the passage rib272in the lateral direction Y may be respectively spaced apart from the upper ends of the side faces240respectively facing thereto. That is, in the drain passage270, an opening may be defined between each side end of the passage rib272and the upper end of each side face240to define the drainage276. The water present on the top face220may be discharged from the top face220through the drainage276. In one example, both sides in the lateral direction Y of the electric parts224that may be arranged inside the control panel200may be respectively spaced apart from the inner faces of the side faces240by a predetermined distance so as to be prevented from contacting the water discharged through the drainage276. As above, by the drain passage270defined by the upper end of the front face210and the upper ends of the side faces240, the water falling on the top face220may be prevented from leaking to the outside along the front face210or the side faces240, and may be discharged rearward from the both sides in the lateral direction Y of the control panel200through the drainages276respectively defined between the passage rib272and the side faces240. In addition, as shown inFIG.12, the panel supporting portion268and the panel fastening portion260arranged on the top face220of the control panel200may be located in the drain passage270. As described above, the panel supporting portion268may be disposed on the drain passage270to support the lower end bending portion113of the first front panel112, and the panel fastening portion260may be disposed on the drain passage270and penetrate the lower end bending portion113. The panel supporting portion268and the panel fastening portion260may respectively include a plurality of panel supporting portions and a plurality of panel fastening portions, may be arranged in lateral direction Y along the drain passage270, and may be arranged to alternate with each other. Accordingly, a supporting force of the first front panel112by the panel supporting portion268and a fixing force of the first front panel112by the panel fastening portion260may be properly mixed and dispersed. In one example, in an embodiment of the present disclosure, the top face220may further include an inflow prevention rib274. The inflow prevention rib274may extend to surround the opening262defined in the top face220and protrude upward to prevent the water from flowing into the opening262. The opening262may be the top face opening263on which the top face fastening elastic portion266is disposed. The panel fastening portion260may be disposed in the drain passage270and may be disposed on the fastening elastic portion265disposed with the opening262. That is, the opening262on which the fastening elastic portion265is formed may be defined in the drain passage270, and the water on the top face220may fall into the control panel200through the opening262. Accordingly, in an embodiment of the present disclosure, the inflow prevention rib274surrounding the opening262may be formed on the top face220to prevent the water leakage through the opening262. The inflow prevention rib274may extend in a ring shape to surround a circumference of the opening262. The ring shape may be various depending on the shape of the opening262, and may have, for example, a polygonal or circular cross-section. As a result, while being prevented from flowing into the opening262by the inflow prevention rib274, the water on the drain passage270defined by the upper end of the front face210and the passage rib272may flow in the lateral direction Y along the drain passage270and be drained. In one example, as described above, in an embodiment of the present disclosure, the coupling portion receiving groove269may be defined in the top face220. The passage rib272extending along the rear end of the top face220may be extended so as to correspond to the coupling portion receiving groove269, so that the water leakage into the coupling portion receiving groove269may be prevented. A portion of the passage rib272extending along the coupling portion receiving groove269may extend to correspond to the shape of the coupling portion receiving groove269.FIG.12shows the passage rib272that extends by being bent or curved to correspond to the coupling portion receiving groove269having an approximately rectangular cross-section, according to an embodiment of the present disclosure. In one example,FIG.16shows the laundry treating apparatus1in which the first front panel112and the second front panel122are omitted, and shows the lower frame300of the first treating apparatus10and an upper frame400of the second treating apparatus20. In addition,FIG.17shows an exploded perspective view of the lower frame300and the upper frame400. Referring toFIGS.16and17, the laundry treating apparatus1according to an embodiment of the present disclosure may include the upper frame400. The upper frame400may be disposed on a rear face of the second front panel122, and may be coupled to the first side panels115through insulating connection members450made of an insulating material, so that the upper frame400may be insulated from the first side panels115. Specifically, the upper frame400may be located at a top of the front face of the second treating apparatus20, and may be coupled to the first treating apparatus10to fasten the first treating apparatus10and the second treating apparatus20with each other. The upper frame400may be disposed on the rear face of the second front panel122, and may be disposed in front of the second top panel and the second side panels125of the second treating apparatus20as shown inFIG.17. That is, the upper frame400may be disposed between the second front panel122and each second side panel125. FIG.16shows a state in which a detergent-storage receiving opening penetrated by a detergent storage unit for supplying detergent is defined in the second treating apparatus20. That is, inFIG.16, the second treating apparatus20may correspond to the washing machine that treats the laundry using the detergent, and the detergent-storage receiving opening penetrated by the detergent storage unit may be defined in the upper frame400. The upper frame400may be coupled with the second side panels125respectively on the both sides in the lateral direction Y, and may be coupled with the second front panel122at the front. The upper frame400may contribute to improving structural stability and stiffness of the top of the second treating apparatus20. The upper frame400may be fixed to the second treating apparatus20, and an upper portion thereof extending upward may be coupled to the lower portion of the first treating apparatus10. The upper frame400may have a face parallel to the second front panel122and may be disposed on the front face of the second treating apparatus20. In one example, in an embodiment of the present disclosure, the first treating apparatus10and the second treating apparatus20may correspond to apparatuses that are independent of each other, and may respectively have components that use electricity, such as the motor, the controller, or the like, therein. When a situation in which the electricity leaks from one of the first treating apparatus10and the second treating apparatus20occurs, it is necessary to prevent the leaked electricity from affecting the other. That is, the first treating apparatus10and the second treating apparatus20need to be electrically insulated from each other, so that electric leakage does not occur therebetween. Accordingly, an embodiment of the present disclosure may use the insulating connection member450for fastening the upper frame400of the second treating apparatus20with the first treating apparatus10, and the upper frame400may be connected to the first treating apparatus10through the insulating connection member450. The insulating connection member450may be made of the insulating material. The insulating material may be selected as various materials according to need, such as a plastic material, a rubber material, a mixed material of the plastic and the rubber, or the like. The upper frame400may have a coupling relationship with the first front panel112or the first side panels115of the first treating apparatus10or other components of the first treating apparatus10, and may be fastened to the first treating apparatus10through the insulating connection member450. That is, the insulating connection member450may insulate the upper frame400and the first treating apparatus10from each other while coupling the upper frame400with the component of the first treating apparatus10.FIGS.16and17show a state in which the upper frame400is coupled to the first side panels115of the first treating apparatus10and is insulated from the first side panels115through the insulating connection members450, according to an embodiment of the present disclosure. The insulating connection member450may be formed in various shapes, and may fasten the first treating apparatus10with the upper frame400in various fastening schemes. For example, the insulating connection member450may have the various fastening schemes, such as being formed in a hook shape, including a coupling member inserted therein, or being adhered to one face of the upper frame400. In one example, referring toFIG.17, in an embodiment of the present disclosure, in the upper frame400, lower portions of both side portions in the lateral direction Y may be respectively coupled to the second side panels125, and upper portions of the both side portions may be respectively coupled to the first side panels115through the insulating connection members450. The upper frame400may have a face parallel to the front face of the second front panel122, and may extend in the lateral direction Y, so that at least portions of the both side portions in the lateral direction Y may be arranged in front of the second side panels125. The lower portions of the both side portions of the upper frame400may be respectively coupled to the second side panels125, and the upper portions of the both side portions may be respectively coupled to the first side panels115. That is, the both sides in the lateral direction Y of the upper frame400may be coupled together to the first front panel112of the first treating apparatus10and the second front panel122of the second treating apparatus20. The coupling scheme of the upper frame400may be various. For example, a hook may be formed on the upper frame400and coupled to the first front panel112and/or the second front panel122, and the upper frame400may be coupled to the first front panel112and/or the second front panel122through the coupling member such as a screw or the like as shown inFIG.17. In one example,FIG.17shows each insulating connection member450that couples the upper frame400and each first side panel115with each other, andFIG.18shows a perspective view of the insulating connection member450. In addition,FIG.19is a cross-sectional view showing the coupling structure of each first side panel115, the insulating connection member450, and the upper frame400. Referring toFIGS.17to19, in an embodiment of the present disclosure, each insulating connection member450may include a first fastening hole460and a second fastening hole470defined therein. The first fastening hole460may be coupled to the first side panel115, the second fastening hole470may be coupled to the upper frame400, and the second fastening hole470may insulate the upper frame400and the first side panel115from each other. Specifically, the insulating connection member450may have the first fastening hole460and the second fastening hole470connected to each other. The insulating connection member450may be fixed to the first side panel115as the first fastening hole460is coupled to the first side panel115. In addition, for example, the upper portions of the both side portions of the upper frame400may be respectively coupled to the second fastening holes470, and thus, the upper frame400may be fixed to the insulating connection members450. That is, the upper frame400is fixed to the insulating connection members450, and the insulating connection members450are respectively fixed to the first side panels115, thereby the fixing structure of the coupling structure between the upper frame400and the first side panel115may be formed. The coupling scheme between the first fastening hole460and the first side panel115and the coupling scheme between the second fastening hole470and the upper frame400may be variously determined as needed. For example, a fitting coupling, a hook coupling, or the like may be used, or the coupling relationship may be formed through a fastening member penetrating the insulating connection member450as shown inFIGS.18and19. The upper frame400is coupled to the first side panels115through the insulating connection members450made of the insulating material, so that the insulation between the upper frame400and the first side panels115may be achieved by the insulating connection members450. For example, as shown inFIG.19, the second fastening hole470of the upper frame400may be positioned between the upper frame400and the first side panel115to prevent direct contact between the upper frame400and the first side panel115, thereby insulating the upper frame400and the first side panel115from each other. In one example, the first fastening hole460may extend upward from the second fastening hole470coupled with the upper frame400, and the upper frame400coupled to the second fastening hole470may be fastened to the first side panel115through the first fastening hole460. The both side portions of the upper frame400extending upward from the second treating apparatus20are respectively coupled to the second fastening holes470, and the first fastening holes460are respectively coupled to the first side panels115while being respectively coupled to the second fastening holes470, so that the first fastening hole460may be located above the second fastening hole470. That is, the first fastening hole460located at an upper portion of each insulating connection member450may be coupled to each first side panel115, and the second fastening hole470located at a lower portion of each insulating connection member450may be coupled to the upper frame400while facing each side portion of the upper frame400. In other words, the first fastening hole460may be defined extending upward from the second fastening hole470, and the second fastening hole470may be defined extending downward from the first fastening hole460. In one example, the laundry treating apparatus1according to an embodiment of the present disclosure may further include a first fastening member462and a second fastening member472. The first fastening member462may penetrate the first fastening hole460and the first side panel115together to fasten the first fastening hole460with the first side panel115, and the second fastening member472may penetrate the upper frame400and be inserted into the second fastening hole470to fasten the upper frame400with the second fastening hole470. The second fastening hole470may insulate the second fastening member472and the first side panel115from each other. Specifically, each insulating connection member450may be coupled to each first side panel115and the upper frame400through each first fastening member462and each second fastening member472. The first fastening member462may be formed in a shape of a screw, a rivet, or the like, and may penetrate the first fastening hole460and the first side panel115together. Because the first fastening member462is not directly in contact with the upper frame400, the first fastening hole460does not need to insulate the first fastening member462and the first side panel115from each other. In one example, the upper frame400may be penetrated by the second fastening member472, and the second fastening member472penetrated the upper frame400may be coupled to the second fastening hole470of the insulating connection member450. In this connection, the second fastening hole470may be defined to insulate the second fastening member472and the first side panel115from each other. The second fastening member472that may penetrate the upper frame400and may be in contact with and electrically connected to the upper frame400needs to be electrically insulated from the first side panel115. Accordingly, the insulating connection member450according to an embodiment of the present disclosure may be constructed such that the second fastening hole470insulates the second fastening member472and the first side panel115from each other. There may be various schemes of insulating, by the second fastening hole470, the second fastening member472and the first side panel115from each other. For example, the second fastening hole470may be disposed in front of the first side panel115, and the second fastening member472may be inserted into and coupled to only the second fastening hole470excluding the first side panel115in the state of penetrating the upper frame400, so that the second fastening member472may be insulated from the first side panel115. Alternatively, as shown inFIGS.18to19, the second fastening hole470may be defined to surround the second fastening member472to insulate the first side panel115and the second fastening member472from each other. In one example, as shown inFIGS.17to19, in an embodiment of the present disclosure, the second fastening hole470may include a fastening insulating portion474. The fastening insulating portion474may extend to penetrate the first side panel115, at least a portion of the second fastening member472may be inserted into the fastening insulating portion474, and the fastening insulating portion474may insulate the second fastening member472and the first side panel115from each other. The fastening insulating portion474may be formed in a hollow shape, and may extend along an insertion direction of the second fastening member472to penetrate the first side panel115. That is, the second fastening member472may be constructed to penetrate the upper frame400and the first side panel115together, but a portion of the second fastening member472penetrating the first side panel115may be surrounded by the fastening insulating portion474. The second fastening member472penetrated the upper frame400may penetrate the second fastening hole470and may be inserted into and coupled to the fastening insulating portion474. The second fastening member472may penetrate the upper frame400or the first side panel115in the longitudinal direction like the screw or the rivet. The second fastening member472may be coupled to the second fastening hole470while having a length of penetrating the first side panel115together with the upper frame400by the fastening insulating portion474, so that the coupling force may be improved. Because the second fastening member472is eventually inserted into and coupled to the fastening insulating portion474of the second fastening hole470, the insulation between the first side panel115and the second fastening member472may be achieved. Accordingly, the upper frame400that may be electrically connected to the second fastening member472may be insulated from the first side panel115. The fastening insulating portion474may be formed in a shape in which an extended end thereof is sealed to receive the second fastening member472in the fastening insulating portion474, or in a shape in which the extended end is opened and an end of the second fastening member472is exposed to the outside of the fastening insulating portion474. The fastening insulating portion474may be constructed to surround at least a portion of the second fastening member472that is positioned parallel to the first side panel115to receive at least a portion of the second fastening member472therein, and may insulate the first side panel115and the second fastening member472from each other. The first fastening member462and the second fastening member472may be separated apart from each other to be electrically separated from each other. In one example, in an embodiment of the present disclosure, each first side panel115may include the front end bending portion116at the front end thereof. The fastening insulating portion474may penetrate the front end bending portion116. Specifically, the front end of each first side panel115may be located adjacent to the first front panel112, and the front end bending portion116of each first side panel115may extend in the lateral direction Y from the front end of each first side panel115. That is, the front end bending portion116of each first side panel115may be disposed parallel to the front face of the first front panel112. The first side panel115disposed on one side in the lateral direction Y of the first treating apparatus10may include the front end bending portion116extending toward the other side in the lateral direction Y, and the first side panel115disposed on the other side in the lateral direction Y of the first treating apparatus10may include the front end bending portion116extending toward said one side in the lateral direction Y. That is, the front end bending portion116of the first side panel115may extend from a front end of a side face of the first side panel115forming the side face of the first treating apparatus10to the interior of the first treating apparatus10. The front end bending portion116may be formed by being bent or curved at the side face of the first side panel115. The front end bending portion116may have a face parallel to the first front panel112, and the first fastening member462and the second fastening hole470may have lengths in the front and rear direction X and may penetrate the front end bending portion116along the front and rear direction X. The insulating connection member450and the upper frame400may be located in front of the front end bending portion116of the first side panel115, and the fastening insulating portion474may extend rearwardly from the second fastening hole470to penetrate the front end bending portion116. That is, as shown inFIG.19, in an embodiment of the present disclosure, the second fastening member472may penetrate the upper frame400, the second fastening hole470, and the front end bending portion116of the second side panel125in order. At least the portion of the second fastening member472may be inserted into the fastening insulating portion474of the second fastening hole470and may be coupled to the second fastening hole470. The second fastening hole470has the fastening insulating portion474penetrating the second side panel125, so that the coupling force between the insulating connection member450and the first side panel115may be strengthened, and the coupling force between the second fastening member472and the second fastening hole470may also be strengthened, thereby improving the structural stability. In one example, as shown inFIG.17, in an embodiment of the present disclosure, the second front panel122may further include an upper end fastening portion124. The upper end fastening portion124may be penetrated together with the upper frame400by the second fastening member472to be fastened to the second fastening hole470.FIG.19shows a coupling structure from which the upper end fastening portion124is omitted. Referring toFIG.17, the upper end fastening portion124may be disposed above the second front panel122, and the upper end fastening portion124may be disposed on the upper end bending portion123of the second front panel122. That is, the upper end fastening portion124may have a shape extending upward from a rear end of the upper end bending portion123extending rearward from the upper end of the second front panel122. The upper end fastening portion124may be overlapped with the upper frame400and the insulating connection member450in the front and rear direction X, and the second fastening member472may penetrate the upper end fastening portion124of the second front panel122, the upper frame400, the insulating connection member450, and the first side panel115in order and be coupled to the second fastening hole470of the insulating connection member450. In one example, the upper end fastening portion124may be electrically connected to the second fastening member472and the upper frame400. Because the second front panel122forms a coupling relationship with each first side panel115by the upper end fastening portion124, the fixing force of the second front panel122is improved, and at the same time, the fastening structure between the first treating apparatus10and the second treating apparatus20is also reinforced, which are advantageous. In one example, as shown inFIG.17, in an embodiment of the present disclosure, the lower frame300of the first treating apparatus10is coupled to each first side panel115together with the upper frame400. Portions of the lower frame300and the upper frame400connected to each first side panel115may be spaced apart from each other, so that the lower frame300and the upper frame400may be electrically separated from each other. For example, a position of the portion of the upper frame400coupled to the first side panel115, that is, the insulating connection member450is located below a position of the portion of the lower frame300coupled to the first side panel115, so that the upper frame400may be spaced apart from the lower frame300. Accordingly, at the same time when the coupling between the lower frame300and the first side panel115is achieved, the coupling between the upper frame400and the first side panel115is achieved. In addition, the upper frame400is coupled to the first side panel115through the insulating connection member450and is spaced apart from and electrically separated from the lower frame300. Thus, not only the structural stability of each of the first treating apparatus10and the second treating apparatus20, but also the fastening force between the first treating apparatus10and the second treating apparatus20is effectively improved, so that the electrical insulation therebetween may be effectively achieved. In one example,FIG.20shows a view of the laundry treating apparatus1according to an embodiment of the present disclosure viewed from the rear, andFIG.21shows a rear bracket480connecting the first treating apparatus10and the second treating apparatus20with each other at the rear. As shown inFIGS.20and21, in an embodiment of the present disclosure, the first treating apparatus10may have the first rear panel118disposed on the rear face thereof, and the second treating apparatus20may have the second rear panel128disposed on the rear face thereof. In addition, the rear bracket480made of an insulating material and fastening the first rear panel118and the second rear panel128with each other may be further included. As described above, the first treating apparatus10and the second treating apparatus20may be electrically insulated from each other and coupled to each other by the insulating connection member450and the upper frame400at the front side. Further, the first treating apparatus10and the second treating apparatus20may be electrically insulated from each other and be coupled to each other through the rear bracket480at the rear side. The first treating apparatus10and the second treating apparatus20are manufactured separately from each other, and then stacked together and installed to be used together. Therefore, in order to secure the structural stability of the laundry treating apparatus1according to an embodiment of the present disclosure, structural fastening between the first treating apparatus10and the second treating apparatus20stacked together may be required. Further, as described above, the first treating apparatus10and the second treating apparatus20respectively include electricity consuming devices independent of each other, such as the components like the motors, the controllers, or the like, so that it may be advantageous that the first treating apparatus10and the second treating apparatus20are electrically insulated from each other. Accordingly, in an embodiment of the present disclosure, the first treating apparatus10and the second treating apparatus20may be fastened to each other through the upper frame400and the insulating connection member450at the front face of the first treating apparatus10and the second treating apparatus20stacked together, and may be fastened to each other through the rear bracket480at the rear side. The rear bracket480may be made of the insulating material. For example, the rear bracket480may be made of the insulating material, such as a plastic material, a rubber material, or a synthetic material of the plastic and the rubber. The rear bracket480may have a length parallel to the lateral direction Y, and may have a length corresponding to a width in the lateral direction Y of the first treating apparatus10or the second treating apparatus20. The rear bracket480may be coupled with the first rear panel118of the first treating apparatus10and the second rear panel128of the second treating apparatus20together to fasten the first rear panel118and the second rear panel128with each other. There may be various coupling schemes between the rear bracket480, the first rear panel118, and the second rear panel128. For example, the rear bracket480may be coupled to the first rear panel118and the second rear panel128together through screws, rivets, or the like, or the hook coupling or the fitting coupling scheme may be used. In one example,FIG.22is a cross-sectional view of the rear bracket480coupled to the first rear panel118and the second rear panel128viewed from the side. Referring toFIG.22, the rear bracket480may fasten the first rear panel118and the second rear panel128with each other as an upper portion of the rear bracket480is coupled to the first rear panel118and a lower portion of the rear bracket480is coupled to the second rear panel128. In this connection, a front face481facing forward of the rear bracket480may have an upper end482in contact with the first rear panel118, and a lower end483in contact with the second rear panel128. That is, in the rear bracket480, the upper end482of the front face481may support the first rear panel118from the rear, and the lower end483of the front face481may support the second rear panel128from the rear. In one example, as shown inFIG.22, in an embodiment of the present disclosure, the first rear panel118may be located forward of the second rear panel128, and the front face481of the rear bracket480may be formed in a stepped manner such that the upper end482supporting the first rear panel118is located forward of the lower end483supporting the second rear panel128. In an embodiment of the present disclosure, the first rear panel118and the second rear panel128may be arranged to be spaced apart from each other in the front and rear direction X. For example, the first rear panel118may be located forward or rearward of the second rear panel128. A positional relationship of the first rear panel118to the second rear panel128may be determined from a design difference between the first treating apparatus10and the second treating apparatus20. For example, when a length in the front and rear direction X of the first treating apparatus10is larger than that of the second treating apparatus20, the first rear panel118may be located rearward of the second rear panel128. When the length in the front and rear direction X of the first treating apparatus10is smaller than that of the second treating apparatus20, the first rear panel118may be located forward of the second rear panel128. Alternatively, for an assembly advantage for stacking the first treating apparatus10on the second treating apparatus20, the first rear panel118may be positioned in front of the second rear panel128. For example, in the process of assembling the laundry treating apparatus1according to an embodiment of the present disclosure, when the second treating apparatus20is placed in an installation region and then the first treating apparatus10is lifted on the second treating apparatus20, the first treating apparatus10may slide rearward from a position in front of the second treating apparatus20and may be disposed on the second treating apparatus20. In this process, the rear bracket480may be installed in advance on the second rear panel128of the second treating apparatus20, and the first treating apparatus10may be disposed at an appropriate assembly position while a distance of sliding rearward is limited by the rear bracket480. In an embodiment of the present disclosure, the length in the front and rear direction X of the first treating apparatus10may be smaller than that of the second treating apparatus20, or at least the lower portion of the first rear panel118may be located forward of the second rear panel128such that a stopper role of the rear bracket480may be achieved. The front face481of the rear bracket480may have the stepped shape as shown inFIG.22such that the upper end482of the front face481that forwardly supports the lower portion of the first rear panel118is located forward of the lower end483that forwardly supports the upper portion of the second rear panel128. When the lower portion of the first rear panel118is located rearward of the second rear panel128, the front face481of the rear bracket480may have the stepped shape such that the upper end482is positioned rearward of the lower end483. As the front face481of the rear bracket480has the stepped shape as above, the rear bracket480may be coupled to the first rear panel118and the second rear panel128and fasten the first rear panel118and the second rear panel128with each other while allowing a positional difference between the first rear panel118and the second rear panel128, and a support structure for supporting the first rear panel118of the first treating apparatus10located on the second treating apparatus20from the rear may be stably realized. In one example, referring toFIGS.21and22, the laundry treating apparatus1according to an embodiment of the present disclosure may have a handle485on the rear bracket480. Specifically, the handle485opened downward may be disposed on the lower portion of the rear bracket480. The handle485may have a shape of a groove that is open downward and recessed upward. The groove of the handle485may be defined such that the user may easily grip the handle485by putting a finger into the groove. There may be various positions and shapes of the handle485, andFIGS.21and22show the handle485that forms a portion of the lower portion of the rear bracket480and is opened downward to be gripped by the user, according to an embodiment of the present disclosure. In one example,FIG.23shows the first bottom panel119of the first treating apparatus10according to an embodiment of the present disclosure. Referring toFIG.1, in an embodiment of the present disclosure, the first treating apparatus10may further include the first bottom panel119made of an insulating material. The first bottom panel119may be disposed on the bottom face of the first treating apparatus10, supported by the second treating apparatus20, and may be made of the insulating material to be insulated from the second treating apparatus20. In the first treating apparatus10, the first bottom panel119may be located on the second treating apparatus20, and the second treating apparatus20may upwardly support the first bottom panel119of the first treating apparatus10. That is, the first bottom panel119may be in contact with the top face of the second treating apparatus20. In one example, the first bottom panel119may be made of the insulating material. For example, the first bottom panel119may be made of a plastic material, a rubber material, or a synthetic material of the plastic, the rubber, and the like. As the first bottom panel119in contact with and supported by the second treating apparatus20is made of the insulating material, the first treating apparatus10may be electrically insulated from the second treating apparatus20. That is, as described above, in an embodiment of the present disclosure, the front faces of the first treating apparatus10and the second treating apparatus20may be fastened to each other in a state electrically insulated from each other by the upper frame400, the insulating connection member450, and the like, and the rear faces of the first treating apparatus10and the second treating apparatus20may be fastened to each other in a state electrically insulated from each other by the rear bracket480. Furthermore, the first bottom panel119of the first treating apparatus10may be made of the insulating material and supported on the second treating apparatus20, so that the first treating apparatus10and the second treating apparatus20may be electrically separated from each other and may be stably fastened to each other. In the second treating apparatus20, the second top panel may upwardly support the first bottom panel119of the first treating apparatus10, or the second side panel125may upwardly support the first bottom panel119. In one example,FIG.24shows a state in which an insulating support490is disposed between the bottom face of the first treating apparatus10and the top face of the second treating apparatus20. Referring toFIG.24, in an embodiment of the present disclosure, the insulating support490that supports the bottom face of the first treating apparatus10from the top face of the second treating apparatus20, and is made of an insulating material to insulate the first treating apparatus10and the first treating apparatus10from each other may be further included. The insulating support490may protrude downward from the bottom face of the first treating apparatus10, may protrude upward from the top face of the second treating apparatus20, or may be manufactured separately from the first treating apparatus10and the second treating apparatus20and disposed between the first treating apparatus10and the second treating apparatus20. The insulating support490may be disposed beneath the first bottom panel119or the first side panel115of the first treating apparatus10, and the insulating support490may be disposed in a state in which the first bottom panel119of the first treating apparatus10is omitted. In addition, the insulating support490may be disposed on a front face of the second top panel or the second side panel125of the second treating apparatus20, or may have a structure in which the second top panel of the second treating apparatus20is omitted. In addition, the insulating support490may be disposed on a lower end of the first bottom panel119or the first side panel115of the first treating apparatus10, and the second top panel may be omitted in the second treating apparatus20, so that the insulating support490may be supported upward by the second side panel125of the second treating apparatus20. The insulating support490may be formed in various shapes, and may be made of plastic or rubber, or a synthetic material of the plastic, the rubber, and the like. As the first treating apparatus10is supported upward on the second treating apparatus20by the insulating support490, in an embodiment of the present disclosure, the stable structure in which the first treating apparatus10and the second treating apparatus20are stacked together but are electrically insulated from each other may be realized. Although the present disclosure has been illustrated and described in relation to a specific embodiment, it is understood that the present disclosure may be variously improved and changed within the scope of the technical idea of the present disclosure provided by the following claims. It will be obvious to those of ordinary skill in the industry. | 104,707 |
11859327 | MODES OF THE INVENTION Like reference numerals refer to like elements throughout the specification. Not all elements of exemplary embodiments of the disclosure will be described, and description of what are commonly known in the art or what overlap each other in the embodiments will be omitted. The terms as used throughout the specification, such as “˜part,” “˜module,” “˜member,” “˜block,” etc., may be implemented in software and/or hardware, and a plurality of “˜parts,” “˜modules,” “˜members,” or “˜blocks” may be implemented in a single element, or a single “˜part,” “˜module,” “˜member,” or “˜block” may include a plurality of elements. It will be understood that when an element is referred to as being “connected” to another element, it can be directly or indirectly connected to the other element, wherein the indirect connection includes “connection” via a wireless communication network. Also, when a part “includes” or “comprises” an element, unless there is a particular description contrary thereto, the part may further include other elements, not excluding the other elements. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. An identification code is used for the convenience of the description but is not intended to illustrate the order of each step. Each of the steps may be implemented in an order different from the illustrated order unless the context clearly indicates otherwise. The principle and exemplary embodiments of the present disclosure will now be described with reference to the accompanying drawings. FIG.1is a view illustrating a system according to an embodiment. Referring toFIG.1, a system5may include a washing machine1, a user terminal2, and a server3. Each component of the system5may be connected to each other through wired and wireless communication. The washing machine1may communicate with the user terminal2and the server3through the wired and wireless communication. The washing machine1may receive a setting value transmitted by the user terminal2or the server3. The washing machine1may change a preset initial value based on the received setting value. The user terminal2may remotely control the washing machine1. Particularly, the user terminal2may control an operation of the washing machine1after pairing with the washing machine1. In addition, the user terminal2may receive a usage history from the washing machine1, and may transmit the usage history received to the server3. The server3may collect the usage history transmitted by the washing machine1or the user terminal2. In addition, the server3may analyze a fault history that may occur in the washing machine1based on the collected usage history. For example, the server3may analyze the fault history of the washing machine1only through a rule engine. That is, the server3may analyze an individual fault history based on the usage history of each of the washing machines1, rather than providing a solution through a consistent fault history and a predetermined scenario of a manufactured product. The server3may transmit the fault history and the solution to a service center4. The fault history and the solution may be divided into two cases, which can be solved by an engineer visiting and that can be solved by changing the setting value. When the user requests the service according to a fault of the washing machine1, the service center4may transmit the solution analyzed by the server3to the user. When the inquired fault history can be solved only by changing the setting value, the service center4may instruct the change of the setting value of the washing machine1through the server3. This may prevent unnecessary dispatch of the engineer. The user terminal2may be implemented as a computer or a portable terminal capable of connecting to the washing machine1through a network. The computer may include, for example, a notebook, a desktop, a laptop, a tablet PC, a slate PC, and the like, each of which is equipped with a WEB Browser. The user terminal2may include, for example, a wearable device such as a Personal Communication System (PCS), or the like as a wireless communication device that is guaranteed to be portable and mobile. The portable terminal may be any type of handheld-based wireless communication device such as a Personal Communication System (PCS), Global System for Mobile communications (GSM), Personal Digital Cellular (PDC), Personal Handyphone System (PHS), Personal Digital Assistant (PDA), International Mobile Telecommunications (IMT)-2000, Code Division Multiple Access (CDMA)-2000, W-Code Division Multiple Access (W-CDMA), Wireless Broadband (WiBro) Internet terminal, a vehicle smart key, a smart phone, etc., a wearable device such as a watch, a ring, a bracelet, an anklet, a necklace, a pair of glasses, and contact lens, or a head-mounted-device (HMD). In the above, as an example of the system5, the case including the washing machine1, the user terminal2, and the server3has been described. However, it is not necessary to include all components, and the washing machine1is provided through the network. When it is instructed to change the setting value and the washing machine1can change the setting value, it is sufficient and there is no limit. Hereinafter, various configurations of applying the setting value changed by the washing machine1throughFIGS.2to4will be described. FIG.2is a perspective view illustrating a washing machine according to an embodiment, andFIG.3is a front view of the washing machine according to an embodiment. In order to avoid overlapping description, it describes together below. Referring toFIGS.2and3, the washing machine1is a drum washing machine. However, the embodiment of the washing machine1is not necessarily limited to the drum washing machine. The washing machine1may include a main body10forming an exterior, a tub13installed inside the main body10and storing wash water, and a cylindrical drum14rotatably installed inside the tub13and having a plurality of dehydration holes14aformed on a wall thereof. A display121may be provided at an upper end of a front surface11of the main body10to display information related to a washing process and the usage history of the washing machine1. In addition, various keys15for turning on/off a power of the washing machine1or receiving a user's control command may be provided at an upper right side of the front surface11. The front surface11of the main body10may be formed an opening11a so that laundry for washing can be put into or taken out of the tub13and the drum14, and may be provided a door12to open and close the opening11a of the main body10. The opening11a may be provided with a door installation part20to allow the door12to be seated to close the opening11a. The door installation part20may be provided in a shape corresponding to a frame forming a rear surface of the door12. In addition, the door installation part20may be provided in a circular ring shape in the center, and may include a detergent box30formed by partially cutting the bottom surface of the door installation part20on which the rear of the door12may be seated. The detergent box30may store a predetermined amount of detergent, and transmit the input amount set through a detergent input motor132which will be described later to the drum14. The washing machine1may adjust a detergent input amount of the detergent box30based on the setting value transmitted from the user terminal2or the server3. The door installation part20may be provided with a lighting device40for visually displaying a washing progress state of the start, operation and end of the washing. The door12may be surface-treated with a chromium material having a high reflectance so as to enhance a reflection and diffusion effect of light emitted from the lighting device40. In addition, the door12may include a glass provided to be inserted into the main body10in the center of the frame provided to form the exterior. The user may identify the inside of the drum14through the light emitted by the lighting device40. The lighting device40may emit light by a LED module122described later. In particular, the washing machine1may switch the operation of the lighting device40based on the setting value transmitted from the user terminal2or the server3. A handler12amay be provided on an upper right side of the door12to allow the user to open and close the door12by holding the door12. The lighting device40may guide a position of the handler12athrough the light emitted. The door installation part20may be provided with a door switch150for detecting the opening and closing of the door12and locking the door12to prevent opening and closing of the door12during the operation of the washing machine1. The door switch150may switch the operation based on the setting value transmitted from the user terminal2or the server3. FIG.4is a side view of a washing machine according to an embodiment. Referring toFIG.4, the inside of the main body10may include the tub13, the drum14, a water supply device17, a drainage device18, and the detergent box30. The inside of the main body may include a driving motor131for rotating the drum14and the detergent input motor132for inputting the detergent of the detergent box30into the drum14. Particularly, the tub13is fixedly installed in the main body10to accommodate the wash water supplied from the water supply device17. The outside of the tub13may be mounted with the driving motor131for rotating the drum (14). The drum14may be provided to correspond to the shape of the tub13and may be located inside the tub13. A rotating shaft131amay be provided outside the drum14. The rotating shaft131amay extend to the outside of the tub13and may be connected to the driving motor131mounted to the outside of the tub13. The drum14may rotate clockwise or counterclockwise within the tub13. The driving motor131operates during the washing process, a rinsing process, and a dehydration process under the control of a controller100described with reference toFIG.5. Particularly, when the sensing device90detects the weight of the laundry in the drum14, the driving motor131may be rotated based on a signal of the controller100. The drum14may rotate by a driving force transmitted by the driving motor131, and the laundry in the drum14is washed, rinsed, and dehydrated. The water supply device17may include a water supply pipe17aand a water supply valve17b. The water supply pipe17ais connected to a water pipe to transmit water supplied from the outside to the drum14. The water supply valve17bmay adjust the amount of water supplied under the control of the controller100. In the washing machine1, the amount of water supplied may be adjusted based on the setting values received by the user terminal2and the server3. On the other hand, when the water supply device17supplies water, the detergent provided in the detergent box30may be supplied together to the drum14by the detergent input motor132. The user may input a sufficient amount of detergent in advance of the detergent box30. The controller100may control the detergent input motor132to adjust the amount of detergent supplied to the drum14each time the washing process starts. The input amount may be changed by the user terminal2or the server3. The drainage device18may include a pump18aand a drain pipe18b. The pump18amay pump the wash water inside the tub13and the drum14during the draining and dehydrating process. The drain pipe18bmay be provided below the tub13to guide the wash water pumped by the pump18ato the outside. On the other hand, the washing machine1may include a variety of configurations in addition to, there is no limitation. FIG.5is a control block diagram of a washing machine according to an embodiment. Referring toFIG.5, the washing machine1may include an inputter80for receiving the user's control command, the sensing device90for detecting the input of the laundry, and the like, and includes a plurality of sensors, a communicator110for communicating with the outside, a display device120for displaying the washing process and other interfaces of the washing machine1, a driver130for controlling the driving motor131, a sound outputter140for outputting sound, the door switch150for performing a locking function of the door12, a storage160for storing the usage history and the setting value, and a controller100for collectively controlling the above-described configurations In detail, the inputter80may receive the user's control command. For example, the inputter80may be connected to a power button for turning on/off the power of the washing machine1and a button for starting or pausing the operation of the washing machine1to receive the control command. In addition, the inputter80may adjust the amount of detergent input into the detergent box30and may receive a locking command of the door12according to a child protection function. In addition, the inputter80may receive the control command of the sound outputter140from the user a mute function and an on/off command of the LED module122from the user for a light function. Meanwhile, the inputter80may include hardware devices such as various buttons, keyboards, track-balls, various levers, handles, sticks, or the like for user input. In addition, the inputter80may include a graphical user interface (GUI) such as a touch pad for user input, that is, a software device. The touch pad may be implemented as a touch screen panel (TSP) to form a mutual layer structure with the display. The sensing device90includes various sensors included in the washing machine1, and when laundry is input into the drum14, the sensing device90may detect the weight of the laundry. In addition, the sensing device90may detect the amount of detergent that is input into the detergent box30and the detergent moves to the drum14. The communicator110may exchange data with the user terminal2and/or the server3described inFIG.1through a network. The communicator110may transmit data on the usage history and errors of the washing machine1to the outside. In addition, the communicator110may receive the setting value transmitted by the user terminal2or the server3and transmit the setting value to the controller100. The communicator110may include one or more components that enable the aforementioned communication, for example, at least one of a short-range communication module, a wired communication module, and a wireless communication module. The short-range communication module may include various short-range communication modules for transmitting and receiving signals within a short range over a wireless communication network, such as a Bluetooth module, an infrared communication module, a radio frequency identification (RFID) communication module, a wireless local access network (WLAN) communication module, a near field communication (NFC) module, a Zigbee communication module, etc. The wired communication module may include not only one of the various wired communication modules, such as a local area network (LAN) module, a wide area network (WAN) module, or a value added network (VAN) module, but also one of various cable communication modules, such as a universal serial bus (USB), a high definition multimedia interface (HDMI), a digital visual interface (DVI), recommended standard (RS) 232, a power cable, or a plain old telephone service (POTS). The wireless communication module may include a wireless fidelity (WiFi) module, a wireless broadband (WiBro) module, and/or any wireless communication module for supporting various wireless communication schemes, such as a global system for a mobile communication (GSM) module, a code division multiple access (CDMA) module, a wideband code division multiple access (WCDMA) module, a universal mobile telecommunications system (UMTS), a time division multiple access (TDMA) module, a long-term evolution (LTE) module, etc. The wireless communication module may include a wireless communication interface including an antenna and a transmitter for transmitting a signal related to the usage history. The wireless communication module may further include a signal conversion module for converting a digital control signal output from the controller600through the wireless communication interface to an analog wireless signal under the control of the controller600. The wireless communication module may include a wireless communication interface including an antenna and a receiver for receiving a signal related to the setting value. The wireless communication module may further include a signal conversion module for converting an analog wireless signal received through the wireless communication interface to a digital control signal. The display device120may be an output device for connecting the washing machine1and the user, and may include the display121and the LED module122. In detail, the display device120may visually display the output device transmitted by the controller100through the display121. For example, when the operation of the washing machine1is the dehydrating process, the display121may display an icon related to the dehydrating process and a time taken for the dehydrating process. The display121may induce the user by displaying an icon or text related to a function that the washing machine1may perform, for example, a detergent input function, a child protection function, the mute function, a light function, and an anti-icing function. A detailed description thereof will be described later with reference toFIG.8. The display121may include a cathode ray tube (CRT), a digital light processing (DLP) panel, a plasma display penal, a liquid crystal display (LCD) panel, an electroluminescence (EL) panel, an electrophoretic display (EPD) panel, an electrochromic display (ECD) panel, a light emitting diode (LED) panel, or an organic light emitting diode (OLED) panel, etc., but is not limited thereto. When the display121is implemented by the TSP having the mutual layer structure with the touch pad, the display121may also be used as the inputter80. The LED module122is included in the lighting device40described above with reference toFIG.3, and visually displays the progress state of the start, operation, and end of washing under the control of the controller100using dimming. In detail, the LED module122may be turned on when the power of the washing machine1is turned on to guide the position of the handler12a. In addition, the LED module122may light up for a predetermined time at the end of the process to display the process and guide the position of the handler12a. In addition, the LED module122may blink at a constant speed or at a predetermined time interval to transmit the progress state of the washing machine1and the situation inside the drum14to the user. In addition to the display120, other visual information may be transmitted, and there is no limitation. The driver130may include the hardware device for converting an electrical force transmitted to the washing machine1. In detail, the driver130may include the driving motor131rotating the drum14and the detergent input motor132transmitting the detergent of the detergent box30to the drum14. The driving motor131may operate according to the washing, rinsing, and dehydrating process, and the operation speed and time may vary depending on the signal transmitted from the controller100. In addition, the driving motor131may be driven under the control of the controller100for the anti-icing function. The detergent input motor132may operate based on the input amount transmitted by the controller100before the washing process starts. That is, the amount of detergent input according to the operation time of the detergent injecting motor132is adjusted. The sound outputter140may be configured to acoustically connect the washing machine1and the user, and may output the sound, a voice guide, etc. to the user through a speaker. In detail, the sound outputter140may output various states related to the progress of a washing course and the operation of the washing machine1through various sounds. For example, when the controller100receives the setting value regarding the limitation of a sound output from the outside or receives a mute command from the user, the sound outputter140may reduce or turn off the volume of the output sound. The door switch150may lock the door12as described with reference toFIGS.2and3. Particularly, the door switch150may lock so that the door12cannot be opened when the washing process starts. When the washing process starts in the washing machine1, water may be supplied into the drum14. When the user opens the door12without inputting a pause or the like, the water supplied to the drum14may be poured out. To prevent this, the door switch150may lock the door12according to the signal of the controller100. The door switch150may switch the locking on/off based on the setting value received by the communicator110. The storage160may stores various data processed by the controller100. In detail, the storage160may store an initial setting value required for the operation of each component of the washing machine1. When communicator110transmits a new setting value, the storage160may replace the stored initial setting value with the received setting value and store the received setting value. The newly stored setting value may be transmitted under the control of the controller100and may be reflected in a next operation. In addition, the storage160may store the usage history of the washing machine1, and transmit the stored usage history to the communicator110in accordance with a request signal of the server3. The transmitted usage history may be used by the server3to come up with the solution in response to the user's inquiry about the fault. The storage160may be implemented with at least one of a non-volatile memory device, such as a cache, Read Only Memory (ROM), Programmable ROM (PROM), Erasable Programmable ROM (EPROM), and Electrically Erasable Programmable ROM (EEPROM), a volatile memory device, such as Random Access Memory (RAM), or a storage medium, such as Hard Disk Drive (HDD) and Compact Disk (CD) ROM, without being limited thereto. The storage160may be the memory implemented as a chip separate from the processor such as the controller100, and may be implemented as the single chip with the processor. The controller100may be a microcomputer that controls the overall operation of the washing machine1such as the washing, rinsing, and dehydration according to the control command received through the inputter80, which controls the display device120, the door switch150, and other loads (e.g., the pump, the valve, and a heater) to perform a washing program. During the washing program, the controller100may store the usage history including the collected usage count and the fault history according to an occurrence of an error to the storage160. The controller100may change the initial setting value or previously stored setting value of each of the above-described components based on a signal according to the setting value change from the communicator110. The controller100may controls each component based on the changed setting value. Through this, the user may easily change the operation of the washing machine1suspected of the fault, and the manufacturer may benefit an economic time by reducing the number of engineer visits. Meanwhile, the controller100may be implemented with a memory storing an algorithm to control operation of the components in the washing machine1or data about a program that implements the algorithm, and a processor carrying out the aforementioned operation using the data stored in the memory. The memory and the processor may be implemented in separate chips. Alternatively, the memory and the processor may be implemented in a single chip. The washing machine1may further include other components in addition to the components described with reference toFIG.5, and there is no limitation. FIG.6is a flowchart illustrating an operation of a washing machine according to an embodiment. Referring toFIG.6, the communicator110may transmit the setting value and the usage history currently stored in the storage160to the server3(200). An object to which the communicator110transmits data is not necessarily limited to the server3. For example, the user terminal2may receive the data transmitted by the communicator110and transmit the data to the server3. That is, the communicator110may transmit the stored setting value and usage history to the outside of the washing machine1. Thereafter, the communicator110may receive the signal about changing the setting value from the server3(210). The server3may determine the solution regarding the user's fault inquiry based on a current setting value and the usage history of the washing machine1. The solution is that the manufacturer's engineer may visit in to replace the configuration, but in some cases it can be solved only by changing the setting value. In the latter case, the server3may transmit the signal regarding the change of setting value to the washing machine1through the network. On the other hand, the object to which a setting value change signal is transmitted to the communicator110is not necessarily limited to the server3, and a configuration having an authority to change the setting value of the washing machine1such as the user terminal2is sufficient. The controller100may receive the change signal transmitted from the communicator110(220). The controller100may determine whether to change the setting value based on the change signal transmitted from the communicator110. In detail, the controller100may determine whether the signal is authorized to change the setting value of the washing machine1. When the signal includes a change authority, the controller100may determine whether to change the setting value for which function of the washing machine1. When the setting value is changed, the controller100may store the changed setting value in the storage160(230). The controller100may newly store the setting value before the change as the usage history. The controller100may control the washing machine1based on the changed setting value (240). To this end, the manufacturer may remotely adjust the setting value of the washing machine1, so there is no need to dispatch the engineer for each inquiries, so that the economic and time benefits may be obtained. The user may also easily adjust the washing machine1through the user terminal2or the like, thereby increasing the convenience and satisfaction of the user. A method or a function of controlling the washing machine1may vary, and a description thereof will be described later with reference toFIG.8. FIG.7is a flowchart illustrating a determination process for determining to change a setting value in a server. Referring toFIG.7, the server3may identify a service inquiry and the fault history (300). The service inquiry may be a consultation according to a telephone call or a visit of the user and a counselor of the service center4provided by the manufacturer, and may include the stored consultation contents. The fault history may be a question of the user and may include the fault history of the washing machine1considered by the user. The server3may classify the consultation contents and the fault history and receive the usage history and the current setting value from the washing machine1(310). The server3does not determine the engineer's visit by a program written consistently according to a product type of the washing machine1. That is, the server3may seek the solution regarding the fault history based on the situation of the individual washing machine1. On the other hand, as described above, the usage history and the current setting value may be made through a communication network. The server3may determine whether the setting value change is necessary (320). That is, the current fault contents of the washing machine1may be a serious fault requiring the engineer's visit, and may be the fault that can be easily solved only by changing the initial setting value. For example, the user may not be aware of the function of adjusting the input amount of the washing machine1to automatically input the predetermined amount of detergent. The user may apply for counselling after determining that washing is not performed smoothly due to lack of the detergent amount in several washing processes. The server3may identify the number of operations and the currently stored setting value of the usage history of the washing machine1through the communication. The server3may determine that it is necessary to change the input amount of the detergent amount. The server3may instruct the washing machine1to change the setting value (330). The changed setting value may be directly transmitted by the server3to the washing machine1, and may transmit a guide on the change and the control signal to the user terminal2together with and finally transmit a command regarding the change of the setting value to the washing machine1. When the fault is not solved only by changing the setting value, the server3may determine the engineer's visit (340). FIG.8is a view illustrating a function of changing a setting value from the outside of a washing machine according to an embodiment. Referring toFIG.8, the washing machine1may perform the detergent input function, the child protection function, the mute function, the light function, and the anti-icing function. Particularly, the detergent input function may be a function used for washing process by inputting a predetermined input amount of a large amount of detergent prepared in advance in the detergent box. That is, the controller100may operate the detergent input motor132on the basis of a preset input amount to input the detergent into the washing process. In the detergent input function, the setting value stored in the storage160may be the amount of detergent input. That is, when the communicator110receives the setting value change, the controller100may change the amount of detergent input. The child protection function may be the locking function that prevents the door12from being opened by a person who lacks cognitive ability such as a child while the drum14rotates, such as the washing, rinsing, and dehydration. The controller100may change on/off, that is, setting and release of the child protection function according to the setting value change signal received from the outside. The mute function may be a function in which the washing machine1limits the sound output of the sound outputter140for communicating with the user. The washing machine1may limit the output of the sound outputter140in addition to the on/off of hardware buttons provided by the inputter80or the touch of the touch screen. The light function may be a function of emitting light so that the washing machine1can identify the situation inside the drum14through a glass provided in the door12. As a specific example, the controller100may control the LED module122provided in the door installation part20to perform the light function. On the other hand, the user can make the fault inquiry on the ground that the light of the washing machine1does not come on without identifying the light function guided by the manual. The server3may identify the usage history and the current light function off, and transmit the setting value for turning on the light function to the washing machine1. The anti-icing function may be a function in which the controller100operates the driving motor131for a preset reservation time in order to prevent the drum14or the water supply device17of the washing machine1from freezing. The washing machine1may change the drive time for preventing frost and the setting value for setting/release, based on an external signal. Meanwhile, the disclosed example is not limited to the function described inFIG.8or the name of the function, and may include various functions and setting values. FIG.9is a flowchart illustrating a determination process of changing a setting value related to a detergent input function. According to the user's fault inquiry may be subjected to the determination process as illustrated inFIG.9. In detail, the washing machine1may determine whether the detergent box30is closed (400). Recognizing the closing of the detergent box30may depend on the detecting result of the sensing device90provided in the washing machine1. The detection result may be determined based on the usage history transmitted by the washing machine1. When the washing machine1does not recognize the closing of the detergent box30, the server30may determine that it cannot be solved by changing the setting value, and may determine the engineer's visit (423). However, when the washing machine1recognizes that the detergent box30is closed, the server30may identify whether the amount of detergent input into the detergent box30is less than or equal to a reference value (410). Here, the reference value may refer to a minimum amount of detergent for the detergent input motor132to operate. When the amount of detergent as a reference is insufficient, the washing machine1may not input the detergent in the washing process, and the user may determine this as the fault of the washing machine1. However, the fault may be solved by changing the reference value of the amount of detergent input as the reference to the operation of the washing machine1. The washing machine1may solve the fault inquiry by changing the setting value of the initial detergent amount received from the outside (420). On the other hand, when the amount of detergent more than the reference value is provided in the detergent box30, the server3may identify through the usage history whether there is an error signal of the detergent input motor132(411). When there is no an error history of the detergent input motor132, the server3may identify the type of detergent to be used (421). For example, the washing machine1may not be used a high concentration detergent. That is, even if there is no error in the detergent input motor132, when the detergent is the high concentration detergent, the detergent may not be input. The server3may solve the fault inquiry by identifying the type of detergent to the user. When the error of the detergent input motor132is identified, the server3may determine the engineer's visit (423). That is, since the washing machine1can be controlled based on the setting value remotely transmitted from the server3, that is, the external service center4, it is possible to reduce the engineer's visit, thereby improving the convenience of the user and the economic benefit of the manufacturer. | 34,877 |
11859328 | DETAILED DESCRIPTION Reference now will be made in detail to embodiments of the invention, one or more examples of which are illustrated in the drawings. Each example is provided by way of explanation of the invention, not limitation of the invention. In fact, it will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the scope or spirit of the invention. For instance, features illustrated or described as part of one embodiment can be used with another embodiment to yield a still further embodiment. Thus, it is intended that the present invention covers such modifications and variations as come within the scope of the appended claims and their equivalents. As used herein, terms of approximation, such as “generally,” or “about” include values within ten percent greater or less than the stated value. When used in the context of an angle or direction, such terms include within ten degrees greater or less than the stated angle or direction. For example, “generally vertical” includes directions within ten degrees of vertical in any direction, e.g., clockwise or counter-clockwise. A washing machine is illustrated inFIGS.1and2as an example of a water-using household appliance and is not intended to limit the present disclosure to washing machines. It should be understood that the present disclosure may be used with any of several various types of water-using or water-collecting appliances. As an example of a water-collecting appliance, the present disclosure may be useful for detecting overflow from a condensation pan of an air conditioner unit or a refrigerator appliance. Examples of water-using appliances, in addition to the washing machine ofFIGS.1and2, include dishwasher appliances and ice makers (either incorporated in a refrigerator appliance or stand-alone), among others. Thus, it is to be understood that the leak detecting features of the present disclosure may be incorporated into any of a variety of household appliances. It should be understood that “water,” as used herein, includes water having solutes, e.g., electrolytes, dissolved therein, such as is typically found in domestic water supply systems. FIG.1is a perspective view of a washing machine appliance50according to an exemplary embodiment of the present subject matter. As may be seen inFIG.1, washing machine appliance50includes a cabinet52and a cover54. A backsplash56extends from cover54, and a control panel58, including a plurality of input selectors60, is coupled to backsplash56. The appliance50may define a vertical direction V, a lateral direction L, and a transverse direction T. The vertical, lateral, and transverse directions V, L, and T may be mutually perpendicular, such that an orthogonal coordinate system is formed. Control panel58and input selectors60collectively form a user interface input for operator selection of machine cycles and features, and in one embodiment, a display61indicates selected features, a countdown timer, and/or other items of interest to machine users. It should be appreciated, however, that in other exemplary embodiments, the control panel58, input selectors60, and display61, may have any other suitable configuration. For example, in other exemplary embodiments, one or more of the input selectors60may be configured as manual “push-button” input selectors, or alternatively may be configured as a touchscreen on, e.g., display61. A lid62is mounted to cover54and is rotatable between an open position (not shown) facilitating access to a tub, also referred to as a wash tub,64(FIG.2) located within cabinet52and a closed position (shown inFIG.1) forming an enclosure over tub64. Lid62in exemplary embodiment includes a transparent panel63, which may be formed of, for example, glass, plastic, or any other suitable material. The transparency of the panel63allows users to see through the panel63, and into the tub64when the lid62is in the closed position. In some embodiments, the panel63may itself generally form the lid62. In other embodiments, the lid62may include the panel63and a frame65surrounding and encasing the panel63. Alternatively, panel63need not be transparent. FIG.2provides a front, cross-section view of the exemplary washing machine appliance50ofFIG.1. As may be seen inFIG.2, tub64includes a bottom wall66and a sidewall68. A wash drum or basket70is rotatably mounted within tub64. In particular, basket70is rotatable about a vertical axis V. Thus, washing machine appliance is generally referred to as a vertical axis washing machine appliance. Basket70defines a wash chamber73for receipt of articles for washing and extends, e.g., vertically, between a bottom portion80and a top portion82. Basket70includes a plurality of openings or perforations71therein to facilitate fluid communication between an interior of basket70and tub64. A nozzle72is configured for flowing a liquid into tub64. In particular, nozzle72may be positioned at or adjacent to top portion82of basket70. Nozzle72may be in fluid communication with one or more water sources76,77in order to direct liquid (e.g. water) into tub64and/or onto articles within chamber73of basket70. Nozzle72may further include apertures88through which water may be sprayed into the tub64. Apertures88may, for example, be tubes extending from the nozzles72as illustrated, or simply holes defined in the nozzles72or any other suitable openings through which water may be sprayed. Nozzle72may additionally include other openings, holes, etc. (not shown) through which water may be flowed, i.e., sprayed or poured, into the tub64. Various valves may regulate the flow of fluid through nozzle72. For example, a flow regulator may be provided to control a flow of hot and/or cold water into the wash chamber of washing machine appliance50. For the embodiment depicted, the flow regulator includes a hot water valve74and a cold water valve75. The hot and cold water valves74,75are utilized to flow hot water and cold water, respectively, therethrough. Each valve74,75can selectively adjust to a closed position in order to terminate or obstruct the flow of fluid therethrough to nozzle72. The hot water valve74may be in fluid communication with a hot water source76, which may be external to the washing machine appliance50. The cold water valve75may be in fluid communication with a cold water source77, which may be external to the washing machine appliance50. The cold water source77may, for example, be a commercial water supply, while the hot water source76may be, for example, a water heater. Such water sources76,77may supply water to the appliance50through the respective valves74,75. A hot water conduit78and a cold water conduit79may supply hot and cold water, respectively, from the sources76,77through the respective valves74,75and to the nozzle72. An additive dispenser84may additionally be provided for directing a wash additive, such as detergent, bleach, liquid fabric softener, etc., into the tub64. For example, dispenser84may be in fluid communication with nozzle72such that water flowing through nozzle72flows through dispenser84, mixing with wash additive at a desired time during operation to form a liquid or wash fluid, before being flowed into tub64. For the embodiment depicted, nozzle72is a separate downstream component from dispenser84. In other exemplary embodiments, however, nozzle72and dispenser84may be integral, with a portion of dispenser84serving as the nozzle72, or alternatively dispenser84may be in fluid communication with only one of hot water valve74or cold water valve75. In still other exemplary embodiments, the washing machine appliance50may not include a dispenser, in which case a user may add one or more wash additives directly to wash chamber73. A pump assembly90(shown schematically inFIG.2) is located beneath tub64and basket70for gravity assisted flow to drain tub64. In some embodiments, for example as illustrated inFIG.2, an agitation element92may be provided and may be oriented to rotate about the vertical direction V. As illustrated inFIG.2, the basket70and agitation element92are driven by a motor94, such as an induction motor, which is mechanically coupled to the basket70. The motor may be mechanically coupled to the basket70, e.g., via a drive pulley95, a basket pulley96, and a belt97as illustrated inFIG.2. When the motor94is activated, the motor94rotates the drive pulley95and such rotation is transferred via the belt97to the basket pulley96which is joined to a motor output shaft98. The basket pulley96may be integrally joined to the motor output shaft98or may be otherwise joined in any suitable manner. As motor output shaft98is rotated, basket70and agitation element92are operated for rotatable movement within tub64, e.g., about vertical axis V. In other embodiments, the belt97may be directly connected to the basket70, e.g., in a horizontal axis washing machine appliance. In additional exemplary embodiments, the motor may be mechanically coupled to the basket70and/or agitation element92without any belts or pulleys using a direct drive assembly. Various other forms of mechanical coupling may also be provided, such as via a mode shifter which selectively transfers rotation from the motor94to the basket70or the agitator92. Such forms of mechanical coupling, e.g., a direct drive and/or mode shifter, are understood by those of skill in the art and, as such, are not illustrated in detail. Various sensors may additionally be included in the washing machine appliance50. For example, a pressure sensor110may be positioned in the tub64as illustrated or, alternatively, may be remotely mounted in another location within the appliance50and be operationally connected to tub64by a hose (not shown). Any suitable pressure sensor110, such as an electronic sensor, a manometer, or another suitable gauge or sensor, may be utilized. The pressure sensor110may generally measure the pressure of water in the tub64. This pressure can then be utilized to estimate the height or amount of water in the tub64. Additionally, a suitable speed sensor can be connected to the motor94, such as to the output shaft98thereof, to measure speed and indicate operation of the motor94. Other suitable sensors, such as temperature sensors, water/moisture sensors, etc., may additionally be provided in the washing machine appliance50. Operation of washing machine appliance50is controlled by a processing device or controller100, that is operatively coupled to the input selectors60located on washing machine backsplash56(shown inFIG.1) for user manipulation to select washing machine cycles and features. Controller100may further be operatively coupled to various other components of appliance50, such as the flow regulator (including valves74,75), motor94, pressure sensor110, speed sensor, other suitable sensors, etc. In response to user manipulation of the input selectors60, controller100may operate the various components of washing machine appliance50to execute selected machine cycles and features. Controller100is a “processing device” or “controller” and may be embodied as described herein. As used herein, “processing device” or “controller” may refer to one or more microprocessors, microcontroller, application-specific integrated circuits (ASICS), or semiconductor devices and is not restricted necessarily to a single element. The controller100may be programmed to operate dryer appliance50by executing instructions stored in memory. The controller may include, or be associated with, one or more memory elements such as for example, RAM, ROM, or electrically erasable, programmable read only memory (EEPROM). For example, the instructions may be software or any set of instructions that when executed by the processing device, cause the processing device to perform operations. Controller100can include one or more processor(s) and associated memory device(s) configured to perform a variety of computer-implemented functions and/or instructions (e.g. performing the methods, steps, calculations and the like and storing relevant data as disclosed herein). It should be noted that controllers100as disclosed herein are capable of and may be operable to perform any methods and associated method steps as disclosed herein. While described in the context of specific embodiments of washing machine appliance50, using the teachings disclosed herein it will be understood that washing machine appliance50is provided by way of example only. Other laundry appliances having different configurations (such as horizontal-axis washing machine appliances, or various clothes dryer appliances), different appearances, and/or different features may also be utilized with the present subject matter as well. Turning now toFIG.3, a household appliance50is schematically illustrated, which may be, for example, the washing machine appliance illustrated inFIGS.1and2, or may be any one of numerous other water-using or water-collecting appliances, e.g., a refrigerator, an ice maker, a dishwasher, or an air conditioner unit, as described above, among other possible example appliances. InFIG.3and subsequent FIGS, reference numbers for like or similar features, e.g., the cabinet52, are repeated fromFIGS.1and2for purposes of illustration only and without intending to limit such features to the washing machine features ofFIGS.1and2. For example, the cabinet52inFIG.3is not necessarily the cabinet of the washing machine illustrated inFIGS.1and2, but may also or instead refer to a similar cabinet which is part of a dishwasher, refrigerator, etc. As may be seen inFIG.3, in some embodiments, the appliance50may include a plurality of levelling legs. For example, as illustrated inFIG.3, the appliance50may include a first levelling leg200which is electrically grounded. In some exemplary embodiments, the first levelling leg200may be grounded in that the first levelling leg200is electrically connected to the ground900via another portion of the appliance50, such as via a base frame or chassis53of the cabinet52, where the chassis53is grounded and the first levelling leg200is electrically coupled directly to the chassis53(see, e.g.,FIG.6). In some embodiments, the appliance50may also include a second levelling leg202. As schematically depicted inFIG.3, the controller100may be in operative communication with the second levelling leg202. For example, such operative communication may include the second levelling leg202electrically coupled, such as through a wire as indicated by dashed lines inFIG.3, to the controller100whereby the controller100can monitor or detect electrical properties or conditions of the second levelling leg202. Also as illustrated inFIG.3, the controller100may be in operative communication with the grounded chassis53and a water supply valve74of the appliance50. The water supply valve74ofFIG.3may be the only or sole water supply valve in the appliance in some embodiments, or may be one of multiple water supply valves, such as the valves74and75in the exemplary appliance50inFIG.2. In embodiments which include multiple water supply valves, e.g., as inFIG.2, the controller100may be in operative communication with both or all of the water supply valves. The controller100may be in operative communication with the grounded chassis53in a similar manner as the second levelling leg202, e.g., the controller100may be electrically coupled to the chassis53whereby the controller100can monitor or detect electrical properties or conditions of the chassis53. For example, the controller100may be operable to monitor electrical continuity between the chassis53and the second levelling leg202. The controller100may be in operative communication with the water supply valve74such that the controller100may actuate the valve74, e.g., the controller100may open or close the water supply valve74to selectively permit or obstruct a flow of water into the appliance50. As mentioned above, the controller100may be in operative communication with the user interface of the appliance50, such as the display61(FIG.2) thereon. In some embodiments, the controller100may also or instead be in operative communication with a remote user interface1000. For example, as depicted inFIG.3, the controller100may communicate wirelessly with the remote user interface device1000. The remote user interface device1000may include one or more of a smartphone, tablet, personal computer, wearable device (e.g., smart watch), a smart home system, or other similar user interface devices. A schematic plan view of the appliance50according to one or more embodiments of the present disclosure is illustrated inFIG.4. As illustrated inFIG.4, in some embodiments, the appliance50may include a plurality of levelling legs, such as four levelling legs, e.g., the first levelling leg200, the second levelling leg202, a third levelling leg204and a fourth levelling leg206. The third levelling leg204and the fourth levelling leg206may also be connected to the chassis53ground in the same manner as shown and described with respect to the first levelling leg200. Thus, in some embodiments, the appliance50may be configured for and/or methods of detecting a leak may include detecting a leak between the second levelling leg202and any one or more of the first levelling leg200, the third levelling leg204, and the fourth levelling leg206, as will be explained in more detail below. As illustrated inFIG.4, when a leak occurs, a puddle of water902may form underneath the appliance50. The water902may extend between the second levelling leg202and at least one other levelling leg, while also not extending beyond the footprint of the cabinet52(which is indicated by a dashed line inFIG.4, the cabinet52itself being positioned above the viewing plane). When the puddle of water902is thus obscured by the cabinet52, the leak may be more difficult to detect. Accordingly, the appliance50may be configured for and/or methods according to the present disclosure may include detecting the leak based on electrical continuity with the second levelling leg202, where the water in the water902places the second levelling leg902in electrical communication with the chassis53via the puddle of water902and at least one other levelling leg. FIG.5provides an enlarged, schematic section view of a portion of the exemplary appliance50, taken along line5-5inFIG.4. In some embodiments, the second levelling leg202may be comprised of an electrically conductive material, such as a metal material. The chassis53may also be comprised of an electrically conductive material. As mentioned above, the chassis53may be grounded, e.g., the chassis53may be electrically coupled to a ground, such as ground900as illustrated inFIG.3. The second levelling leg202may be mechanically coupled to the chassis53(such as via a mounting bracket208, as illustrated inFIG.5, and the bracket208may also be electrically conductive). The second levelling leg202may be electrically isolated from the chassis53(and the mounting bracket208, in embodiments which include the mounting bracket208) by one or more electrically insulating spacers. For example, as illustrated inFIG.5, a first electrically insulating spacer210may be disposed on an intermediate portion of the second levelling leg202and a second electrically insulating spacer212may be disposed on an end of the second levelling leg202. The electrically insulating spaces210and212may comprise any suitable material having a low electrical conductivity, e.g., rubber or plastic materials. The first levelling leg200and the second levelling leg202, as well as the third levelling leg204and the fourth levelling leg206in embodiments which include more than two legs, may extend from the cabinet52of the appliance50along the vertical direction V (See, e.g.,FIG.3), such as downward along the vertical direction V. The levelling legs200,202,204, and206may be configured to support the appliance50on a base surface1002(FIGS.5and6). The levelling legs200,202,204, and206may be adjustably mechanically coupled to the cabinet52, such as at the chassis53, in order to adjust a vertical distance between the appliance50and the base surface1002. For example, the levelling legs200,202,204, and206may be threaded, such as externally threaded, and the threads on each levelling led200,202,204, and206may be sized and configured to engage with threads, such as internal threads, on the chassis53and/or the mounting bracket208. Thus, the vertical distance over which each levelling leg200,202,204, and/or206extends downward from the cabinet52to the base surface1002may be adjusted, such as by rotating the levelling leg(s)200,202,204, and/or206, e.g., in embodiments where the levelling legs200,202,204, and/or206are threadedly coupled to the chassis53and/or mounting bracket208. In some embodiments, for example as illustrated inFIG.5, the second electrically insulating spacer212may include internal threads and the second levelling leg202may include external threads which are sized and configured to engage with the internal threads of the second electrically insulating spacer212, such that the second levelling leg202is adjustable, as described above. The structure and function of threads, such as internal and external threads referred to herein, are well understood by those of ordinary skill in the art and, as such, the threads are not specifically illustrated in the accompanying FIGS. In some embodiments, e.g., as illustrated inFIGS.5and6, the levelling legs200,202,204, and/or206may include feet214at an end of each levelling leg200,202,204, and/or206. For example, each foot214may be disposed at a lowermost terminus of the respective levelling leg200,202,204, and/or206along the vertical direction V. The feet214may comprise a resilient material, such as rubber. In such embodiments, the feet214may thereby also be electrically insulating. For example, as illustrated inFIG.6, the foot214electrically insulates the first levelling leg200from the base surface1002. In some embodiments, the leak detecting leg, e.g., second levelling leg202, may be in electrical communication with the base surface1002, including any substances such as leaked water (e.g.,902inFIG.4) thereon. For example, as illustrated inFIG.5, a portion of the electrically conductive material, e.g., metal, of the second levelling leg202may extend through the foot214, such as a metal center core of the foot214. In various embodiments, when the leaked water902(FIG.4) contacts a metal or other electrically conductive portion of the second levelling leg202and contacts a metal or other electrically conductive portion of the first levelling leg200(and/or at least one of the first levelling leg200, the third levelling leg204and the fourth levelling leg206in embodiments including such legs), electrical continuity between the second levelling leg202and the chassis53is established via the leaked water902and the at least one other levelling leg200,204, and/or206. In the absence of leaked water902, the second levelling leg202is not in electrical continuity with the chassis53due to the electrical isolation of the second levelling leg202, such as by the electrically insulating spaces210and212. Thus, when electrical continuity between the second levelling leg202and the chassis53is detected, a leak may also be detected. As shown inFIG.6, the first levelling leg200may be directly connected to the chassis53and/or the mounting bracket208of the chassis53. For example, the first levelling leg200may be directly connected without any spacers or other intervening structures, such as without the electrically insulating spacers201and212associated with the second levelling leg202(FIG.5). Thus, where the first levelling leg200comprises electrically conductive material and the chassis53, including the mounting bracket208thereof, also comprises electrically conductive material, the first levelling leg200is electrically coupled to the chassis53by the direct physical connection with continuous contact between electrically conductive materials of each component. Embodiments of the present disclosure include methods of detecting a leak from an appliance, such as the exemplary appliances50described above. An exemplary method500of detecting a leak from an appliance according to one or more embodiments of the present disclosure is illustrated inFIG.7. In some embodiments, e.g., as illustrated inFIG.7, the method500may include a step510of monitoring electrical continuity between a leg of an appliance and a chassis of the appliance. For example, the leg may be a levelling leg, such as the second levelling leg202described above, which is electrically insulated from the chassis, which may be a grounded chassis, such as the chassis53described herein. Thus, electrical continuity may be present between the leg and the chassis when a circuit is completed between the leg and the chassis due to the presence of water (e.g., with solutes such as electrolytes therein, such as water typically provided in a domestic water supply and/or used in a domestic appliance such as a washing machine or dishwasher) between the electrically insulated leg and at least one other leg of the appliance. For example, in some embodiments, the method500may include a step520of detecting water on a base surface, e.g., the base surface1002described above, in response to, e.g., because of, electrical continuity between the leg, e.g., second levelling leg202, and the chassis. Additionally, it should be noted that references to “domestic” appliances herein are not intended to exclude, e.g., washing machines in a laundromat, apartment building, hotel, dormitory, etc., or dishwasher appliances in a commercial kitchen or other commercial/industrial setting. Continuing with method500illustrated inFIG.7, in at least some embodiments, the method500may also include one or more actions after detecting the leak, such as to mitigate potential effects of the leak. For example, in some embodiments, the method500may also include closing a water supply valve of the appliance after detecting leaked water on the base surface, e.g., as illustrated at step522inFIG.7. As another example, in some embodiments, the method500may also include transmitting a user notification, e.g., to a display on the appliance and/or to a remote user interface device, after detecting leaked water on the base surface, e.g., as illustrated at step524inFIG.7. This written description uses examples to disclose the invention, including the best mode, and also to enable any person skilled in the art to practice the invention, including making and using any devices or systems and performing any incorporated methods. The patentable scope of the invention is defined by the claims, and may include other examples that occur to those skilled in the art. Such other examples are intended to be within the scope of the claims if they include structural elements that do not differ from the literal language of the claims, or if they include equivalent structural elements with insubstantial differences from the literal languages of the claims. | 27,173 |
11859329 | DETAILED DESCRIPTION Reference will now be made in detail to illustrative embodiments, examples of which are illustrated in the accompanying drawings. The following description refers to the accompanying drawings in which the same numbers in different drawings represent the same or similar elements unless otherwise represented. The implementations set forth in the following description of illustrative embodiments do not represent all implementations consistent with the present disclosure. Instead, they are merely examples of devices and methods consistent with aspects related to the present disclosure as recited in the appended claims. The illustrative implementation modes may take on multiple forms, and should not be taken as being limited to examples illustrated herein. Instead, by providing such implementation modes, embodiments herein may become more comprehensive and complete, and comprehensive concept of the illustrative implementation modes may be delivered to those skilled in the art. Implementations set forth in the following illustrative embodiments do not represent all implementations in accordance with the present disclosure. Rather, they are merely examples of the apparatus and method in accordance with certain aspects herein as recited in the accompanying claims. Terms used in the present disclosure are merely for describing specific examples and are not intended to limit the present disclosure. The singular forms “one”, “the”, and “this” used in the present disclosure and the appended claims are also intended to include a multiple form, unless other meanings are clearly represented in the context. It should also be understood that the term “and/or” used in the present disclosure refers to any or all of possible combinations including one or more associated listed items. Reference throughout this specification to “one embodiment,” “an embodiment,” “an example,” “some embodiments,” “some examples,” or similar language means that a particular feature, structure, or characteristic described is included in at least one embodiment or example. Features, structures, elements, or characteristics described in connection with one or some embodiments are also applicable to other embodiments, unless expressly specified otherwise. Note that although a term such as first, second, third may be adopted in an embodiment herein to describe various kinds of information, such information should not be limited to such a term. Such a term is merely for distinguishing information of the same type. For example, without departing from the scope of the embodiments herein, the first information may also be referred to as the second information. Similarly, the second information may also be referred to as the first information. Depending on the context, a “if” as used herein may be interpreted as “when” or “while” or “in response to determining that”. The terms “module,” “sub-module,” “circuit,” “sub-circuit,” “circuitry,” “sub-circuitry,” “unit,” or “sub-unit” may include memory (shared, dedicated, or group) that stores code or instructions that can be executed by one or more processors. A module may include one or more circuits with or without stored code or instructions. The module or circuit may include one or more components that are directly or indirectly connected. These components may or may not be physically attached to, or located adjacent to, one another. A unit or module may be implemented purely by software, purely by hardware, or by a combination of hardware and software. In a pure software implementation, for example, the unit or module may include functionally related code blocks or software components, that are directly or indirectly linked together, so as to perform a particular function. In addition, described characteristics, structures or features may be combined in one or more implementation modes in any proper manner. In the following descriptions, many details are provided to allow a full understanding of embodiments herein. However, those skilled in the art will know that the technical solutions of embodiments herein may be carried out without one or more of the details; alternatively, another method, component, device, option, etc., may be adopted. Under other conditions, no detail of a known structure, method, device, implementation, material or operation may be shown or described to avoid obscuring aspects of embodiments herein. A block diagram shown in the accompanying drawings may be a functional entity which may not necessarily correspond to a physically or logically independent entity. Such a functional entity may be implemented in form of software, in one or more hardware modules or integrated circuits, or in different networks and/or processor devices and/or microcontroller devices. A terminal may sometimes be referred to as a smart terminal. The terminal may be a mobile terminal. The terminal may also be referred to as User Equipment (UE), a Mobile Station (MS), etc. A terminal may be equipment or a chip provided that provides a user with a voice and/or data connection, such as handheld equipment, onboard equipment, etc., with a wireless connection function. Examples of a terminal may include a mobile phone, a tablet computer, a notebook computer, a palm computer, a Mobile Internet Device (MID), wearable equipment, Virtual Reality (VR) equipment, Augmented Reality (AR) equipment, a wireless terminal in industrial control, a wireless terminal in unmanned drive, a wireless terminal in remote surgery, a wireless terminal in a smart grid, a wireless terminal in transportation safety, a wireless terminal in smart city, a wireless terminal in smart home, etc. In related art, the Internet of Things applies to various infrastructure fields, such as industry, agriculture, environment, transportation, logistics, security, etc., effectively promoting intelligent development of these aspects, allowing more reasonable use and distribution of limited resources, thereby improving the industry efficiency and benefit. For example, laundry equipment control, etc., may be implemented through terminal equipment. However, at present, whether laundry equipment is controlled through terminal equipment or directly through the laundry equipment itself, the laundry can only be washed through a program preset by a manufacturer, and a user can only manually modify some parameters. On one hand, as there may be just one fixed program preset by the manufacturer, when the program set by the manufacturer does not meet the need of the user, the user has to modify and adjust the parameters one by one, which increases difficulty and complexity in washing. On the other hand, the user has to try a number of times before she or he can find a right washing parameter corresponding to the laundry, and in this process, the laundry may be damaged or not washed well due to inaccurate parameter selection. A method for controlling laundry equipment is provided in examples of the present disclosure.FIG.1is a flowchart of a method for controlling laundry equipment according to an illustrative example. As shown inFIG.1, the method may be implemented at a washing mode sharing platform. The method mainly includes steps as follows. In S101, a to-be-shared washing parameter of at least one first laundry equipment, and a to-be-shared washing mode corresponding to the to-be-shared washing parameter, are acquired. In S102, a historical data set is generated based on the to-be-shared washing parameter and the to-be-shared washing mode. In S103, a target washing mode and a target washing parameter corresponding to the target washing mode are determined from the historical data set. In S104, the target washing mode and the target washing parameter are sent to second laundry equipment. Here, the first laundry equipment and the second laundry equipment may include drum laundry equipment, pulsator laundry equipment, a washing and drying machine, etc. The washing mode sharing platform may include electronic equipment capable of processing data independently, such as a server. The second laundry equipment may also be referred as the target laundry equipment. In examples of the present disclosure, the washing mode sharing platform may acquire a to-be-shared washing parameter from at least one first laundry equipment and a to-be-shared washing mode corresponding to the to-be-shared washing parameter. Here, a to-be-shared washing parameter and a to-be-shared washing mode may be acquired actively by the washing mode sharing platform from first laundry equipment, or may be uploaded triggered by a user using first laundry equipment, which is not specifically limited herein. In some examples, there may be multiple pieces of first laundry equipment. In examples of the present disclosure, after the to-be-shared washing parameter and the to-be-shared washing mode have been acquired, a historical data set may be formed based on the to-be-shared washing parameter and the to-be-shared washing mode acquired, and a mapping relation between a to-be-shared washing parameter and a to-be-shared washing mode. In use, the washing mode sharing platform may determine a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set, and send the target washing mode and the target washing parameter to the second laundry equipment, to control washing a to-be-washed object by the second laundry equipment using the target washing parameter according to the target washing mode. In some examples, a to-be-shared washing parameter includes at least a water temperature, an amount of water, an amount of laundry detergent used, a number of rinses, a spin speed, and a drying time, which are not specifically limited herein. In examples of the present disclosure, a target washing mode and a target washing parameter corresponding to the target washing mode can be acquired from the washing mode sharing platform. The washing mode sharing platform stores an empirical parameter that has been verified and reviewed. Compared to washing a to-be-washed object through a fixed program preset by a manufacturer in related art, a target washing parameter corresponding to a target washing mode can be acquired directly from the washing mode sharing platform, and laundry equipment can be controlled to wash a to-be-washed object according to the target washing parameter, without having to modify and adjust respective parameters one by one, decreasing difficulty and complexity in washing. In addition, as each washing parameter of the washing mode sharing platform is a viewed and verified empirical parameter ready for a user to download and use without having to make multiple attempts, reducing possibility of a poor washing effect or damage to a to-be-washed object during the user attempts. In some examples, the method further includes a step as follows. A washing request may be acquired from the second laundry equipment or terminal equipment communicatively connected to the second laundry equipment. The target washing mode and the target washing parameter corresponding to the target washing mode may be determined from the historical data set as follows. The target washing mode and the target washing parameter corresponding to the target washing mode may be determined from the historical data set according to the washing request. In examples of the present disclosure, a touch display may be provided on the second laundry equipment. The touch display may have both a display function and a touch function. During implementation, both a touch area having a touch function and a display area having a display function may be provided on a door cover of the second laundry equipment. Alternatively, the touch area and the display area may both be provided on other locations on the second laundry equipment, such as on the body of the second laundry equipment. In other examples, the touch area and the display area of the touch display may be provided separately. For example, the touch area is provided on the body of the second laundry equipment, and the display area is provided on the door cover of the second laundry equipment, which may be provided as needed, and is not specifically limited herein. Of course, a touch display may be provided for the first laundry equipment, in a way same as the second laundry equipment, which is not specifically limited herein. In some examples, a communication connection between second laundry equipment and terminal equipment may be established. During implementation, the touch display of the second laundry equipment may perform synchronous display with the terminal equipment. In examples of the present disclosure, for example, a touch display is provided on the body of the second laundry equipment. During implementation, the touch display on the body of the second laundry equipment and the display of the terminal equipment may perform synchronous display. The second laundry equipment may be controlled through the touch display on the body to perform a corresponding function. Alternatively, the second laundry equipment may be controlled through the display of the terminal equipment to perform a corresponding function. In examples of the present disclosure, a user may input a touch operation through a touch display of second laundry equipment. After detecting the touch operation, the laundry equipment can acquire a washing request based on the touch operation. In some examples, a washing request may be triggered based on a click operation applied to the touch display of the second laundry equipment. For example, a washing request may be triggered based on a click operation, a double-click operation, a long-press operation, etc. In other examples, when mode icons of multiple washing modes are displayed on the touch display of the second laundry equipment, the user may select a washing mode as needed, and input a corresponding touch operation through a mode icon corresponding to the washing mode selected by the user. Then, the washing request corresponding to the washing mode may be generated. A mode icon may be displayed on the touch display of the second laundry equipment in in graphic or text form. In other examples, a user may input a touch operation through the display of the terminal equipment. After detecting the touch operation, the terminal equipment can acquire a washing request based on the touch operation, and send the washing request to a washing mode sharing platform. In some examples, a washing request may be triggered based on a click operation applied to the display of the terminal equipment. For example, a washing request may be triggered based on a click operation, a double-click operation, a long-press operation, etc. In other examples, when mode icons of multiple washing modes are displayed on the display of the terminal equipment, the user may select a washing mode as needed, and input a corresponding touch operation through the mode icon corresponding to the washing mode selected by the user, thereby generating a washing request corresponding to the washing mode. In examples of the present disclosure, after the second washing device or the terminal equipment has generated the washing request, the washing request may be sent to the washing mode sharing platform. The washing mode sharing platform may determine a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set according to the washing request. In examples of the present disclosure, the washing mode sharing platform may acquire the washing request from the second laundry equipment directly, or acquire the washing request through the terminal equipment, thereby improving flexibility in acquiring the washing request. In some examples, the washing request includes a target mode identifier. The target washing mode may be determined from the historical data set according to the washing request as follows. The target mode identifier may be compared to a mode identifier of each of the to-be-shared washing mode in the historical data set. The target washing mode may be determined from the historical data set according to a comparison result. In examples of the present disclosure, since the washing request is generated according to the mode icon of the washing mode required by the user, in generating the washing request, the mode identifier of the washing mode required by the user, that is, the target mode identifier, may be included in the washing request. In examples of the present disclosure, each to-be-shared washing mode has a corresponding mode identifier. During implementation, the target mode identifier may be compared to the mode identifier of each to-be-shared washing mode, and the target washing mode may be determined according to the comparison result. A mode identifier may include a number, a letter, etc. In some examples, the target washing mode may be determined from the historical data set according to the comparison result as follows. When the comparison result indicates that there is a to-be-shared washing mode in the historical data set with a mode identifier same as the target mode identifier, the to-be-shared washing mode with the mode identifier same as the target mode identifier may be determined as the target washing mode. In examples of the present disclosure, the to-be-shared washing mode with the mode identifier same as the target mode identifier is determined as the target washing mode, improving accuracy of a determined target washing mode. In other examples, when there is no to-be-shared washing mode with a mode identifier same as the target mode identifier, similarity between the target mode identifier and a mode identifier of a to-be-shared washing mode may be determined. A to-be-shared washing mode corresponding to a mode identifier having similarity with the target mode identifier greater than a set similarity threshold may be determined as the target washing mode. In examples of the present disclosure, to-be-shared washing modes of a plurality of laundry equipment, and a to-be-shared washing parameter corresponding to each to-be-shared washing mode, may be stored to the washing mode sharing platform in form of a historical data set, so that a user may determine, from the historical data set, a target washing mode and a target washing parameter directly corresponding to the target mode identifier. Compared to washing a to-be-washed object through a fixed program preset by a manufacturer in related art, a target washing mode and a target washing parameter corresponding to the target washing mode can be acquired directly from the washing mode sharing platform, and laundry equipment can be controlled to wash a to-be-washed object according to the target washing parameter, without having to modify and adjust respective parameters one by one, decreasing difficulty and complexity in washing. In examples of the present disclosure, a massive number of users keep uploading and sharing historical washing modes and historical washing parameters. Better washing modes keep being filtered out. Eventually, a user is allowed to acquire a laundry equipment operating program suitable for various clothes or with a better washing effect for same clothes. Program methods suitable for a variety of laundry equipment are created using a massive number of Internet users combining Internet of Things (IoT) technology, improving user experience in using laundry equipment. A method for controlling laundry equipment is provided in examples of the present disclosure.FIG.2is a flowchart of a method for controlling laundry equipment according to an illustrative example. As shown inFIG.2, the method may be implemented at second laundry equipment. The method mainly includes steps as follows. In S201, a target washing mode and a target washing parameter corresponding to the target washing mode are acquired from a washing mode sharing platform. In S202, it is controlled to wash a to-be-washed object by the second laundry equipment according to the target washing mode according to the target washing parameter. Here, the second laundry equipment may include drum laundry equipment, pulsator laundry equipment, a washing and drying machine, etc. The washing mode sharing platform may include electronic equipment capable of processing data independently, such as a server. In examples of the present disclosure, a touch display may be provided on the second laundry equipment. The touch display may have both a display function and a touch function. During implementation, both a touch area having a touch function and a display area having a display function may be provided on a door cover of the second laundry equipment. Alternatively, the touch area and the display area may both be provided on other locations on the second laundry equipment, such as on the body of the second laundry equipment. In other examples, the touch area and the display area of the touch display may be provided separately. For example, the touch area is provided on the body of the second laundry equipment, and the display area is provided on the door cover of the second laundry equipment, which may be provided as needed, and is not specifically limited herein. In examples of the present disclosure, for example, a touch display is provided on the body of the second laundry equipment. During implementation, the second laundry equipment may be controlled through the touch display on the body to perform a corresponding function. Here, a target washing mode may be a mode selected by the user for the to-be-washed object. In some examples, a washing mode may be identified based on a mode icon on the second laundry equipment. For example, a mode icon for identifying a washing mode may be displayed on the touch display of the second laundry equipment. In use, a user may input a washing request through a mode icon displayed on the touch display of the second laundry equipment. In examples of the present disclosure, a target washing mode and a target washing parameter corresponding to the target washing mode can be acquired from the washing mode sharing platform. The washing mode sharing platform stores an empirical parameter that has been verified and reviewed. Compared to washing a to-be-washed object through a fixed program preset by a manufacturer in related art, a target washing parameter corresponding to a target washing mode can be acquired directly from the washing mode sharing platform, and laundry equipment can be controlled to wash a to-be-washed object according to the target washing parameter, without having to modify and adjust respective parameters one by one, decreasing difficulty and complexity in washing. In addition, as each washing parameter of the washing mode sharing platform is a viewed and verified empirical parameter ready for a user to download and use without having to make multiple attempts, reducing possibility of a poor washing effect or damage to a to-be-washed object during the user attempts. In some examples, the method further include a step as follows. After the second laundry equipment has washed the to-be-washed object, a to-be-shared washing parameter may be acquired based on the target washing parameter. The to-be-shared washing parameter may be uploaded to the washing mode sharing platform based on an uploading instruction detected. Here, having washed the to-be-washed object, the second laundry equipment may acquire a to-be-shared washing parameter based on the target washing parameter, and upload the washing parameter of the to-be-washed object to the washing mode sharing platform based on an uploading instruction. Here, since with the present disclosure, a to-be-washed object is washed based on a target washing parameter, after the to-be-washed object has been washed, the user may rate, edit, etc., the target washing parameter, acquiring a washing parameter more suitable for the to-be-washed object, i.e., a to-be-shared washing parameter. After acquiring the to-be-shared washing parameter, an uploading instruction for the second laundry equipment or the terminal equipment may be detected, and after detecting the uploading instruction, the to-be-shared washing parameter may be uploaded to the washing mode sharing platform to share the washing parameter more suitable for the to-be-washed object with other users. In examples of the present disclosure, having finished washing the to-be-washed object, the second laundry equipment can acquire a to-be-shared washing parameter based on a target washing parameter, and upload the to-be-shared washing data to the washing mode sharing platform for being shared by other users, thereby implementing washing parameter sharing by making full use of a feature of big data, which is more convenient and relevant than to find a washing mode and a washing parameter suitable for a to-be-washed object by manually attempting different washing modes and washing parameters. In other examples, if a to-be-washed object is washed based on an initial washing parameter, a to-be-shared washing parameter may be acquired based on the initial washing parameter. The initial washing parameter may be a washing parameter preset by a manufacturer or a user-defined washing parameter. For example, after the second laundry equipment has washed the to-be-washed object based on the initial washing parameter, a to-be-shared washing parameter can be acquired based on the initial washing parameter. For example, the user may rate, edit, etc., the initial washing parameter, acquiring a washing parameter more suitable for the to-be-washed object, i.e., the to-be-shared washing parameter. In some examples, the to-be-shared washing parameter may be acquired based on the target washing parameter as follows. The target washing parameter may be edited based on an editing instruction detected, acquiring the to-be-shared washing parameter. Here, an optimal washing effect may not be achieved after washing the to-be-washed object based on the target washing parameter. If the target washing parameter is uploaded directly to the washing mode sharing platform, other users may be misled. In examples of the present disclosure, after washing the to-be-washed object, the user may input an editing instruction to edit the target washing parameter, such as to input user experience, acquiring a to-be-shared washing parameter. In examples of the present disclosure, after washing the to-be-washed object based on the target washing parameter, the target washing parameter is not uploaded directly to the washing mode sharing platform. Instead, the target washing parameter may be edited, acquiring a to-be-shared washing parameter. The to-be-shared washing parameter may be uploaded to the washing mode sharing platform, allowing a washing parameter downloaded by another user to be more suitable for a target to be washed, improving washing effect. In other examples, the method further includes a step as follows. It may be detected whether there is a sharing instruction for a sharing control on a sharing interface. An editing interface may be entered after detecting a sharing instruction for a sharing control. It may be detected whether there is an editing instruction for the editing interface. The target washing parameter may be edited based on the editing instruction detected, acquiring the to-be-shared washing parameter, as follows. When an editing instruction for the editing interface is detected, the target washing parameter may be edited, acquiring the to-be-shared washing parameter. FIG.3is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.3, a sharing control301may be displayed on the display interface of the terminal equipment in a floating manner. When the sharing control is displayed on the display interface of the terminal equipment in a floating manner, the user may drag the sharing control to a corresponding location during use. In other optional examples, the sharing control may be displayed on the display interface of the terminal equipment in another mode, or the sharing control may be displayed on a touch display of laundry equipment. FIG.4is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.4, a plurality of edit boxes401may be displayed on the editing interface, and an editing instruction for an edit box may be detected. Content in an edit box may be edited based on a detected editing instruction. After the editing is completed, it may be detected whether there is an uploading instruction input based on a submit control. When an uploading instruction input based on the submit control is detected, an edited to-be-shared washing parameter may be uploaded to the washing mode sharing platform. FIG.5is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.5, after uploading a to-be-shared washing parameter to a washing mode sharing platform, prompt information may be output based on the display interface of the terminal equipment. For example, prompt information of successful uploading, or prompt information of an uploading failure, may be output. In other examples, after successfully uploading a to-be-shared washing parameter to the washing mode sharing platform, the to-be-shared washing parameter is to be audited before being presented to other users. Here, an uploaded to-be-shared washing parameter may be audited manually, or through a trained mathematical model. For example, an uploaded to-be-shared washing parameter may be audited based on a trained neural network model, which is not specifically limited herein. FIG.6is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.6, a user may enter a “my sharing” interface to browse shared information. The shared information may include a washing mode shared by the user, a washing mode collected by the user, a washing mode recently browsed by the user, etc. In some examples, the method further includes a step as follows. A washing request corresponding to the target washing mode may be acquired. The washing request may include a target mode identifier. The target washing mode and the target washing parameter corresponding to the target washing mode may be acquired from the washing mode sharing platform as follows. The target washing mode and the target washing parameter corresponding to the target washing mode may be acquired from the washing mode sharing platform based on the target mode identifier. In examples of the present disclosure, since the washing request is generated according to the mode icon of the washing mode required by the user, in generating the washing request, the mode identifier of the washing mode required by the user, that is, the target mode identifier, may be included in the washing request. In examples of the present disclosure, each to-be-shared washing mode has a corresponding mode identifier. During implementation, the target mode identifier may be compared to the mode identifier of each to-be-shared washing mode, and the target washing mode may be determined according to the comparison result. A mode identifier may include a number, a letter, etc. During implementation, when the comparison result indicates that there is a to-be-shared washing mode in the historical data set with a mode identifier same as the target mode identifier, the to-be-shared washing mode with the mode identifier same as the target mode identifier may be determined as the target washing mode. In examples of the present disclosure, a to-be-shared washing mode with a mode identifier same as a target mode identifier is determined as a target washing mode, improving accuracy of a determined target washing mode. In some examples, the second laundry equipment has a touch display. The second laundry equipment may be communicatively connected to terminal equipment. A washing request corresponding to the target washing mode may be acquired as follows. The washing request including a target mode identifier may be acquired based on a touch operation applied to a mode icon displayed on the touch display. Alternatively, the washing request may be acquired from the terminal equipment. In examples of the present disclosure, a touch display may be provided on the second laundry equipment. The touch display may have both a display function and a touch function. During implementation, both a touch area having a touch function and a display area having a display function may be provided on a door cover of the second laundry equipment. Alternatively, the touch area and the display area may both be provided on other locations on the second laundry equipment, such as on the body of the second laundry equipment. In other examples, the touch area and the display area of the touch display may be provided separately. For example, the touch area is provided on the body of the second laundry equipment, and the display area is provided on the door cover of the second laundry equipment, which may be provided as needed, and is not specifically limited herein. Of course, a touch display may be provided for the first laundry equipment, in a way same as the second laundry equipment, which is not specifically limited herein. In some examples, a communication connection between second laundry equipment and terminal equipment may be established. During implementation, the touch display of the second laundry equipment may perform synchronous display with the terminal equipment. In examples of the present disclosure, for example, a touch display is provided on the body of the second laundry equipment. During implementation, the touch display on the body of the second laundry equipment and the display of the terminal equipment may perform synchronous display. The second laundry equipment may be controlled through the touch display on the body to perform a corresponding function. Alternatively, the second laundry equipment may be controlled through the display of the terminal equipment to perform a corresponding function. In examples of the present disclosure, a user may input a touch operation through a touch display of second laundry equipment. After detecting the touch operation, the laundry equipment can acquire a washing request based on the touch operation. In some examples, a washing request may be triggered based on a click operation applied to the touch display of the second laundry equipment. For example, a washing request may be triggered based on a click operation, a double-click operation, a long-press operation, etc. In other examples, when mode icons of multiple washing modes are displayed on the touch display of the second laundry equipment, the user may select a washing mode as needed, and input a corresponding touch operation through a mode icon corresponding to the washing mode selected by the user. Then, the washing request corresponding to the washing mode may be generated. A mode icon may be displayed on the touch display of the second laundry equipment in in graphic or text form. In other examples, when mode icons of multiple washing modes are displayed on the touch display of the second laundry equipment, a filter control may also be displayed on the touch display. For example, the filter control may be displayed above the mode icons of the multiple washing modes. In some examples, the filter control may also be displayed at another location on the touch display, which is not specifically limited herein. In other examples, a user may input a touch operation through the display of the terminal equipment. After detecting the touch operation, the terminal equipment can acquire a washing request based on the touch operation, and send the washing request to a washing mode sharing platform. In some examples, a washing request may be triggered based on a click operation applied to the display of the terminal equipment. For example, a washing request may be triggered based on a click operation, a double-click operation, a long-press operation, etc. In other examples, when mode icons of multiple washing modes are displayed on the display of the terminal equipment, the user may select a washing mode as needed, and input a corresponding touch operation through the mode icon corresponding to the washing mode selected by the user, thereby generating a washing request corresponding to the washing mode. In other examples, when mode icons of multiple washing modes are displayed on the display of the terminal equipment, a filter control may also be displayed on the display of the terminal equipment. For example, the filter control may be displayed above the multiple mode icons. In some examples, the filter control may also be displayed at another location on the display of the terminal equipment, which is not specifically limited herein. For example, the user inputs a touch operation through the display of the terminal equipment.FIG.7is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.7, mode icons of a plurality of washing modes may be displayed on a display interface of terminal equipment. For example, mode identifiers of a quick underwear mode, a large item super clean mode, a sneaker only mode, etc., may be displayed on the display interface in form of text. During implementation, a user may trigger a washing request through a mode icon. For example, a corresponding washing request may be triggered by clicking on text such as “quick underwear”, “large item super clean”, “sneaker only”, etc. InFIG.7, a filter control may be displayed above the mode icons. The filter control may include a fabric filter control, a type filter control, a temperature filter control, etc. In some examples, a washing duration and a washing temperature required to perform a washing mode corresponding to a mode icon can also be displayed on the display interface in displaying the mode icon. For example, to perform the quick underwear mode, a washing time of 32 minutes and a washing temperature greater than 35° C. are needed. To perform the large item super clean mode, a washing time of 285 minutes and a washing temperature greater than 95° C. are needed. In other examples, after triggering a washing request, a washing parameter in a washing mode corresponding to the washing request may be displayed on a browsing interface of the touch display of laundry equipment, or on a browsing interface of the display of the terminal equipment.FIG.8is an illustrative diagram of a display interface of terminal equipment according to an illustrative example. As shown inFIG.8, washing parameters of a quick underwear mode may be displayed on the browsing interface of the display of the terminal equipment. The washing parameters may include a number of users using the quick underwear mode, a number of uses, and a number of users approving the quick underwear mode. In addition, evaluation of using the quick underwear mode by the user may also be displayed on the browsing interface. In other examples, the user may also set a washing mode corresponding to washing parameters displayed on the browsing interface as a custom mode based on a custom control on the browsing interface. In this way, to use the washing mode again, the user can determine the washing mode directly from a custom mode set, without having to enter the washing mode sharing platform and perform download again. In other examples, content displayed on the display interface of the terminal equipment may be displayed synchronously on the touch display of the second laundry equipment. In examples of the present disclosure, a user can select a control mode as needed. For example, a touch operation may be input directly through the touch display of second laundry equipment to control the laundry equipment. Alternatively, laundry equipment may be controlled through terminal equipment, improving flexibility in controlling the laundry equipment. In some examples, the method further includes a step as follows. A mode icon of a candidate washing mode may be displayed in a set order on a touch display of the second laundry equipment, or on a display interface of terminal equipment. The mode icon may be configured to identify the candidate washing mode corresponding to the mode icon. Here, a target washing mode may be a mode selected by the user for the to-be-washed object. In some examples, a washing mode may be identified based on a mode icon. For example, a mode icon for identifying a washing mode may be displayed on the touch display of the second laundry equipment, or on the display interface of the terminal equipment. In use, a user may input a washing request through a mode icon displayed on the touch display of the second laundry equipment, or through a mode icon displayed on the display interface of the terminal equipment. In some examples, a user may input a washing request through the touch display of the second laundry equipment, for example. After detecting the washing request triggered through the touch display, the second laundry equipment may send the washing request to the washing mode sharing platform. In other examples, after acquiring the washing request, the second laundry equipment may also send the washing request to the washing mode sharing platform through the terminal equipment. In other examples, for example, the user may input a washing request through the terminal equipment. After detecting a trigger operation applied to the display of the terminal equipment, the terminal equipment may generate a washing request based on the trigger operation, and send the washing request to the washing mode sharing platform. In examples of the present disclosure, after a washing request is sent to the washing mode sharing platform, the washing mode sharing platform can determine a target washing mode and a target washing parameter corresponding to the target washing mode based on the washing request, and send the target washing mode and the target washing parameter to the second laundry equipment. In some examples, while using laundry equipment (including the first laundry equipment and the second laundry equipment), a user may upload a washing parameter used in washing a to-be-washed object using the laundry equipment to a target washing mode device, so as to be shared by users. To use a washing parameter in a target washing mode, a user can input a washing request based on the touch display of the laundry equipment or the display of the terminal equipment, and acquire any target washing parameter corresponding to the target washing mode selected by the user from the target washing mode based on a washing request generated by the washing request. As may be seen from the examples, with the present disclosure, when a washing request is detected, the washing request can be sent to a washing mode sharing platform, to acquire a target washing mode and a target washing parameter from the washing mode sharing platform. After acquiring the target washing mode and the target washing parameter, laundry equipment can be controlled to wash a to-be-washed object according to the target washing mode and the target washing parameter. In examples of the present disclosure, a target washing mode and a target washing parameter corresponding to the target washing mode can be acquired from the washing mode sharing platform. The washing mode sharing platform stores an empirical parameter that has been verified and reviewed. Compared to washing a to-be-washed object through a fixed program preset by a manufacturer in related art, a target washing parameter corresponding to a target washing mode can be acquired directly from the washing mode sharing platform, and laundry equipment can be controlled to wash a to-be-washed object according to the target washing parameter, without having to modify and adjust respective parameters one by one, decreasing difficulty and complexity in washing. In addition, as each washing parameter of the washing mode sharing platform is a viewed and verified empirical parameter ready for a user to download and use without having to make multiple attempts, reducing possibility of a poor washing effect or damage to a to-be-washed object during the user attempts. Here, a set order may be determined according to a frequency of using a washing mode or a user rating. In other examples, the set order may also be determined according to the washing duration required to perform a washing mode. As shown inFIG.7, in case the user triggers the washing time control, washing modes may be sorted according to the washing duration. In examples of the present disclosure, washing modes can be sorted according to a set order, so that during use, a user can sort the washing modes as needed, thereby quickly locating a washing mode to be selected by the user. FIG.9is a block diagram of a device for controlling laundry equipment according to an illustrative example. As shown inFIG.9, the device90includes a first acquiring module, a generating module, a determining module, and a sending module. The first acquiring module91is configured to acquire a to-be-shared washing parameter of at least one first laundry equipment, and a to-be-shared washing mode corresponding to the to-be-shared washing parameter. The generating module92is configured to generate a historical data set based on the to-be-shared washing parameter and the to-be-shared washing mode. The determining module93is configured to determine a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set. The sending module94is configured to send the target washing mode and the target washing parameter to second laundry equipment. In some examples, the device90further includes a second acquiring module. The second acquiring module may be configured to acquire a washing request from the second laundry equipment or terminal equipment communicatively connected to the second laundry equipment. The determining module93may be further configured to: determine the target washing mode and the target washing parameter corresponding to the target washing mode from the historical data set according to the washing request. In some examples, the washing request includes a target mode identifier. The determining module93may be further configured to: compare the target mode identifier to a mode identifier of each of the to-be-shared washing mode in the historical data set, and determine the target washing mode from the historical data set according to a comparison result. In some examples, the determining module93may be further configured to: in response to the comparison result indicating a to-be-shared washing mode in the historical data set with a mode identifier same as the target mode identifier, determine, as the target washing mode, the to-be-shared washing mode with the mode identifier same as the target mode identifier. FIG.10is a block diagram of a device for controlling laundry equipment according to an illustrative example. As shown inFIG.10, the device may be implemented at second laundry equipment. The device1000includes a third acquiring module and a controlling module. The third acquiring module1001is configured to acquire, from a washing mode sharing platform, a target washing mode and a target washing parameter corresponding to the target washing mode. The controlling module1002is configured to control washing a to-be-washed object by the second laundry equipment according to the target washing mode according to the target washing parameter. In some examples, the device1000further includes a fourth acquiring module and an uploading module. The fourth acquiring module may be configured to, after the second laundry equipment has washed the to-be-washed object, acquire a to-be-shared washing parameter based on the target washing parameter. The uploading module may be configured to upload the to-be-shared washing parameter to the washing mode sharing platform based on an uploading instruction detected. In some examples, the fourth acquiring module is further configured to:edit the target washing parameter based on an editing instruction detected, acquiring the to-be-shared washing parameter. In some examples, the device1000further includes a fifth acquiring module. The fifth acquiring module may be configured to acquire a washing request corresponding to the target washing mode. The washing request may include a target mode identifier. The third acquiring module1001may be further configured to:acquire, from the washing mode sharing platform based on the target mode identifier, the target washing mode and the target washing parameter corresponding to the target washing mode. In some examples, the second laundry equipment has a touch display. The second laundry equipment may be communicatively connected to terminal equipment. The third acquiring module1001may be further configured to:acquire the washing request including a target mode identifier based on a touch operation applied to a mode icon displayed on the touch display; oracquire the washing request from the terminal equipment. In some examples, the device1000further includes a displaying module. The displaying module may be configured to display a mode icon of a candidate washing mode in a set order on a touch display of the second laundry equipment, or on a display interface of terminal equipment. The mode icon may be configured to identify the candidate washing mode corresponding to the mode icon. A module of a device according to an aforementioned example may perform an operation in a mode elaborated in an example of a method herein, which will not be repeated here. FIG.11is a block diagram of hardware of a device800for controlling laundry equipment according to an illustrative example. For example, the device800may be a mobile phone, a computer, a digital broadcasting terminal, a message transceiver, a game console, tablet equipment, medical equipment, fitness equipment, a Personal Digital Assistant (PDA), etc. Referring toFIG.11, the device800may include one or more components as follows: a processing component802, a memory804, a power component806, a multimedia component808, an audio component810, an Input/Output (I/O) interface812, a sensor component814, and a communication component816. The processing component802generally controls an overall operation of the display equipment, such as operations associated with display, a telephone call, data communication, a camera operation, a recording operation, etc. The processing component802may include one or more processors820to execute instructions so as to complete all or some steps of the method. In addition, the processing component802may include one or more modules to facilitate interaction between the processing component802and other components. For example, the processing component802may include a multimedia module to facilitate interaction between the multimedia component808and the processing component802. The memory804is configured to store various types of data to support operation on the device800. Examples of these data include instructions of any application or method configured to operate on the device800, contact data, phonebook data, messages, images, videos, and/etc. The memory804may be realized by any type of volatile or non-volatile storage equipment or combination thereof, such as Static Random Access Memory (SRAM), Electrically Erasable Programmable Read-Only Memory (EEPROM), Erasable Programmable Read-Only Memory (EPROM), Programmable Read-Only Memory (PROM), Read-Only Memory (ROM), magnetic memory, flash memory, magnetic disk, or compact disk. The power component806supplies electric power to various components of the device800. The power component806may include a power management system, one or more power supplies, and other components related to generating, managing and distributing electric power for the device800. The multimedia component808includes a screen providing an output interface between the device800and a user. The screen may include a Liquid Crystal Display (LCD) and a Touch Panel (TP). If the screen includes a TP, the screen may be realized as a touch screen to receive an input signal from a user. The TP includes one or more touch sensors for sensing touch, slide and gestures on the TP. The touch sensors not only may sense the boundary of a touch or slide move, but also detect the duration and pressure related to the touch or slide move. In some examples, the multimedia component808includes a front camera and/or a rear camera. When the device800is in an operation mode such as a shooting mode or a video mode, the front camera and/or the rear camera may receive external multimedia data. Each of the front camera and/or the rear camera may be a fixed optical lens system or may have a focal length and be capable of optical zooming. The audio component810is configured to output and/or input an audio signal. For example, the audio component810includes a microphone (MIC). When the device800is in an operation mode such as a call mode, a recording mode, and a voice recognition mode, the MIC is configured to receive an external audio signal. The received audio signal may be further stored in the memory804or may be sent via the communication component816. In some examples, the audio component810further includes a loudspeaker configured to output the audio signal. The I/O interface812provides an interface between the processing component802and a peripheral interface module. The peripheral interface module may be a keypad, a click wheel, a button, etc. These buttons may include but are not limited to: a homepage button, a volume button, a start button, and a lock button. The sensor component814includes one or more sensors for assessing various states of the device800. For example, the sensor component814may detect an on/off state of the device800and relative location of components such as the display and the keypad of the device800. The sensor component814may further detect a change in the location of the device800or of a component of the device800, whether there is contact between the device800and a user, the orientation or acceleration/deceleration of the device800, and a change in the temperature of the device800. The sensor component814may include a proximity sensor configured to detect existence of a nearby object without physical contact. The sensor component814may further include an optical sensor such as a Complementary Metal-Oxide-Semiconductor (CMOS) or Charge-Coupled-Device (CCD) image sensor used in an imaging application. In some examples, the sensor component814may further include an acceleration sensor, a gyroscope sensor, a magnetic sensor, a pressure sensor, or a temperature sensor. The communication component816is configured to facilitate wired or wireless/radio communication between the device800and other equipment. The device800may access a radio network based on a communication standard such as WiFi, 2G, 3G, . . . , or a combination thereof. In an illustrative example, the communication component816broadcasts related information or receives a broadcast signal from an external broadcast management system via a broadcast channel. In an illustrative example, the communication component816further includes a Near Field Communication (NFC) module for short-range communication. For example, the NFC module may be realized based on Radio Frequency Identification (RFID), Infrared Data Association (IrDA), Ultra-WideBand (UWB) technology, BlueTooth (BT) technology, and other technologies. In an illustrative example, the device800may be realized by one or more of Application Specific Integrated Circuits (ASIC), Digital Signal Processors (DSP), Digital Signal Processing Device (DSPD), Programmable Logic Devices (PLD), Field Programmable Gate Arrays (FPGA), controllers, microcontrollers, microprocessors or other electronic components, to implement the method. In an illustrative example, a non-transitory computer-readable storage medium including instructions, such as the memory804including instructions, is further provided. The instructions may be executed by the processor820of the device800to implement an aforementioned method. For example, the non-transitory computer-readable storage medium may be a Read-Only Memory (ROM), a Random Access Memory (RAM), a Compact Disc Read-Only Memory (CD-ROM), a magnetic tape, a floppy disk, optical data storage equipment, etc. A non-transitory computer-readable storage medium has stored therein instructions which, when executed by a processor of a device for controlling laundry equipment, allow the device for controlling laundry equipment to implement a method for controlling laundry equipment. The method is implemented at second laundry equipment. The method includes:acquiring, from a washing mode sharing platform, a target washing mode and a target washing parameter corresponding to the target washing mode; andcontrolling washing a to-be-washed object by the second laundry equipment according to the target washing mode according to the target washing parameter. FIG.12is a block diagram of hardware of a device for controlling laundry equipment according to an illustrative example. For example, the device900may be provided as a server. Referring toFIG.12, the device900may include a processing component922. The processing component may include one or more processors. The device may include a memory resource represented by memory932. The memory resource may be configured for storing an instruction executable by the processing component922, such as an APP. The APP stored in the memory932may include one or more modules. Each of the one or more modules may correspond to a group of instructions. In addition, the processing component922may be configured to execute instructions to implement the method for controlling laundry equipment. The method is implemented at a washing mode sharing platform. The method includes:acquiring a to-be-shared washing parameter of at least one first laundry equipment, and a to-be-shared washing mode corresponding to the to-be-shared washing parameter;generating a historical data set based on the to-be-shared washing parameter and the to-be-shared washing mode;determining a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set; andsending the target washing mode and the target washing parameter to second laundry equipment. The device900may further include a power supply component926. The power supply component may be configured for managing power of the device900. The device may further include a wired or wireless network interface950configured for connecting the device900to a network. The device may further include an Input/Output (I/O) interface958. The device900may operate based on an operating system stored in the memory932, such as a Windows Server™, a Mac OS X™, a Unix™, a Linux™, a FreeBSD™, etc. Other implementations of the present disclosure will be apparent to a person having ordinary skill in the art that has deemed the specification and practiced the present disclosure. The present disclosure is intended to cover any variation, use, or adaptation of the present disclosure following the general principles of the present disclosure and including such departures from the present disclosure as come within common knowledge or customary practice in the art. The specification and the examples are intended to be illustrative only, with a true scope and spirit of the present disclosure being indicated by the appended claims. It should be understood that the present disclosure is not limited to the exact construction that has been described above and illustrated in the accompanying drawings, and that various modifications and changes may be made to the present disclosure without departing from the scope of the present disclosure. It is intended that the scope of the present disclosure is limited only by the appended claims. According to a first aspect of the present disclosure, there is provided a method for controlling laundry equipment, implemented at a washing mode sharing platform. The method includes:acquiring a to-be-shared washing parameter of at least one first laundry equipment, and a to-be-shared washing mode corresponding to the to-be-shared washing parameter;generating a historical data set based on the to-be-shared washing parameter and the to-be-shared washing mode;determining a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set; andsending the target washing mode and the target washing parameter to second laundry equipment. Optionally, the method further includes:acquiring a washing request from the second laundry equipment or terminal equipment communicatively connected to the second laundry equipment. Determining the target washing mode and the target washing parameter corresponding to the target washing mode from the historical data set may include:determining the target washing mode and the target washing parameter corresponding to the target washing mode from the historical data set according to the washing request. Optionally, the washing request includes a target mode identifier. Determining the target washing mode from the historical data set according to the washing request may include:comparing the target mode identifier to a mode identifier of each of the to-be-shared washing mode in the historical data set, and determining the target washing mode from the historical data set according to a comparison result. Optionally, determining the target washing mode from the historical data set according to the comparison result includes:in response to the comparison result indicating a to-be-shared washing mode in the historical data set with a mode identifier same as the target mode identifier, determining, as the target washing mode, the to-be-shared washing mode with the mode identifier same as the target mode identifier. According to a second aspect of the present disclosure, there is provided a method for controlling laundry equipment, implemented at second laundry equipment. The method includes:acquiring, from a washing mode sharing platform, a target washing mode and a target washing parameter corresponding to the target washing mode; andcontrolling washing a to-be-washed object by the second laundry equipment according to the target washing mode according to the target washing parameter. Optionally, the method further includes:after the second laundry equipment has washed the to-be-washed object, acquiring a to-be-shared washing parameter based on the target washing parameter; anduploading the to-be-shared washing parameter to the washing mode sharing platform based on an uploading instruction detected. Optionally, acquiring the to-be-shared washing parameter based on the target washing parameter includes:editing the target washing parameter based on an editing instruction detected, acquiring the to-be-shared washing parameter. Optionally, the method further includes:acquiring a washing request corresponding to the target washing mode, wherein the washing request includes a target mode identifier. Acquiring, from the washing mode sharing platform, the target washing mode and the target washing parameter corresponding to the target washing mode may include:acquiring, from the washing mode sharing platform based on the target mode identifier, the target washing mode and the target washing parameter corresponding to the target washing mode. Optionally, the second laundry equipment has a touch display. The second laundry equipment may be communicatively connected to terminal equipment. Acquiring a washing request corresponding to the target washing mode may include:acquiring the washing request including a target mode identifier based on a touch operation applied to a mode icon displayed on the touch display; oracquiring the washing request from the terminal equipment. Optionally, the method further includes:displaying a mode icon of a candidate washing mode in a set order on a touch display of the second laundry equipment, or on a display interface of terminal equipment. The mode icon may be configured to identify the candidate washing mode corresponding to the mode icon. According to a third aspect of the present disclosure, there is provided a device for controlling laundry equipment, implemented at a washing mode sharing platform. The device includes a first acquiring module, a generating module, a determining module, and a sending module. The first acquiring module is configured to acquire a to-be-shared washing parameter of at least one first laundry equipment, and a to-be-shared washing mode corresponding to the to-be-shared washing parameter. The generating module is configured to generate a historical data set based on the to-be-shared washing parameter and the to-be-shared washing mode. The determining module is configured to determine a target washing mode and a target washing parameter corresponding to the target washing mode from the historical data set. The sending module is configured to send the target washing mode and the target washing parameter to second laundry equipment. Optionally, the device further includes a second acquiring module. The second acquiring module may be configured to acquire a washing request from the second laundry equipment or terminal equipment communicatively connected to the second laundry equipment. The determining module may be further configured to: determine the target washing mode and the target washing parameter corresponding to the target washing mode from the historical data set according to the washing request. Optionally, the washing request includes a target mode identifier. The determining module may be further configured to: compare the target mode identifier to a mode identifier of each of the to-be-shared washing mode in the historical data set, and determine the target washing mode from the historical data set according to a comparison result. Optionally, the determining module may be further configured to:in response to the comparison result indicating a to-be-shared washing mode in the historical data set with a mode identifier same as the target mode identifier, determine, as the target washing mode, the to-be-shared washing mode with the mode identifier same as the target mode identifier. According to a fourth aspect of the present disclosure, there is provided a device for controlling laundry equipment, implemented at second laundry equipment. The device includes a third acquiring module and a controlling module. The third acquiring module is configured to acquire, from a washing mode sharing platform, a target washing mode and a target washing parameter corresponding to the target washing mode. The controlling module is configured to control washing a to-be-washed object by the second laundry equipment according to the target washing mode according to the target washing parameter. Optionally, the device further includes a fourth acquiring module and an uploading module. The fourth acquiring module may be configured to, after the second laundry equipment has washed the to-be-washed object, acquire a to-be-shared washing parameter based on the target washing parameter. The uploading module may be configured to upload the to-be-shared washing parameter to the washing mode sharing platform based on an uploading instruction detected. Optionally, the fourth acquiring module is further configured to:edit the target washing parameter based on an editing instruction detected, acquiring the to-be-shared washing parameter. Optionally, the device further includes a fifth acquiring module. The fifth acquiring module may be configured to acquire a washing request corresponding to the target washing mode. The washing request may include a target mode identifier. The third acquiring module may be further configured to:acquire, from the washing mode sharing platform based on the target mode identifier, the target washing mode and the target washing parameter corresponding to the target washing mode. Optionally, the second laundry equipment has a touch display. The second laundry equipment may be communicatively connected to terminal equipment. The third acquiring module may be further configured to:acquire the washing request including a target mode identifier based on a touch operation applied to a mode icon displayed on the touch display; oracquire the washing request from the terminal equipment. Optionally, the device further includes a displaying module. The displaying module may be configured to display a mode icon of a candidate washing mode in a set order on a touch display of the second laundry equipment, or on a display interface of terminal equipment. The mode icon may be configured to identify the candidate washing mode corresponding to the mode icon. According to a fifth aspect of the present disclosure, there is provided a device for controlling laundry equipment, including:a processor; anda memory configured to store processor executable instructions. The processor is configured to implement any method of the first aspect, or may be configured to implement any method of the second aspect. According to a sixth aspect of the present disclosure, there is provided a non-transitory computer-readable storage medium having stored therein instructions which, when executed by a processor of a device for controlling laundry equipment, allow the device for controlling laundry equipment to implement any method of the first aspect, or may be configured to implement any method of the second aspect. A technical solution provided by examples of the present disclosure may include beneficial effects as follows. As may be seen from the examples, in the present disclosure, a washing mode sharing platform may generate a historical data set based on a to-be-shared washing parameter and a to-be-shared washing mode acquired from first laundry equipment, and send a target washing mode and a target washing parameter determined from the historical data set to second laundry equipment, allowing the second laundry equipment to wash a to-be-washed object according to the target washing mode and the target washing parameter. In examples of the present disclosure, a target washing mode and a target washing parameter corresponding to the target washing mode can be acquired from the washing mode sharing platform. The washing mode sharing platform stores an empirical parameter that has been verified and reviewed. Compared to washing a to-be-washed object through a fixed program preset by a manufacturer in related art, a target washing parameter corresponding to a target washing mode can be acquired directly from the washing mode sharing platform, and laundry equipment can be controlled to wash a to-be-washed object according to the target washing parameter, without having to modify and adjust respective parameters one by one, decreasing difficulty and complexity in washing. In addition, as each washing parameter of the washing mode sharing platform is a viewed and verified empirical parameter ready for a user to download and use without having to make multiple attempts, reducing possibility of a poor washing effect or damage to a to-be-washed object during the user attempts. | 72,022 |
11859330 | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Reference will now be made in detail to the preferred embodiments of the present disclosure, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. As used herein, the suffixes “module” and “unit” are added or used interchangeably to facilitate preparation of this specification and are not intended to suggest distinct meanings or functions. Accordingly, the terms “module” and “unit” may be used interchangeably. FIG.1is a perspective view illustrating a laundry treatment machine according to an embodiment of the present disclosure, andFIG.2is a side cross-sectional view illustrating the laundry treatment machine ofFIG.1. Referring toFIGS.1and2, the laundry treatment machine100according to an embodiment of the present disclosure conceptually includes a washing machine having fabric inserted therein for performing washing, rinsing and dewatering, or a dryer having wet fabric inserted therein. The washing machine will be mainly described below. The washing machine100includes a casing110forming an outer appearance, operation keys for receiving various control commands from a user, and a control panel115equipped with a display for displaying information on the operating state of the washing machine100to provide a user interface, and a door113rotatably installed in the casing110to open and close an entrance hole through which the laundry enters and exits. The casing110includes a body111for defining a space in which various components of the washing machine100can be accommodated and a top cover112provided at an upper side of the body111and forming a fabric entrance hole to allow the laundry to be introduced into an inner tub122therethrough. The casing110is described as including the body111and the top cover112, but the casing110is not limited thereto as long as it forms the appearance of the washing machine100. A support rod135is coupled to the top cover112which is one of the constituent elements of the casing110. However, the support rod135is not limited thereto and may be coupled to any part of the fixed portion of the casing110. The control panel115includes operation keys117for controlling an operation state of the laundry treatment machine100and a display118disposed on one side of the operation keys117to display the operation state of the laundry treatment machine100. The door113opens and closes a fabric entrance hole (not shown) formed in the top cover112and may include a transparent member such as reinforced glass to allow the inside of the body111to be seen. The washing machine100may include a washing tub120. The washing tub120may include an outer tub124containing wash water and an inner tub122rotatably installed in the outer tub124to accommodate laundry. A balancer134may be provided at the upper portion of the washing tub120to compensate for unbalance amount generated when the washing tub120rotates. Meanwhile, the washing machine100may include a pulsator133rotatably provided at a lower portion of the washing tub120. The driving apparatus138serves to provide a driving force for rotating the inner tub122and/or the pulsator133. A clutch (not shown) for selectively transmitting the driving force of the driving apparatus138may be provided such that only the inner tub122is rotated, only the pulsator133is rotated, or the inner tub122and the pulsator133are rotated at the same time. The driving apparatus138is operated by a driver220ofFIG.3, that is, a driving circuit. This will be described later with reference toFIG.3and other drawings. A detergent box114for accommodating various additives such as a laundry detergent, a fabric softener, and/or a bleaching agent is retrievably provided to the top cover112, and the wash water supplied through a water supply channel123flows into the inner tub122via the detergent box114. A plurality of holes (not shown) is formed in the inner tub122. Thereby, the wash water supplied to the inner tub122flows to the outer tub124through the plurality of holes. A water supply valve125for regulating the water supply channel123may be provided. The wash water is drained from the outer tub124through a drain channel143. A drain valve145for regulating the drain channel143and a drain pump141for pumping the wash water may be provided. Moreover, a circulation pump171for pumping wash water may be provided on an end of the drain channel143. The wash water pumped by the circulation pump171may be introduced into a washing tub120through a circulation channel144. The support rod135is provided to hang the outer tub124in the casing110. One end of the support rod135is connected to the casing110and the other end of the support rod135is connected to the outer tub124by a suspension150. The suspension150attenuates vibration of the outer tub124during the operation of the washing machine100. For example, the outer tub124may be vibrated by vibration generated as the inner tub122rotates. While the inner tub122rotates, the vibration caused by various factors such as unbalance laundry amount of laundry in the inner tub122, the rotational speed of the inner tub122or the resonance characteristics of the inner tub122can be attenuated. FIG.3is an internal block diagram of the laundry treatment machine ofFIG.1. Referring toFIG.3, in the laundry treatment machine100, the driver220is controlled by the main controller210, and the driver220drives the motor230. Thereby, the washing tub120is rotated by the motor230. Meanwhile, the laundry treatment machine100may include a motor630for driving the drain pump141and a drain pump driving apparatus620for driving the motor630. The drain pump driving apparatus620may be controlled by the main controller210. Meanwhile, the laundry treatment machine100may include a motor730for driving the circulation pump171and a circulation pump driving apparatus720for driving the motor730. The circulation pump driving apparatus720may be controlled by the main controller210. In this specification, the drain pump driving apparatus620may be referred to as a drain pump driver. The main controller210operates by receiving an operation signal from an operation key117. Accordingly, washing, rinsing, and dewatering processes may be performed. In addition, the main controller210may control the display118to display a washing course, a washing time, a dewatering time, a rinsing time, a current operation state, or the like. Meanwhile, the main controller210controls the driver220to operate the motor230. For example, the main controller210may control the driver220to rotate the motor230, based on a current detector225for detecting an output current flowing in the motor230and a position sensor235for sensing a position of the motor230. While it is illustrated inFIG.3that the detected current and the sensed position signal are input to the driver220, embodiments of the present disclosure are not limited thereto. The detected current and the sensed position signal may be input to the main controller210or to both the main controller210and the driver220. The driver220, which serves to drive the motor230, may include an inverter (not shown) and an inverter controller (not shown). In addition, the driver220may further include a converter or the like for supplying a direct current (DC) voltage input to the inverter (not shown). For example, when the inverter controller (not shown) outputs a switching control signal in a pulse width modulation (PWM) scheme to the inverter (not shown), the inverter (not shown) may perform a high-speed switching operation to supply an alternating current (AC) voltage at a predetermined frequency to the motor230. The main controller210may sense a laundry amount based on a current io detected by the current detector225or a position signal H sensed by the position sensor235. For example, while the washing tub120rotates, the laundry amount may be sensed based on the current value io of the motor230. The main controller210may sense an amount of eccentricity of the washing tub120, that is, an unbalance (UB) of the washing tub120. The sensing of the amount of eccentricity may be performed based on a ripple component of the current io detected by the current detector225or an amount of change in rotational speed of the washing tub120. Meanwhile, a water level sensor121may measure a water level in the washing tub120. For example, a water level frequency at a zero water level with no water in the washing tub120may be 28 KHz, and a frequency at a full water level at which water reaches an allowable water level in the washing tub120may be 23 KHz. That is, the frequency of the water level detected by the water level sensor121may be inversely proportional to the water level in the washing tub. The water level Shg in the washing tub output from the water level sensor121may be a water level frequency or a water level that is inversely proportional to the water level frequency. Meanwhile, the main controller210may determine whether the washing tub120is at a full water level, a zero water level, or a reset water level, based on the water level Shg in the washing tub detected by the water level sensor121. FIG.4illustrates an example of an internal block diagram of the drain pump driving apparatus ofFIG.1, andFIG.5illustrates an example of an internal circuit diagram of the drain pump driving apparatus ofFIG.4. Referring toFIGS.4and5, the drain pump driving apparatus620according to an embodiment of the present disclosure serves to drive the motor630in a sensorless manner, and may include an inverter420, an inverter controller430, and a main controller210. The main controller210and the inverter controller430may correspond to a controller and a second controller described in this specification, respectively. The drain pump driving apparatus620according to an embodiment of the present disclosure may include a converter410, a DC terminal voltage detector B, a DC terminal capacitor C, and an output current detector E. In addition, the drain pump driving apparatus620may further include an input current detector A and a reactor L. Hereinafter, an operation of each constituent unit in the drain pump driving apparatus620ofFIGS.4and5will be described. The reactor L is disposed between a commercial AC voltage source405(vs) and the converter410, and performs a power factor correction operation or a boost operation. In addition, the reactor L may also function to limit a harmonic current resulting from high-speed switching of the converter410. The input current detector A may detect an input current is is input from the commercial AC voltage source405. To this end, a current transformer (CT), a shunt resistor, or the like may be used as the input current detector A. The detected input current is is may be input to the inverter controller430or the main controller210as a discrete signal in the form of a pulse. InFIG.5, it is illustrated that the detected input current is is input to the main controller210. The converter410converts the commercial AC voltage source405having passed through the reactor L into a DC voltage and outputs the DC voltage. Although the commercial AC voltage source405is shown as a single-phase AC voltage source inFIG.5, it may be a 3-phase AC voltage source. The converter410has an internal structure that varies depending on the type of commercial AC voltage source405. Meanwhile, the converter410may be configured with diodes or the like without a switching device, and may perform a rectification operation without a separate switching operation. For example, in case of the single-phase AC voltage source, four diodes may be used in the form of a bridge. In case of the 3-phase AC voltage source, six diodes may be used in the form of a bridge. As the converter410, for example, a half-bridge type converter having two switching devices and four diodes connected to each other may be used. In case of the 3-phase AC voltage source, six switching devices and six diodes may be used for the converter. When the converter410has a switching device, a boost operation, a power factor correction, and a DC voltage conversion may be performed by the switching operation of the switching device. Meanwhile, the converter410may include a switched mode power supply (SMPS) having a switching device and a transformer. The converter410may convert a level of an input DC voltage and output the converted DC voltage. The DC terminal capacitor C smooths the input voltage and stores the smoothed voltage. InFIG.5, one element is exemplified as the DC terminal capacitor C, but a plurality of elements may be provided to secure element stability. While it is illustrated inFIG.5that the DC terminal capacitor C is connected to an output terminal of the converter410, embodiments of the present disclosure are not limited thereto. The DC voltage may be input directly to the DC terminal capacitor C. For example, a DC voltage from a solar cell may be input directly to the DC terminal capacitor C or may be DC-to-DC converted and input to the DC terminal capacitor C. Hereinafter, what is illustrated inFIG.5will be mainly described. Both ends of the DC terminal capacitor C may be referred to as DC terminals or DC link terminals because the DC voltage is stored therein. The DC terminal voltage detector B may detect a voltage Vdc between the DC terminals, which are both ends of the DC terminal capacitor C. To this end, the DC terminal voltage detector B may include a resistance element and an amplifier. The detected DC terminal voltage Vdc may be input to the inverter controller430or the main controller210as a discrete signal in the form of a pulse. InFIG.5, it is illustrated that the detected DC terminal voltage Vdc is input to the main controller210. The inverter420may include a plurality of inverter switching devices. The inverter420may convert the smoothed DC voltage Vdc into an AC voltage by an on/off operation of the switching device, and output the AC voltage to the synchronous motor630. For example, when the synchronous motor630is in a 3-phase type, the inverter420may convert the DC voltage Vdc into 3-phase AC voltages va, vb and vc and output the 3-phase AC voltages to the three-phase synchronous motor630as shown inFIG.5. As another example, when the synchronous motor630is in a single-phase type, the inverter420may convert the DC voltage Vdc into a single-phase AC voltage and output the single-phase AC voltage to a single-phase synchronous motor630. The inverter420includes upper switching devices Sa, Sb and Sc and lower switching devices S′a, S′b and S′c. Each of the upper switching devices Sa, Sb and Sc that are connected to one another in series and a respective one of the lower switching devices S′a, S′b and S′c that are connected to one another in series form a pair. Three pairs of upper and lower switching devices Sa and S′a, Sb and S′b, and Sc and S′c are connected to each other in parallel. Each of the switching devices Sa, S′a, Sb, S′b, Sc and S′c is connected with a diode in anti-parallel. Each of the switching devices in the inverter420is turned on/off based on an inverter switching control signal Sic from the inverter controller430. Thereby, an AC voltage having a predetermined frequency is output to the synchronous motor630. The inverter controller430may output the switching control signal Sic to the inverter420. In particular, the inverter controller430may output the switching control signal Sic to the inverter420, based on a voltage command value Sn input from the main controller210. The inverter controller430may output voltage information Sm of the motor630to the main controller210, based on the voltage command value Sn or the switching control signal Sic. The inverter420and the inverter controller430may be configured as one inverter module IM, as shown inFIG.4or5. The main controller210may control the switching operation of the inverter420in a sensorless manner. To this end, the main controller210may receive an output current io detected by the output current detector E and a DC terminal voltage Vdc detected by the DC terminal voltage detector B. The main controller210may calculate a power based on the output current io and the DC terminal voltage Vdc, and output a voltage command value Sn based on the calculated power. In particular, the main controller210may perform power control to stably operate the drain motor630and output a voltage command value Sn based on the power control. Accordingly, the inverter controller430may output a switching control signal Sic corresponding to the voltage command value Sn based on the power control. The output current detector E may detect an output current io flowing in the 3-phase motor630. The output current E may be disposed between the 3-phase drain motor630and the inverter420to detect an output current io flowing in the motor. In the drawing, it is illustrated that the a-phase current is detected, out of the phase current ia, ib, and ic which is the output current io flowing in the drain motor630. Meanwhile, as opposed to the drawing, the output current detector E may be disposed between the DC terminal capacitor C and the inverter420and sequentially detect the output current flowing in the motor. In this case, one shunt resistance element Rs may be used, and the phase current ia, ib, and ic flowing in the drain motor630may be detected in a time-division manner. The detected output current io may be input to the inverter controller430or the main controller210as a discrete signal in the form of a pulse. InFIG.5, it is illustrated that the detected output current idc is input to the main controller210. The 3-phase motor630includes a stator and a rotor. The rotor rotates when the AC voltage at a predetermined frequency for each phase is applied to a coil of the stator for each phase (phase a, b or c). Such a motor630may include a brushless DC (BLDC) motor. The motor630may include, for example, a surface-mounted permanent-magnet synchronous motor (SMPMSM), an interior permanent magnet synchronous motor (IPMSM), and a synchronous reluctance motor (SynRM). The SMPMSM and the IPMSM are permanent magnet synchronous motors (PMSM) employing permanent magnets, while the SynRM has no permanent magnet. FIG.6is an internal block diagram of a main controller ofFIG.5. Referring toFIG.6, the main controller210may include a speed calculator520, a power calculator521, a power controller523, and a speed controller540. The speed calculator520may calculate a speed of the drain motor630, based on the voltage information Sm of the motor630received from the inverter controller430. Specifically, the speed calculator520may calculate a zero crossing for the voltage information Sm of the motor630received from the inverter controller430, and calculate a speed of the drain motor630based on the zero crossing. The power calculator521may calculate a power P supplied to the motor630, based on the output current idc detected by the output current detector E and the DC terminal voltage Vdc detected by the DC terminal voltage detector B. The power controller523may generate a speed command value ω*r based on the power P calculated by the power calculator521and a preset power command value P*r. For example, the power controller523may generate the speed command value ω*r, while a PI controller525performs PI control, based on a difference between the calculated power P and the power command value P*r. Meanwhile, the speed controller540may generate a voltage command value Sn, based on the speed calculated by the speed calculator520and the speed command value ω*r generated by the power controller523. Specifically, the speed controller540may generate the voltage command value Sn, while a PI controller544performs PI control, based on a difference between the calculated speed and the speed command value ω*r. The generated voltage command value Sn may be output to the inverter controller430. The inverter controller430may receive the voltage command value Sn from the main controller210, and generate and output an inverter switching control signal Sic in the PWM scheme. The output inverter switching control signal Sic may be converted into a gate drive signal in a gate driver (not shown), and the converted gate drive signal may be input to a gate of each switching device in the inverter420. Thus, each of the switching devices Sa, S′a, Sb, S′b, Sc and S′c in the inverter420performs a switching operation. Accordingly, the power control can be performed stably. Meanwhile, during drainage, the main controller210according to the embodiment of the present disclosure may control the power supplied to the drain motor630to be constant without decreasing over time. Accordingly, the drainage time may be reduced. Meanwhile, the main controller210according to the embodiment of the present disclosure may perform power control on the drain motor630at the start of drainage, and, when the remainder of the water is reached, may finish the power control. Accordingly, drainage operation may be performed efficiently. The main controller210according to an embodiment of the present disclosure may control the voltage command value Sn and a duty of the switching control signal Sic to be greater as the output current io is at a smaller level. Accordingly, the motor630can be driven with a constant power. The drain motor630according to an embodiment of the present disclosure may be implemented as a brushless DC motor630. Accordingly, the power control, rather than constant-speed control, can be implemented in a simple manner. Meanwhile, the main controller210according to another embodiment of the present disclosure may be configured to increase the speed of the drain motor630during the drainage if the power supplied to the motor630does not reach the first power and to decrease the speed of the drain motor630if the power supplied to the motor630exceeds the first power. Meanwhile, the main controller210according to the embodiment of the present disclosure may control the speed of the motor630to be constant, if the power supplied to the motor630reaches the first power. Since the power control allows for driving at constant power as described above, the converter410supplies constant power, thereby improving the stability of the converter410. Also, the power control allows for minimizing a decrease in drainage performance according to installation conditions. Moreover, the drain motor630may be driven stably, and, therefore, the drainage time may be reduced. FIG.7is a view showing power supplied to a motor according to power control and speed control. When the power control is performed as in the embodiments of the present disclosure, a time-dependent waveform of the power supplied to the motor630may be exemplified as Pwa. FIG.7illustrates that the power is maintained in a substantially constant manner until time point Tm1by performing the power control, and the power control is terminated at time point Tm1. By performing the power control, the main controller210may control the power supplied to the motor630, during the drainage, to be constant without decreasing over time, although the water level in the washing tub120decreases. By performing the power control, the main controller210may control the power supplied to the motor630, during the drainage, to be the first power P1. In particular, even if the lift is changed, the main controller210may control the power supplied to the motor630, during the drainage, to be the constant first power P1, by performing the power control. At this time, the constant first power P1may mean that the motor630is driven with a power within a first allowable range Prag based on the first power P1. For example, the power within the first allowable range Prag may be a power pulsating within about 10% based on the first power P1. InFIG.7, it is illustrated that when the power control is performed, the motor630is driven with a power within the first allowable range Prag based on the first power P1from time point Tseta until time point Tm1when the drainage is completed, excluding an overshooting period Pov. Accordingly, water pumping can be performed smoothly even if the lift is changed during the drainage. In addition, the stability of the converter410can be improved. Here, the first allowable range Prag may be greater as the first power P1is at a higher level. In addition, the first allowable range Prag may be greater as a drainage completion period Pbs is longer. That is, when the lift is at a reference level Iref, the main controller210may control the motor630to be driven with a power within the first allowable range Prag based on the first power P1, without decreasing over time, from first time point Tseta after the drainage is started until time point Tm1when the drainage is completed, and when the lift is at a second level, the main controller210may control the motor630to be driven with a power within the first allowable range Prag based on the first power P1, without decreasing over time, from first time point Tseta until time point Tm1when the drainage is completed. To this end, when the power control is performed during the drainage, the main controller210may calculate a power based on the output current io and the DC terminal voltage Vdc and output a voltage command value Sn based on the calculated power, and the inverter controller430may output a switching control signal Sic to the motor630based on the voltage command value Sn. Meanwhile, the main controller210may control the voltage command value Sn and a duty of the switching control signal Sic to be greater as the output current io is at a smaller level. Accordingly, the motor630can be driven with a constant power. Meanwhile, the main controller210may control the power supplied to the drain motor630to increase abruptly during a period PoV to perform power control. Meanwhile, the main controller210may control the power supplied to the drain motor630to decrease abruptly from the time point Tm1. Unlike the embodiments of the present disclosure, when the speed control is performed, that is, when the speed of the drain motor630is controlled to be maintained constantly, a time-dependent waveform of the power supplied to the motor630may be exemplified as Pwb. In the drawing, it is illustrated that the speed control is performed until time point Tm2, and the speed control is terminated at time point Tm2. The waveform Pwb of the power based on the speed control indicates that the power supplied to the motor630may be gradually reduced, while the speed of the motor630is constant, as the water level in the washing tub decreases during the drainage. InFIG.7, it is illustrated that, during a speed control period Pbsx, the power supplied to the motor630is gradually reduced up to approximately Px at time point Tm2when the drainage is completed. Accordingly, the time when the operation of the motor630is terminated in a case where the speed control is performed is Tm2, which is delayed by approximately period Tx, when compared to that in a case where the power control is performed. Consequently, according to the embodiments of the present disclosure, since the power control is performed during the drainage, the drainage time can be shortened by approximately period Tx, when compared to that in the case where the speed control is performed. In addition, the power supplied from the converter410can be kept constant, thereby improving the operation stability of the converter410. FIG.8illustrates an example of an internal block diagram of a drain pump driving apparatus according to an embodiment of the present disclosure.FIGS.9to11are views referred to in the description ofFIG.8. First of all, referring toFIG.8, the drain pump driving apparatus620according to an embodiment of the present disclosure may include a converter410for converting an alternating current (AC) voltage into direct current (DC) voltage, a voltage dropper VDS for dropping a DC voltage from the converter410, an inverter module IM that operates based on a first voltage Vind from the voltage dropper VDS and outputs converted AC voltage to a drain pump motor630by a switching operation, and a main controller210that operates based on a second voltage Vin from the voltage dropper VDS and controls the inverter module IM. The converter410, the inverter module IM, and the main controller210may correspond to the converter described with reference toFIGS.5and6. Meanwhile, the inverter module IM may include an inverter420and an inverter controller430. The main controller210may output a timer signal Sti, a rotational direction signal Sdr, and a voltage command value Sn. As described above, the inverter controller430may generate a switching control signal Sic and output it to the inverter420, based on the voltage command value Sn. Meanwhile, the timer signal Sti, rotational direction signal Sdr, and voltage command value Sn may be inputted into the inverter module IM through the RC filter RCFa and RCFb and voltage distribution sections VDa and VDb illustrated inFIG.9. That is, the drain pump driving apparatus620according to an embodiment of the present disclosure may further include RC filter RCFa and RCFb for performing RC filtering on signals outputted from the main controller210and voltage distribution sections VDa and VDb for performing voltage distribution on signals filtered by the RC filter RCFa and RCFb and outputting the voltage-distributed signals to the inverter module IM. Accordingly, it is possible to perform stable signal input into the inverter module IM. Meanwhile, the drain pump driving apparatus620according to an embodiment of the present disclosure may further include a regulator REG for converting the level of the second voltage Vin from the voltage dropper VDS and outputting a converted third voltage Vout to the main controller210. In this case, the level of the third voltage Vout may be lower than the level of the first voltage Vind. By means of the regulator REG, the third voltage Vout may be stably outputted to the main controller210, and therefore the drain motor may be stably driven. Meanwhile, the drain pump driving apparatus620according to an embodiment of the present disclosure may further include a voltage adjuster Vcp that adjusts the level of voltage information Sm of the motor630outputted from the inverter module IM and outputs the adjusted voltage information Sm to the main controller210. Accordingly, it is possible to adjust the voltage difference between the inverter module and the controller. FIG.10illustrates an internal circuit diagram of the voltage adjuster Vcp. Referring to the drawing, the voltage adjuster Vcp has a transistor Tr, and may adjust the level of the voltage information Sm of the motor630outputted from the inverter module IM and output the adjusted voltage information Sm, based on the turn-on of the transistor Tr. Meanwhile, the drain pump driving apparatus620according to an embodiment of the present disclosure may further include an RC filter section RCFc that is disposed at an output of the voltage adjuster Vcp and performs RC filtering on signals outputted from the voltage adjuster Vcp. Accordingly, it is possible to remove noise in the signals outputted from the voltage adjuster Vcp. Meanwhile, the main controller210may calculate the speed of the motor630based on the voltage information Sm of the motor630. Also, the main controller210may output a voltage command Sn based on the voltage information Sm of the motor630. Meanwhile, the inverter module IM may further include an output current detector E. Accordingly, the inverter module IM may output information regarding the output current io flowing in the motor630. Meanwhile, the drain pump driving apparatus620according to an embodiment of the present disclosure may further include an amplifier Amp that amplifies the output current io from the inverter module IM flowing in the motor630and outputs information regarding the amplified output current io to the main controller210. Accordingly, the main controller210may receive information regarding the amplified output current io and generate a correct voltage command value Sn. Accordingly, it is possible to stably drive the drain motor. FIG.11illustrates an internal circuit diagram of the amplifier Amp. Referring to the drawing, the output current io from the inverter module IM is voltage-distributed by a plurality of resistance elements Rpa, Rpb, Rpc, Rpd, Rpe, and Rpf, and the voltage-distributed output current io is amplified by an operational amplifier OP amp and information regarding the amplified output current io is inputted into the main controller210. That is, the amplifier Amp may include an operational amplifier OP amp for amplifying the output current io. Accordingly, it is possible to stably drive the drain motor. FIG.12illustrates an example of an internal block diagram of a drain pump driving apparatus according to another embodiment of the present disclosure. Referring to the drawing, the drain pump driving apparatus620bofFIG.12is similar to the drain pump driving apparatus620ofFIG.8but different in that it has no voltage adjuster Vcp. Referring to the drawing, the drain pump driving apparatus620according to another embodiment of the present disclosure may include a converter410for converting an alternating current (AC) voltage into direct current (DC) voltage, a voltage dropper VDS for dropping a DC voltage from the converter410, an inverter module IM that operates based on a first voltage Vind from the voltage dropper VDS and outputs converted AC voltage to a drain pump motor630by a switching operation, and a main controller210that operates based on the first voltage Vind from the voltage dropper VDS and controls the inverter module IM. In this case, it is desirable that the voltage Vind applied to the inverter module IM and the voltage Vout applied to the main controller210are the same. Accordingly, it is possible to omit the voltage adjuster between the inverter module IM and the main controller210. FIG.13illustrates an example of an internal block diagram of a drain pump driving apparatus according to yet another embodiment of the present disclosure. Referring to the drawing, similarly toFIG.8, the drain pump driving apparatus620according to yet another embodiment of the present disclosure may include a converter410, a regulator REG, an inverter module IM for outputting a converted AC voltage to a drain pump motor630by a switching operation, and a main controller210for controlling the inverter module IM. Meanwhile, as opposed toFIG.8, the drain pump driving apparatus620according to yet another embodiment of the present disclosure may further include a second inverter module IMb that operates based on a first voltage Vind from a voltage dropper VDS and outputs converted AC voltage to a circulation pump motor730by a switching operation. The main controller210may control the second inverter module IMb. Accordingly, it is possible to perform simultaneous control the drain pump motor630and the circulation pump motor730by means of the main controller210. Meanwhile, the second inverter module IMb may include a second inverter420band a second inverter controller (not shown). The main controller210may output a timer signal St, a rotational direction signal Sdr, and a voltage command Sn to the inverter module IM and output a second timer signal Stib, a second rotational direction signal Sdrb, and a second voltage command Snb to the second inverter module IMb. As described above, the inverter controller430may generate a switching control signal Sic and output it to the inverter420, based on the voltage command value Sn. The second inverter controller (not shown) may generate a second switching control signal Sicb based on the second voltage command value Snb and generate a switching control signal Sic and output it to the second inverter420bbased on the voltage command value Sn. Meanwhile, the second timer signal Stib, second rotational direction signal Sdrb, and second voltage command Snb may be inputted into the second inverter module IMb through the RC filter RCFa and RCFb and voltage distribution sections VDa and VDb illustrated inFIG.9. Meanwhile, a drain pump driving apparatus1820according to an embodiment of the present disclosure may further include a second voltage adjuster Vcpb that adjusts the level of second voltage information Smb of the circulation pump motor730outputted from the second inverter module IMb and outputs the adjusted second voltage information Smb to the main controller210. Accordingly, it is possible to adjust the voltage difference between the second inverter module IMb and the main controller210. Meanwhile, the second voltage adjuster Vcpb may include such an internal circuit as shown inFIG.10. Meanwhile, the drain pump driving apparatus1820according to yet another embodiment of the present disclosure may further include an RC filter (not shown) for performing RC filtering on signals outputted from the second voltage adjuster Vcpb. Meanwhile, the main controller210may calculate the speed of the circulation pump motor730based on the second voltage information Smb of the motor730. Also, the main controller210may output a second voltage command Snb based on the second voltage information Smb of the circulation pump motor730. Meanwhile, the second inverter module IMb may further include an output current detector Eb. Accordingly, the second inverter module IMb may output information regarding the output current iob flowing in the circulation pump motor730. Meanwhile, the drain pump driving apparatus1820according to yet another embodiment of the present disclosure may further include a second amplifier Ampb that amplifies the second output current iob from the second inverter module IMb flowing in the circulation pump motor730and outputs information regarding the amplified output current iob to the main controller210. Accordingly, the main controller210may receive information regarding the amplified output current iob and generate a correct second voltage command value Snb. Accordingly, it is possible to stably drive the circulation pump motor730. Meanwhile, the second amplifier Ampb may be configured like the circuit ofFIG.11. Meanwhile,FIG.1illustrates a top loading type machine as a laundry treatment machine, but the drain pump driving apparatus620according to an embodiment of the present disclosure may also be applied to a front loading type machine, that is, a drum type machine. Meanwhile, the drain pump driving apparatus620,620b, and1820according to embodiments of the present disclosure may be applied to various machines such as dishwashers and air conditioners, in addition to the laundry treatment machine100. The drain pump driving apparatus and the laundry treatment machine including the same according to embodiments of the present disclosure are not limited to the configurations and methods of the above-described embodiments, and various modifications to the embodiments may be made by selectively combining all or some of the embodiments. Meanwhile, a method for operating the drain pump driving apparatus and the laundry treatment machine according to the present disclosure can be implemented with processor-readable codes in a processor-readable recording medium provided for each of the drain pump driving apparatus and the laundry treatment machine. The processor-readable recording medium includes all kinds of recording devices for storing data that is readable by a processor. It will be apparent that, although the preferred embodiments of the present disclosure have been illustrated and described above, the present disclosure is not limited to the above-described specific embodiments, and various modifications can be made by those skilled in the art without departing from the gist of the present disclosure as claimed in the appended claims. The modifications should not be understood separately from the technical spirit or prospect of the present disclosure. | 40,324 |
11859331 | DETAILED DESCRIPTION The embodiments described below are exemplified for understanding of the disclosure and it should be understood that the disclosure may be modified and performed variously unlike in the examples described herein. However, in describing the disclosure, a detailed description of the related art or configuration may be omitted when it is determined that the detailed description may unnecessarily obscure a gist of the disclosure. In addition, the accompanying drawings may not be illustrated with actual scales but may be illustrated with enlarged dimensions of some elements, for the understanding of the disclosure. The terms used in the specification and claims have been selected as general terms as possible in consideration of functions in the embodiments of the disclosure. But, these terms may vary in accordance with the intention of those skilled in the art, the precedent, technical interpretation, the emergence of new technologies and the like. In addition, there are also terms arbitrarily selected by the applicant. Such terms may be interpreted as meanings defined in this specification and may be interpreted based on general content of the specification and common technical knowledge of the technical field, if there are no specific term definitions. In this disclosure, the terms such as “comprise”, “may comprise”, “consist of”, or “may consist of” are used herein to designate a presence of corresponding features (e.g., constituent elements such as number, function, operation, or part), and not to preclude a presence of additional features. Further, in the specification, elements necessary for describing each embodiment of the disclosure are described, and accordingly, there is no limitation thereto. Therefore, some elements may be changed or omitted and other elements may be added. In addition, the elements may be divided and disposed in different independent devices. The embodiments of the disclosure will be described in detail with reference to the accompanying drawings and description in the accompanying drawings, but the disclosure is not limited by the embodiments. An object of the disclosure is to provide a tub fixing device for making a user recognize the tub fixing device fixed to a washing machine and inducing the user to detach the tub fixing device, and a washing machine including the same. Hereinafter, the disclosure will be described in more detail with reference to the accompanying drawings. FIG.1is a cross-sectional view illustrating a washing machine100according to an embodiment. Referring toFIG.1, the washing machine100may include a cabinet110, a tub120, and a motor160. The washing machine100may remove contaminants attached to laundry by chemical decomposition and mechanical impact. A type of the washing machine100may be a drum washing machine for washing laundry using drop of the laundry while rotating a drum, but is not limited thereto. The cabinet110may form appearance of the washing machine100. The appearance of the washing machine100may be formed substantially in a shape of a rectangle by the cabinet110but there is no limitation thereto. The cabinet110may be provided with a control panel (not illustrated) including a manipulation panel for receiving operation commands of the washing machine100from a user and a display for displaying operation information of the washing machine100. An insertion opening111is provided on a front surface of the cabinet110to load laundry to the drum130inside thereof, and openings121and131corresponding to the insertion opening111may be provided on front surfaces of the tub120and the drum130, respectively. A door113for opening and closing the insertion opening111may be rotatably installed on the front surface of the cabinet110. A diaphragm115may be provided between the insertion opening111of the cabinet110and the opening121of the tub120. The diaphragm115may form a passage for the laundry to pass between the insertion opening111of the cabinet110and the opening121of the tub120, and reduce vibration transferred to the front surface of the cabinet110during the rotation of the drum130. In addition, the diaphragm115may prevent wash water of the tub120from leaking to outside of the cabinet110. A spring117for supporting the tub120at the upper side may be provided between the tub120and the cabinet110. The spring117may play a role of alleviating vibration and noise generated due to flowing of the tub120by elasticity. In addition, the tub120may be supported by at least one damper119in order to alleviate the vibration and impact generated by the flowing of the tub120. The damper119may be installed on a bottom surface of the inside the cabinet110to support the tub120. In another example, the damper119may be installed at upper, right, or left side of the inside the cabinet110, in addition to the bottom surface, to support the tub120. A water supply device for supplying water to the tub120may be provided on the upper side of the inside the cabinet110, and a drainage device150for draining wash water used for the washing to outside may be provided on the lower side of the inside the cabinet110. The water supply device may include a water supply pipe141connected to an external water supply source, a water supply valve142disposed in the water supply pipe141to open and close the water supply pipe141, a detergent supply device143for mixing a detergent with water transferred via the water supply pipe141, and a wash water supply pipe144connecting the detergent supply device143and the tub120to guide water and the detergent to the tub120. The drainage device150may include a drain151connected to a lower portion of the tub120to guide the wash water used in the tub120to be discharged to the outside of the cabinet110, and a drainage pump152provided in the drain151to discharge the wash water to the outside via the drainage151. The drum130may be formed in a hollow cylindrical shape and a plurality of through-holes135for wash water to pass may be provided on an outer peripheral surface of the drum130. A plurality of lifters133for lifting the laundry up may be disposed on an inner peripheral surface of the drum130in a circumferential direction at regular interval. The motor160may be a motor for rotating the drum130and may be installed on a rear surface of the tub120. A driving shaft of the motor160may be installed on the rear surface of the drum130to transfer power of the motor160to the drum130. When carrying the washing machine100, the unstable flowing of the tub120which is simply fixed by the spring and the damper may occur. Accordingly, the tub fixing device200may fix the tub120to the rear surface of the cabinet110to prevent the flowing of the tub120. Specific configuration and function of the tub fixing device200will be described below with reference toFIG.2. FIG.2is a cross-sectional view of an enlarged part A ofFIG.1. Referring toFIG.2, the tub fixing device200may include a bolt210, a first spacer220, a second spacer230, and a washer300. The plurality of tub fixing devices200according to an embodiment of the disclosure may be formed with fastening holes121of the tub120and grooves112of the cabinet110. Particularly, the number of each of the tub fixing devices200, the fastening holes121, and the grooves112may be 3 to 5, but is not limited thereto, and it is enough if it is the number for stably fixing the tub120while carrying the washing machine100. Hereinafter, for convenience of description, one tub fixing device200will be described. The bolt210may be inserted to the fastening hole121of the tub120to fix the tub120to the rear surface of the cabinet110. Specifically, the bolt210may penetrate the groove112of the cabinet110formed in a region corresponding to the fastening hole121to be inserted to the fastening hole121. A region of the first spacer220may be disposed between the cabinet110and the washer300to space the cabinet110and the washer300apart from each other. In addition, the one region of the first spacer220may have a larger diameter than that of the groove112so that it is not inserted to the groove112of the cabinet110. The first spacer220may be formed of rubber and disposed to come into contact with the inner and outer sides of the rear surface of the cabinet110. Accordingly, a damage on the cabinet110due to the bolt210or the washer300may be prevented. The second spacer230may space the tub120and the cabinet110apart from each other. In addition, a through-hole (not illustrated) may be formed therein so that the bolt210is able to penetrate. The washer300may be disposed between a head211of the bolt210and the first spacer220. The washer300may disperse the pressure of the head211of the bolt210to protect a surface of the first spacer220. Meanwhile, the washer300may include a region having a hook shape and the specific function thereof will be described below. FIG.3is a rear view illustrating a rear side of a cabinet110according to an embodiment. Referring toFIG.3, one region of a power cord400supplying power to the washing machine100may be fit to the washer300. When installing the washing machine100, the user has to use the power cord400fit to the region of the washer300having a hook shape and may recognize and detach the tub fixing device200during this process. Accordingly, it is possible to prevent breakdown of the washing machine100occurring due to the driving without detaching the tub fixing device200. It is illustrated that four tub fixing devices200are formed on the rear surface of the cabinet110, but the number thereof is not limited thereto, and various numbers thereof may be formed according to a size of the washing machine100or a length of the power cord400. FIG.4is a plane view illustrating a tub fixing device200according to an embodiment.FIG.5is a perspective view illustrating a washer300of a tub fixing device200. Referring toFIGS.4and5, the tub fixing device200, particularly the washer300according to an embodiment of the disclosure will be described in detail. The same reference numerals may be applied to the same configuration as in the embodiment described above and the description thereof will not be repeated. Referring toFIGS.4and5, the tub fixing device200may include the bolt210, the first spacer220, the second spacer230, and the c300. The washer300may include a washer region320having one surface in contact with the head211of the bolt210and the other surface in contact with the first spacer220, and a hook region310protruded from the washer region320to have a hook shape. The washer region320may have a circular shape and a washer hole321penetrating the washer region320in a thickness direction may be formed. A diameter of the washer hole321may be formed to correspond to a diameter of the bolt210and the bolt210may penetrate the washer hole321. The hook region310may have a hook shape so as to cover the power cord400of the washing machine100. Specifically, the hook region310may have a “U” shape, and accordingly, one region of the power cord400may be stably fixed by the hook region310. A width W of the hook region310may be 12 to 20 mm, but is not limited thereto, and may be variously formed according to the size of the washing machine100or the power cord400. One side311of the hook region310may be curved to be connected to the washer region320. Specifically, the one side311of the hook region310may be formed to be curved towards the outside of the rear surface of the cabinet110. Accordingly, the hook region310may be spaced farther from the rear surface of the cabinet110, thereby minimizing interference of the hook region310and the power cord400fit to the hook region310with respect to the cabinet110. The hook region310may include two or more curved regions and the other side312of the hook region310may be formed to be parallel to the cabinet110. Accordingly, it is possible to prevent damage on the cabinet110due to the other side312of the hook region310. An open space314may be formed inside of the hook region310. The open space314may have an interval (L) of 6 to 10 mm, but is not limited thereto and may be formed in various sizes according to the diameter of the power cord400. When carrying the washing machine100, the bolt210may penetrate through the washer300, the first spacer220, and the second spacer230in sequence, while the power cord400is fit to the open space314. Accordingly, the bolt210may be inserted to the fastening hole121of the tub120to fix the tub120to the rear surface of the cabinet110. Before the tub fixing device200is assembled as described above, the power cord400may be fit to the open space314of the hook region310. However, after the tub fixing device200is assembled, the power cord400may not be easily detached since the open space314is limited due to the first spacer220. A diameter D2of the first spacer220may be formed to be greater than a diameter D1of the washer region320. Accordingly, the first spacer220may limit the open space314of the hook region310more effectively. The first spacer220may be formed to have a small size so that it does not come into contact with the other side312of the hook region310. Accordingly, the first spacer220and the hook region310may be disposed to be adjacent to each other while not interfering each other. The user may detach the power cord400from the hook region310, only when the tub fixing device200is detached from the washing machine100. Accordingly, the user may necessarily recognize the presence of the tub fixing device200fastened to the washing machine100and detach this, in order to drive the washing machine100. Therefore, it is possible to prevent vibration, noise, and the like generated due to the driving of the washing machine100while the tub120is fixed. The washer300may be formed of a metal and may be formed of, particularly, pickled-oiled (PO) steel sheets. Since the washer300is formed to have a great rigidity, even if the user attempts to detach the power cord400with strong power, the power cord400may be stably fixed while the washer300is not deformed. An inner side313of the hook region310in contact with the power cord400may be deburred to have a smooth surface. Accordingly, it is possible to prevent damage on the power cord400due to a burr of the hook region310. While preferred embodiments of the disclosure have been shown and described, the disclosure is not limited to the aforementioned specific embodiments, and it is apparent that various modifications can be made by those having ordinary skill in the technical field to which the disclosure belongs, without departing from the gist of the disclosure as claimed by the appended claims. Also, it is intended that such modifications are not to be interpreted independently from the technical idea or prospect of the disclosure. | 14,821 |
11859332 | DETAILED DESCRIPTION Embodiments disclosed in the specification and components shown in the drawings are merely examples of the present disclosure and various modifications capable of replacing the embodiments and drawings of the specification may be made at the time of filing the present application. Also, throughout the drawings of the present specification, like reference numerals or symbols refer to components or elements configured to perform substantially identical functions. Also, the terms used herein are to explain the embodiments but are not intended to limit and/or define the present disclosure. Singular forms, unless defined otherwise in context, include plural forms. Throughout the specification, the terms “comprise”, “have”, and the like are used herein to specify the presence of stated features, numbers, steps, operations, elements, components or combinations thereof but do not preclude the presence or addition of one or more other features, numbers, steps, operations, elements, components, or combinations thereof. Also, even though the terms including ordinals such as “first”, “second”, and the like may be used for describing various components, the components will not be limited by the terms and the terms are used only for distinguishing one element from others. For example, without departing from the scope of the present disclosure, a first component may be referred to as a second component, and similarly, the second component may be referred to as the first component. The term “and/or” includes any and all combinations or one of a plurality of associated listed items. Hereinafter, the embodiments will be described in detail with reference to the attached drawings. FIG.1is a perspective view of a washing machine according to an embodiment of the present disclosure.FIG.2is an exploded view illustrating some components of the washing machine shown inFIG.1.FIG.3is a cross-sectional view of the washing machine shown inFIG.1. As shown inFIGS.1to3, a washing machine1may include a front-loading type first washer which includes a laundry insertion hole formed at a front of a first washing space115and a top-loading type second washer which includes a laundry insertion hole formed at a top of a second washing space215. The washing machine1may include a first drum110in which the first washing space115is formed and a first tub120which accommodates the first drum110and stores washing water or rinsing water to be used in a washing operation or a rinsing operation. The first drum110and the first tub120may have a cylindrical shape with at least partially opened one surface and may be disposed to allow the opened one surface to face frontward. In detail, the first drum110may include an opening114for inserting laundry at a front thereof, and the first tub120may include an opening123for inserting laundry at a front thereof. The washing machine1may include a first housing130in which the first drum110and the first tub120are disposed. In detail, the first housing130with an open top may include a pair of first side panels131which form side surfaces of the first housing130, a first rear panel134which forms a rear surface, and a bottom panel132which forms a bottom surface. The first side panels131and the first rear panel134may be integrated. Also, the washing machine1may include a spring151and a damper150, capable of supporting the first tub120to the first housing130. The damper150may connect an outer surface of the first tub120to the bottom panel132to support the first tub120at a bottom thereof, and the spring151may connect the outer surface of the first tub120to spring couplers133provided at a top of the first side panels131to support the first tub120at a top thereof. The spring151and the damper150may buffer vibrations, noises, and shocks, which occur due to a movement of the first tub120. Installation positions of the spring151and the damper150are not limited to the top of the first side panels131and the bottom panel132, and one surface of the first tub120and another part of the first housing130may be connected to support the first tub120. The washing machine1may include a first driving motor140disposed in the rear of the first tub120to rotate the first drum110. A first driving shaft141for transmitting power of the first driving motor140may be connected to a rear surface of the first drum110. A plurality of through holes111for a flow of washing water may be formed at a circumference of the first drum110. A plurality of lifters113may be installed at an inner circumferential surface of the first drum110to allow laundry to move upward and fall down when the first drum110rotates. A first balancer112may be mounted on a front of the first drum110to allow the first drum110to stably rotate during high-speed spinning. The first driving shaft141may be disposed between the first drum110and the first driving motor140. One end of the first driving shaft141is connected to a rear panel of the first drum110, and the other end of the first driving shaft141is extended outward from a rear wall of the first tub120. When the first driving motor140drives the first driving shaft141, the first drum110connected to the first driving shaft141rotates around the first driving shaft141. A bearing housing142may be installed at the rear wall of the first tub120to rotatably support the first driving shaft141. The bearing housing142may be formed of an aluminum alloy and may be inserted into the rear wall of the first tub120when the first tub120is injection-molded. Bearings143are installed between the bearing housing142and the first driving shaft141to allow the first driving shaft141to smoothly rotate. The washing machine1may include a function of washing with water at high temperature. To obtain the water at high temperature, a heater180capable of heating washing water or rinsing water accommodated in the first tub120may be disposed at a bottom surface of the first tub120. The washing machine1may include a first drain pump170disposed below the first tub120to discharge water in the first tub120outward from the washing machine1, a first connecting hose171which connects a first drain hole173of the first tub120to the first drain pump170to allow the water in the first tub120to flow into the first drain pump170, a circulating hose174which connects the first drain pump170to the first tub120to circulate the water which flows into the first drain pump170through the first tub120, and a first drain hose172which guides water pumped by the first drain pump170to the outside of the washing machine1. The washing machine1may include a front cover40at which a first insertion hole41for inserting laundry into the first washing space115is disposed, and a first door160for opening and closing the first insertion hole41may be coupled to the front cover40. The first door160may be provided corresponding to the first insertion hole41and may be provided to be pivotable with respect to the front cover40. The first door160may include a first door frame161, a first door cover162, and door glass163. The first door frame161has an approximately annular shape as illustrated, but may have an approximately quadrangular shape. The first door cover162and the door glass163may be formed of transparent materials to allow an inside of the first drum110to be seen from the outside of the washing machine1even when the first door160closes the first insertion hole41. The door glass163may be disposed to convexly protrude from the first door frame161toward the inside of the first drum110. Through the configuration, the door glass163may be inserted into the first insertion hole41when the first door160is closed. A first hinge (not shown) is provided near the first insertion hole41and coupled to a first hinge coupler (not shown) formed on one side of the first door frame161to allow the first door160to pivot with respect to the front cover40. A first hook166is provided on the other side of the first door frame161and a first hook accommodating portion42is provided at the front cover40corresponding to the first hook166such that the first door160may remain in a state of closing the first insertion hole41. To insert laundry into the first washing space115even when the first door160is closed, the first door160may include an auxiliary laundry insertion hole167and an auxiliary door164for opening and closing the auxiliary laundry insertion hole167. The auxiliary door164may be pivotably mounted on the first door cover162. To insert laundry into the washing machine1through the auxiliary laundry insertion hole167of the first door160, it is necessary to allow the laundry to pass through the door glass163. For this, the door glass163includes a glass through hole168. As an alternative, it is possible to configure door glass not to be disposed in the rear of the auxiliary laundry insertion hole167by recessing a top of the door glass. The first door160may include a connection guide165to connect the auxiliary laundry insertion hole167of the first door160to the glass through hole168of the door glass163. The connection guide165may have a pipe shape with both ends open and a hollow center. In detail, one end of the connection guide165is connected to the auxiliary laundry insertion hole167, and the other end is connected to the glass through hole168. In the embodiment, the connection guide165may be tilted downward from a front to a rear. That is, the one end of the connection guide165connected to the auxiliary laundry insertion hole167may be at a position higher than that of the other end thereof. Through the configuration, a user may easily insert laundry into the first drum110through the auxiliary laundry insertion hole167. The first door160has been described as including the auxiliary door164in the embodiment but is not limited thereto. The first door160may be configured without an auxiliary laundry insertion hole, an auxiliary door, a connection guide, and the like. The washing machine1may include a diaphragm121disposed between the first insertion hole41of the front cover40and the opening123of the first tub120. The diaphragm121may form a path from the first insertion hole41to the opening114of the first drum110and reduce vibrations transferred to the front cover40during rotation of the first drum110. Also, a part of the diaphragm121may be disposed between the first door160and the front cover40to prevent washing water in the first tub120from leaking outward from the washing machine1. The washing machine1may include a second drum210in which the second washing space215is formed and a second tub220which accommodates the second drum210and stores washing water or rinsing water to be used in a washing operation or a rinsing operation. The second drum210and the second tub220may have a cylindrical shape with at least one partially opened surface and may be disposed to allow the at least one partially opened surface to face upward. The washing machine1may include a second housing230in which the second drum210and the second tub220are disposed and which includes an open bottom. In detail, the second housing230may include a lower frame231which includes a top and an open bottom and supports the second tub220and an upper frame232which includes a second insertion hole234for inserting laundry into the second washing space215and is mounted above the lower frame231. Also, the second housing230may include side covers233which form exteriors of a left surface and a right surface. The washing machine1may include a second door260disposed at the second housing230to open and close the second insertion hole234. The second door260may be provided corresponding to the second insertion hole234and may be provided to be pivotable with respect to the upper frame232. The second door260may include a second door frame261and a second door cover262. The second door cover262may be formed of a transparent material to allow the second tub220and the second drum210to be seen from the outside of the washing machine1even when the second door260closes the second insertion hole234. To allow the second door260to pivot with respect to the upper frame232, second hinges (not shown) are provided at both left and right sides of the second door frame261and coupled to second hinge couplers (not shown) formed around the second insertion hole234. A latch accommodating portion263is provided at a front of the second door frame261and a latch device (not shown) is provided at the upper frame232corresponding to the latch accommodating portion263of the second door frame261such that the second door260may remain in a state of closing the second insertion hole234. The second drum210may be provided to have a cylindrical shape with an open top and be disposed to be rotatable in the second tub220. A plurality of second through holes211for a flow of washing water may be formed at side surfaces and a bottom surface of the second drum210. A second balancer212may be mounted on a top of the second drum210to allow the second drum210to stably rotate during high-speed spinning. A filter300provided to filter out foreign substances which may occur during washing may be attached to an inner surface of the second drum210. A curve portion213for generating water currents may be formed at the bottom surface of the second drum210. Although not shown in the drawings, the washing machine1may further include a pulsator disposed in the second drum210to generate water currents. The second tub220may have a cylindrical shape and be supported by suspension devices250to the lower frame231. In detail, the second tub220may be supported by four suspension devices250to be suspended from the lower frame231. A third insertion hole214may be provided at a top surface of the second tub220corresponding to the second insertion hole234, and a third door280for opening and closing the third insertion hole214may be coupled thereto. The third door280may include a third door frame281and a third door cover282. The third door cover282may be formed of a transparent material to allow an inside of the second drum210to be seen from an outside of the second tub220even when the third door280closes the third insertion hole214. A third hinge (not shown) is provided near the third insertion hole214and coupled to a third hinge coupler (not shown) formed at one side of the third door frame281to allow the third door280to pivot with respect to the second tub220. A handle283capable of opening the third door280may be provided at the other side of the third door frame281, and a second hook284may be provided at the handle283. A second hook accommodating portion (not shown) is provided at the second tub220corresponding to the second hook284such that the third door280may remain in a state of closing the third insertion hole214. When the handle283is pulled, the second hook284may be separated from the second hook accommodating portion and open the third door280. The washing machine1may include a second driving motor240disposed outside a bottom of the second tub220to rotate the second drum210. A second driving shaft241for transmitting power of the second driving motor240may be connected to the bottom surface of the second drum210. One end of the second driving shaft241is connected to a bottom panel of the second drum210, and the other end of the second driving shaft241is extended outward from a bottom wall of the second tub220. When the second driving motor240drives the second driving shaft241, the second drum210connected to the second driving shaft241rotates around the second driving shaft241. Although not shown in the drawings, when the pulsator is disposed at the bottom surface of the second drum210, the washing machine1may further include a power switching device to simultaneously or selectively transfer a driving force generated from the second driving motor240to the second drum210and the pulsator. The washing machine1may include a second drain pump270disposed below the second tub220to discharge water in the second tub220to the outside of the washing machine1and a second drain hose272which guides the water pumped by the second drain pump270to the outside of the washing machine1. In detail, the second drain pump270may be mounted above the first housing130. A second drain hole273capable of draining water in the second tub220may be formed at a bottom surface of the second tub220. The second drain hole273and the second drain pump270may be connected by a second connecting hose271to allow the water in the second tub220to flow into the second drain pump270. The washing machine1may include a water supply device400capable of supplying washing water to the first tub120and the second tub220. The water supply device400may be disposed at the second housing230. In detail, the water supply device400may be disposed at the upper frame232or may be disposed in the rear of the second insertion hole234. Also, the washing machine1may include a detergent supply device500capable of supplying a detergent to the first tub120. The detergent supply device500may be disposed at the second housing230. In detail, the detergent supply device500may be disposed at the upper frame232or may be disposed in the front of the second insertion hole234. The washing machine1may include a fixing bracket30which couples the first housing130and the second housing230not to be separated. The fixing bracket30may be coupled to a front of the first housing130and a front of the second housing230. Also, the washing machine1may include a control panel50disposed above the front cover40to operate the washing machine1. The control panel50may include an interface which receives an operation command of the washing machine1from the user and a display which displays operation information of the washing machine1. FIG.4is an exploded perspective view illustrating the second housing of the washing machine shown inFIG.2.FIG.5is an enlarged view illustrating a part of the first housing of the washing machine shown inFIG.2.FIG.6is an enlarged view illustrating parts of the fixing bracket and the front cover of the washing machine shown inFIG.2.FIG.7is a side view illustrating a coupling position of the fixing bracket and the front cover of the washing machine shown inFIG.2. Referring toFIG.4, the lower frame231of the second housing230may include a first supporter238provided to hold the suspending device250. Also, the second tub220may include a second supporter221at a bottom of an outer surface thereof, on which the suspending device250is mountable. The suspending device250may be configured to connect the first supporter238of the lower frame231to the second supporter221of the second tub220. Because a front wall298, a rear wall297, and a pair of side walls296may be connected to surround a front, a rear, and sides of the second tub220and the first supporter238may be provided at a top end of each corner, the lower frame231may have adequate rigidity capable of supporting the second tub220using four suspending devices250. The upper frame232may include a first coupler235capable of being coupled to the lower frame231. The first coupler235may be disposed at bottom ends of left and right sides of the upper frame232. The lower frame231may include a second coupler237capable of being coupled to the upper frame232. The second coupler237may be disposed at a position corresponding to the first coupler235of the upper frame232of a top end of the lower frame231. The side cover233may be coupled to the upper frame232and the lower frame231to cover a side surface of the upper frame232and a side surface of the lower frame231. The side cover233may include a top end flange293capable of being coupled to the upper frame232, and the upper frame232may include a coupling groove236into which the top end flange293of the side cover233is insertable. A fastener239capable of being coupled to the upper frame232in the coupling groove236of the upper frame232may be provided at the top end flange293of the side cover233, and the fastener239may be coupled to the upper frame232by using a fastening member such as a screw and the like. A bottom end flange295capable of surrounding a part of a bottom surface of the lower frame231may be provided at a bottom end of the side cover233, and a rear end flange294capable of surrounding parts of rear surfaces of the upper frame232and the lower frame231may be provided at a rear end of the side cover233. After the lower frame231and the upper frame232are coupled, the top end flange293of the side cover233may be inserted into the coupling groove236of the upper frame232, and the bottom end flange295of the side cover233may be rotated and coupled to be located at the bottom surface of the lower frame231. After the side cover233is coupled, the rear end flange294of the side cover233may be fixed to the rear surfaces of the upper frame232and the lower frame231by using a fastening member such as a screw and the like. The lower frame231may generate vibrations due to the second tub220supported to the lower frame231. Also, the vibrations of the lower frame231may be transferred to the upper frame232by coupling between the lower frame231and the upper frame232. When the lower frame231and the upper frame232are disassembled by the vibrations and the like, the side cover233may prevent a consumer from being hurt, by restraining the lower frame231and the upper frame232from being randomly separated. Also, the side cover233may simplify side surfaces of the second housing230by covering left side surfaces and right side surfaces of the lower frame231and the upper frame232. When the second housing230is coupled to the first housing130, the first housing130and the second housing230may be allowed to have unifying aesthetics. Referring toFIGS.4and6, the second housing230of the washing machine1may be defined as including a pair of second side panels135which form the side surfaces of the second housing230. That is, a second side panel135of the second housing230may be configured as at least parts of the side walls296of the lower frame231, side walls299of the upper frame232, and the side cover233. Referring toFIG.5, the washing machine1may include a first guide protrusion290disposed at a top end of the first housing130and configured to guide a mounting position of the second housing230. In detail, the first guide protrusion290may be provided to protrude upward from the pair of first side panels131. The first guide protrusion290may be formed as a separate member and be coupled to the first side panels131or may be integrated with the first side panels131. Referring toFIG.4, the washing machine1may include a guide protrusion insertion portion disposed below the pair of second side panels135of the second housing230to insert the first guide protrusion290. In detail, a through hole292through which the first guide protrusion290may pass may be provided at the bottom end flange295of the side cover233, which forms the second side panel135, and a guide protrusion accommodating portion291capable of accommodating the first guide protrusion290may be provided at a bottom surface of the side wall296of the lower frame231, which forms the second side panel135. Four first guide protrusions290may be disposed at each of top ends of a left side and a right side of the first side panel131of the first housing130and may be aligned to prevent the side surfaces of the first housing130and the second housing230from having a step therebetween caused by the first guide protrusions290. Although not shown in the drawings, a guide protrusion which guides a mounting position of the second housing230may be provided to protrude downward from the pair of the second side panels135of the second housing230, and a guide protrusion insertion portion into which the guide protrusion is inserted may be formed above the pair of first side panels131of the first housing130. Referring toFIGS.6and7, the front cover40may be provided to cover at least part of a front surface of the first housing130and at least part of a front surface of the second housing230. Although the front cover40is shown as covering the entire front surface of the first housing130, the front cover40may be provided to cover a part of the front surface of the first housing130and a part of the front surface of the second housing230. The fixing bracket30may be disposed in the front cover40and may fix the first housing130to the second housing230in front of the first housing130and the second housing230. In detail, the fixing bracket30may connect the pair of first side panels131of the first housing130and the pair of second side panels135of the second housing230. The fixing bracket30may have a length corresponding to lateral widths of the first housing130and the second housing230and may include a rectangular parallelepiped shape having a thickness corresponding to a thickness of the front cover40. The fixing bracket30may be configured to have a front surface34, a top surface31, a left side surface, and a right side surface. Here, a rear surface and a bottom surface thereof are open. The fixing bracket30may include a coupling flange35capable of being coupled to the front of the first housing130and the front of the second housing230. In detail, the coupling flange35of the fixing bracket30may be coupled to front ends of the pair of first side panels131of the first housing130and front ends of the pair of second side panels135of the second housing230by fastening members such as screws and the like. The fixing bracket30may include a second guide protrusion32provided at the top surface31of the fixing bracket30and capable of guiding a coupling position of the front cover40. The front cover40may include a guide hole43provided at a top of the front cover40and to which the second guide protrusion32of the fixing bracket30is couplable. Also, the fixing bracket30may include a third coupler33provided at the front surface34of the fixing bracket30and to which the front cover40is couplable. The front cover40may include a fourth coupler44provided at the top of the front cover40and corresponding to the third coupler33of the fixing bracket30. During a process of assembling the front cover40, after the front cover40is temporarily coupled to the fixing bracket30to allow the second guide protrusion32of the fixing bracket30to pass through the guide hole43of the front cover40, the third coupler33of the fixing bracket30and the fourth coupler44of the front cover40may be coupled by using a fastening member such as a screw and the like. Referring toFIGS.2and7, the first tub120may be supported by the spring151to the first housing130. In detail, one end of the spring151is coupled to a first spring coupler133provided on a top of the first side panel131of the first housing130, and the other end of the spring151may be coupled to a second spring coupler122formed on the outer surface of the first tub120. The spring151may damp down vibrations and noises of the first tub120, but the vibrations of the first tub120may be transferred to the first housing130by the spring151. Because the front cover40is disposed to allow a height A of a top end to be higher than a height B of the top end of the first housing130to which the spring151is coupled, strength for supporting a front surface of the washing machine1may be provided and vibrations of the first housing130and the second housing230may be effectively prevented from being transferred frontward. Also, the front surface of the washing machine1may include only the front cover40and the control panel50disposed at the top of the front cover40to provide an aesthetic effect. The fixing bracket30may be disposed to allow a height C of a top end of the fixing bracket30to be equal to or higher than a height D of a top end of the second driving motor240disposed outside the bottom of the second tub220. Because the fixing bracket30may include a fire-resistant material such as a metal and the like and be disposed above the second driving motor240, if a fire occurs due to the overheated second driving motor240, it is possible to prevent the fire from spreading toward the front cover40or the control panel50. As is apparent from the above description, a washing machine according to one embodiment of the present disclosure includes a plurality of washers to separate and wash laundry as necessary. The washing machine may provide reinforced strength for fastening a first housing to a second housing by using a fixing bracket fixed to fronts of the first housing and the second housing. The washing machine may provide stiffness for supporting a front surface of the washing machine by using a front cover provided to cover at least part of a front surface of the first housing and at least part of a front surface of the second housing and may effectively prevent vibrations of the first housing and the second housing from being transferred frontward. The scope of the present disclosure is not limited to the particular embodiments described above. Various other embodiments correctable or modifiable by one of ordinary skill in the art within a range without departing from the essence as the technical concept of the present disclosure defined by the claims are also included in the scope of the present disclosure. | 29,294 |
11859333 | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Advantages and features of the present disclosure and methods to achieve them will become apparent from the descriptions of exemplary embodiments herein below with reference to the accompanying drawings. However, the present disclosure is not limited to exemplary embodiments disclosed herein but may be implemented in various different ways. The exemplary embodiments are provided for making the disclosure of the present disclosure thorough and for fully conveying the scope of the present disclosure to those skilled in the art. It is to be noted that the scope of the present disclosure is defined only by the claims. Like reference numerals denote like elements throughout the descriptions. Hereinafter, the present invention will be described in detail with reference to the accompanying drawings. FIG.1is a perspective view of a washing machine according to an embodiment of the present invention.FIG.2is a side cross-sectional view of the washing machine shown inFIG.1.FIG.3illustrates an assembly in which a distribution pipe is installed in a gasket.FIG.4illustrates the assembly, shown inFIG.3, seen from the front.FIG.5is an enlarged view of a portion marked by a dotted line inFIG.4.FIG.6illustrates a gasket seen from the rear.FIG.7is a cross-sectional view taken along line A-A′ inFIG.6.FIG.8is a front view illustrating a first distribution pipe and a second distribution pipe. Referring toFIGS.1to8, a washing machine according to an embodiment of the present invention includes a casing10forming an exterior appearance of the washing machine, a tub30disposed in the casing10and containing wash water, a drum40rotatably installed in the tub30to receive laundry, and a motor50rotating the drum40. A front panel11having an entry hole12formed therein may be disposed on a front surface of the casing10. A door20for opening and closing the entry hole12may be disposed on the front panel11, and a dispenser14for introducing detergent may be installed at the front panel11. A control panel13for receiving various control commands from a user to control operations of the washing machine may be provided above the front panel11. In addition, a water supply valve15, a water supply pipe16, and a water supply hose17may be installed in the casing10. Upon a water supply, wash water having passed through the water supply valve15and the water supply pipe16may be mixed with detergent in the dispenser14and then supplied to the tub30through the water supply hose17. Meanwhile, a direct water supply pipe18may be connected to the water supply valve15so that wash water can be supplied directly to the tub30through the direct water supply pipe18without being mixed with detergent. A direct nozzle19for spraying the water, supplied through the direct water supply pipe18, into the drum40may be provided. At least one pump70for pumping water discharged from the tub30is provided. Water pumped by the at least one pump70is supplied to a first distribution pipe80(1) and a second distribution pipe80(2). Hereinafter, an example in which water is simultaneously supplied to the first and second distribution pipes80(1) and80(2) by one pump70is described, but aspects of the present invention are not limited thereto. Instead, a pump for supplying water to the first distribution pipe80(1) and a pump for supplying water to the second distribution pipe80(2) may be provided individually. The pump70may be disposed below the gasket60. Preferably, the pump70is disposed on any one of the left and right sides below the gasket60. Water guided through the first distribution pipe80(1) and the second distribution pipe80(2) is supplied to a plurality of nozzles66a,66b,66c, and66dprovided in the gasket60. Referring toFIG.6, four protruding parts65a,65b,65c, and65dmay protrude from an inner circumferential surface62of the gasket60toward the interior of the gasket60, and each of the plurality of nozzles66a,66b,66c, and66dmay be formed at a corresponding protruding part in the protruding parts65a,65b,65c, and65d. Accordingly, circulating water discharged through outlet ports83a,83b,83c, and83dof the respective distribution pipes80(1) and80(2) may be sprayed into the drum40through the plurality of nozzles66a,66b,66c, and66d. The plurality of nozzles66a,66b,66c, and66dincludes two nozzles66a/band66c/dreceiving water through the same distribution pipe80and disposed at different heights. In the two nozzles66a/band66c/d, one nozzle66aor66cat a lower position is referred to as a lower nozzle, and the other nozzle at a position higher than the lower nozzle66aor66cis referred to as an upper nozzle. The distribution pipe80may be provided on both sides of the gasket60. Hereinafter, a distribution pipe disposed on the left side of the gasket60is referred to as the first distribution pipe80(1), and a distribution pipe disposed on the right side of the gasket60is referred to as the second distribution pipe80(2). If the term “first” or “second” is not used, it may be understood that any one of the first distribution80(1) and the second distribution pipe80(2) is indicated. The pump70and the tub30is connected via a discharge hose72. The distribution pipe80and the pump70may be connected directly to each other or may be connected via a circulation pipe86. In the latter case, a first circulation pipe86(1) for connecting the first distribution pipe80(1) to the pump70and a second circulation pipe86(2) for connecting the second distribution pipe80(2) to the pump70may be provided. If the pump70operates, wash water contained in the tub30may be guided to the plurality of nozzles66a,66b,66c, and66dthrough the first distribution pipe80(1) and the second distribution pipe80(2) and then sprayed into the drum40, thereby circulating the wash water. The pump70may be connected to a drain pipe74to thereby discharge wash water to the outside through the drain pipe74. The above-described pump70functions both a circulation pump for circulating wash water and as a drain pump for discharging wash water to the outside. On the contrary, a drain pump and a circulation pump may be installed individually. In this case, it is obvious that the drain pump is connected to the drain pipe74and the circulation pump is connected to the circulation pipe86. Meanwhile, the tub30may be formed as a single tub body or may be formed as a combination of a first tub body30aand a second tub body30bcoupled thereto. In the embodiment of the present invention, an example in which the first tub body30aand the second tub body30bare coupled to form the tub30is described. Hereinafter, the first tub body30ais referred to as a “tub”30. An entrance hole32is formed at a front surface31of the tub30to correspond to the entry hole12formed in the front panel11. At least one balancer90may be fastened to the front surface31of the tub30. A first balancer90(1) may be disposed over the front surface31, and a second balancer90(2) may be disposed under the front surface31. The gasket60is disposed between an edge defining the entry hole12of the front panel11and an edge defining the entrance hole32of the tub30. The gasket may be formed of a flexible substance such as rubber and have an approximate cylindrical shape to thereby form a passage60P connecting the entry hole12and the entrance hole of the tub30. The front boundary of the gasket60is connected to the edge of the entry hole12of the front panel11, and the rear boundary of the gasket60is connected to the edge of the entrance hole of the tub30, thereby sealing a space between the tub30and the front panel11. In a state in which the door20is closed, the door20and the front end of the gasket60are tightly brought into contact with each other and the space between the door20and the gasket60is sealed, and therefore, leakage of wash water is prevented. Referring toFIG.7, the gasket60may include a casing coupling part61coupled to a circumference of the entry hole12of the front panel11, a tub coupling part62coupled to a circumference of the entrance hole32, and a gasket body63extending between the casing coupling part61and the tub coupling part62. The circumference of the entry hole12in the front panel12may be rolled outward, and the casing coupling part61may be fitted in a concave area formed by the outward rolled portion. An annular groove61rto be wound by a wire may be formed in the casing coupling part61. After the wire is wound around the groove61r, both ends of the wire are bound, and therefore, the casing coupling part61is tightly fixed to the circumference of the entry hole12. The circumference of the entrance hole of the tub30is rolled outward, and the tub coupling part62is fitted in a concave area formed by the outward rolled portion. An annular groove62rto be wound by a wire may be formed in the tub coupling part62. After the wire winds around the groove62r, both ends of the wire are bound, and therefore, the tub coupling part62is tightly coupled to the entrance hole of the tub30. While the casing coupling part61is fixed to the front panel11, the tub coupling part62is displaceable in accordance with movement of the tub30. Accordingly, the gasket body63needs to be able to transform in accordance with the displacement of the tub coupling part62. In order to allow the gasket body63to transform easily, the gasket60may include a folding part63bbetween the casing coupling part61and the tub coupling part62(or the gasket body63), and the folding part63bis folded as the tub30moves in a direction of eccentricity (or a radial direction). More particularly, an annular rim part63aextending from the casing coupling part61toward the tub coupling part62(or toward the rear) is formed in the gasket body63, and the folding part63bmay be formed between the rim part63aand the tub coupling part62. The gasket60may include an outer door contact part68that bends outward from the front end of the rim part63ato be brought into contact with a rear surface20of the door20in the outside of the entry hole12in a state in which the door20is closed. In the casing coupling part61, the above-described groove61rmay be formed at a portion extending from the outer end of the outer door contact part68. The gasket60may further include an inner door contact part66that bends inward from the front end of the rim part63ato be brought into contact with the rear surface (preferably the window22) of the door20in the inside of the entry hole12in a state in which the door20is closed. Meanwhile, during rotation, the drum40vibrates (which means that the rotation center line C of the drum32moves) and, in turn, the center line of the tub30(which is approximately identical to the rotation center line C of the drum40) moves as well. In this case, a moving direction (hereinafter, referred to as an eccentric direction”) has a radial direction component. The folding part63bis folded or unfolded when the tub30moves in the eccentric direction. The folding part63bmay include an inner circumferential portion631bent from the rim part63atoward the casing coupling part61, and an outer circumferential portion632bent from the inner circumferential portion631toward the tub coupling part32to be thereby connected to the tub coupling part62. When viewed from the front, the inner circumferential portion631is disposed in the inside surrounded by the outer circumferential portion632. As shown inFIG.16, the rim part63aand the folding part63bmay form a sectional surface having an approximate “S” shape. If a portion of the folding part63bis folded when the center of the tub30moves in the eccentric direction, a distance between the inner circumferential portion631and the outer circumferential portion632at the portion is reduced, whereas the folding part62is unfolded at a portion opposite to the folded portion and thereby a distance between the inner circumferential portion631and the outer circumferential portion632at the opposite portion is increased. Meanwhile, the plurality of nozzles66a,66b,66c, and66dincludes a first nozzle66a, a second nozzle66b, a third nozzle66c, and a fourth nozzle66d. If the gasket body63is bilaterally divided into a first area I and a second area II (seeFIG.6), the first and second nozzles66aand66bare disposed in the first area I sequentially in a bottom-to-top direction. In addition, the third and fourth nozzles66cand66dare disposed in the second area II sequentially the bottom-to-top direction. In the embodiment, when the gasket60is viewed from the front, the first area I is the left side of the gasket60(or the right side of the gasket60when viewed from the rear), and the second area II is the right side of the gasket60(or the left side of the gasket60when viewed from the rear). However, aspects of the present invention are not limited thereto. The first area I may be the right side of the gasket60, and the second area II may be the left side of the gasket60. Particularly, a first port receiving pipe64aand a second port receiving pipe64bprotrude from an outer circumferential surface of the gasket body63in the first area I. The first and second port receiving pipes64aand64bcommunicate with the first and second nozzles66aand66b, respectively. The first and second port receiving pipes64aand64bmay be parallel to each other. A third port receiving pipe63cand a fourth port receiving pipe64dprotrude from the outer circumferential surface of the gasket body63in the second area II. The third and fourth port receiving pipes64cand64dcommunicate with the third and fourth nozzles66cand66d, respectively. The third and fourth port receiving pipes64cand64dmay be parallel to each other. The first distribution pipe80(1) and the second distribution pipe80(2) are installed at the gasket60. Each of the distribution pipes80(1) and80(2) includes an inlet port81introducing water discharged from the pump70, a transport conduit82guiding the water introduced through the inlet port, and a plurality of outlet ports83and84branched from the transport conduit82. The plurality of outlet ports83and84includes two outlet ports83and84formed at different heights. Hereinafter, a outlet port at a lower position in the two outlet ports83and84is referred to as a first outlet port83or a lower outlet port, and a outlet port disposed at a position higher than the first outlet port83is referred to as a second outlet port84or an upper outlet port. The first outlet port83and the second outlet port84may be provided in each of the first distribution pipe80(1) and the second distribution pipe (2). The transport conduit82is disposed external to the passage60P defined by the gasket60, and guides water, introduced through the inter port, in an upward direction. The transport conduit82forms a flow path communicating with the inlet port81, and the flow path may bend in a shape approximately corresponding to the circumferential surface of the gasket60and extend in a vertical direction. Specifically, the first distribution pipe80(1) is coupled to the gasket60in the first area I. The first distribution pipe80(1) includes: a first inlet port1introducing some of water pumped by the pump70; a first transport conduit82upwardly guiding the water introduced through the first inlet port81; and a first outlet port83and a second outlet port84, which are branched from the first transport conduit82to be respectively connected to the first port receiving pipe64aand the second port receiving pipe64b. In the first transport conduit82, the second outlet port84is disposed higher than the first outlet port83. Accordingly, some of water guided upwardly along the first transport conduit82is branched into the first outlet port83and the rest of the water is branched into the second outlet port84. Likewise, the second distribution pipe80(2) is coupled to the gasket60in the second area II. The second distribution pipe80(2) includes: a second inlet port81introducing the other (or the rest) of the water pumped by the pump70; a second transport conduit82upwardly guiding the water introduced through the second inlet port; and a third outlet port83and a fourth outlet port84, which are branched from the second transport conduit82to be respectively connected to the third port receiving pipe64cand the fourth port receiving pipes64d. In the second transport conduit82, the fourth outlet port84is disposed higher than the third outlet port83. Accordingly, some of the water guided upwardly along the second transport conduit82is branched into the third outlet port83and the rest of the water is branched into the fourth outlet port84. Through the inlet port81, water discharged from the pump70is introduced. The inlet port81may be connected to the pump70by the circulation pump86(1) or86(2). Circulation ports71aand71bmay be provided in the pump70, and the number of circulation ports71aand71b(seeFIG.3) may correspond to the number of the distribution pipes80. In the embodiment, the pump70includes a first circulation port71aand a second circulation port71b. The first circulation port71ais connected to the first inlet port81(1) of the first distribution pipe80(1) by the first circulation pipe86(1). The second circulation port71bis connected to the second inlet port81(2) of the second distribution pipe80(2) by the second circulation pipe86(2). Circulating water transported along the transport conduit82is discharged through the plurality of outlet ports83and84. The plurality of outlet ports83and84is branched from the transport conduit82at the upper side of the inlet port81. That is, entrance holes of the outlet ports83and84(that is, portions at which the outlet ports83and84are connected to the transport conduit82) are disposed higher than an exit hole of the inlet port81(that is, a portion at which the inlet port81is connected to the transport conduit82). If the first distribution pipe80(1) and/or the second distribution pipe80(2) is divided into a first side and a second side with reference to the transport conduit82, the inlet port81(1) or81(2) may be disposed on the first side with reference to the transport conduit82(1) or82(2), and the outlet ports83a/band83c/dmay be disposed on the second side. In other words, the inlet port81(1) or81(2) may be disposed in the opposite side of the outlet ports83a/band83c/dwith reference to the transport conduit82(1) or82(2). The port receiving pipes64a/band64c/dof the gasket60are disposed on the same side of the outlet ports83a/bor83c/dwith respect to the transport conduit82(1) or82(2), and the inlet port81(1) or81(2) is disposed in the opposite side to the port receiving pipes64a/b,64c/dwith respect to the transport conduit82(1) or82(2). If a virtual vertical reference plane OV (seeFIG.6) passing through the center of the passage is defined in the state in which the gasket60is viewed from the front, each of the port receiving pipes64a,64b,64c, and64dmay extend in a direction away from the reference plane OV, and the inlet port81(1) or81(2) also extends in the direction away from the reference plane OV. Reaction force by pressure of water discharged from the outlet ports83a,83b,83c, ad83dis applied in a direction in which the distribution pipe80becomes away from the gasket60, but a water stream introduced to the transport conduit82(1) or82(2) through the inlet port81(1) or81(2) is applied in a direction in which the inlet port81(1) or81(2) is pushed toward the gasket60. Accordingly, there is an effect of preventing separation of the distribution pipe80from the gasket60. In other words, the lower outlet port83aor83cand the upper outlet port83bor83dmay be disposed on the first side of the transport conduit82(that is, any one of the left and right sides of the transport conduit82when viewed from the front). The lower outlet port83aor83cand the upper outlet port83bor83dmay extend in parallel with each other. In addition, the inlet port81may be disposed in the second side of the transport conduit82, which is opposite to the first side. Water streams discharged through the lower/upper outlet ports83aand83c/83band83dare toward the first side from the transport conduit82. Accordingly, pressure of injecting the water stream acts as a reaction force, and the transport conduit82is pushed toward the second side. Here, the second side indicates a direction in which the upper outlet ports83band83dare separated from the upper port receiving pipes64band64d. On the contrary, the inlet port protrudes from the transport conduit82in a direction opposite to the direction in which the upper outlet ports83and83dprotrude. Accordingly, a water stream introduced through the inlet port81acts with a force of pushing the transport conduit82toward the first side, thereby preventing the upper outlet ports83band83dfrom being separated from the upper port receiving pipes64band64d. Meanwhile, referring toFIGS.4and5, each transport conduit82may include the first conduit part82afrom which the outlet ports83a/bor83c/dprotrudes, and a second conduit part82bbent from a lower end of the first conduit part82ain a direction toward the outer circumferential surface of the gasket60. The inlet port may be formed in the second conduit part82b. The inlet port81may extend from the second conduit part82bin a direction away from the gasket60. The inlet port81may extend from the second conduit part82bin a downward inclined direction. Since the first conduit part82aand the second conduit part82bare bent, water flow resistance occurs at the bent portions. That is, resistance occurs when a water stream is introduced from the second conduit part82bto the first conduit part82a. Thus, the water stream does not pass through the lower outlet port82but is reflected and refracted from an inner surface of the conduit part82to be guided to the lower outlet port83. Accordingly, a sufficient amount of water can be discharged through the lower outlet port83. A surface on which an exit hole of the inlet port81(that is, a hole through which circulating water is discharged from the inlet port81) is formed may include a portion82corthogonal to the inlet port81. The orthogonal portion82cmay be substantially flat. The inlet port81may extend from the orthogonal portion82cin the shape of a substantially straight line (or plane). At the orthogonal portion82c, a flow path in the transport conduit82may extend in a direction substantially orthogonal to the inlet port81. While moving upwardly along the transport conduit82, circulating water introduced through the inlet port is discharged through the lower outlet port83aor83cand the rest of the water is discharged through the upper outlet port83bor83d. In particular, while water is guided in one direction (the upward direction) along the transport conduit82, the water is branched sequentially into the lower outlet port82aor83cand the upper outlet port83bor83d, and hence, water flow resistance in the transport conduit82is almost consistent. In order to ensure that a uniform amount of water is discharged from the lower outlet port83aor83cand from the upper outlet port83bor83d, if the outlet ports83a,83b,83c, and83d, the nozzle, and the transport conduit82are designed to have proper inner diameters, a uniform amount of circulating water may be sprayed from the lower outlet port82aor83cand the upper outlet port83bor83d. Meanwhile, in the assumption that the inlet port81comes into contact with the transport conduit82between the lower outlet port83aor83cand the upper outlet port83bor83d, circulating water introduced through the inlet port81is branched vertically and discharged through the upper outlet port83bor83dand the lower outlet port83aor83c. In this case, in a section where the circulation water is branched vertically, excessive water flow resistance occurs, which causes interference of the water stream in the flow path, thereby supplying a non-uniform amount of water to the lower/upper outlet ports83aand83c/83band83d. Meanwhile, the pump70may be a speed-variable pump capable of varying speed (or varying a discharge volume). In this case, if the inlet port81is disposed between the lower outlet port83aor83cand the upper outlet port83bor83dand the pump70rotates at a low speed (that is, a low discharge volume), the effect of gravity is greater compared to when the pump70rotates at a high speed. As a result, there are problems that an amount of water branched to the lower side is considerably larger an amount of water branched in the transport conduit82to the upper side, and that a less amount of water is discharged through the upper outlet port83bor83d, compared to the present invention. The port receiving pipes64a,64b,64c, and64dmay be formed on the outer circumferential surface61of the gasket60and protrude outward of the gasket60to correspond to the four outlet ports. Each of port receiving pipes64a,64b,64c, and64dis in an annular shape. One end of each of the port receiving pipes64a,64b,64c, and64dis connected to a corresponding nozzle in the nozzles66a,66b,66c, and66d, and the other end of each of the port receiving pipes64a,64b,64c, and64dis connected to a outlet port83or84. Four outlet ports83or84may be inserted into and connected to the four port receiving pipes64a,64b,64c, and64d, respectively. Four nozzles66a,66b,66c, and66drespectively communicating with the four port receiving pipes64a,64b,64c, and64dare provided in the inner circumferential surface62of the gasket60. In one embodiment of the present invention, the nozzles66a,66b,66c, and66dare formed integrally with the gasket. On the contrary, nozzles may be formed as components separate from the gasket60and may be coupled to the gasket60or may be flow-path-connected to the port receiving pipes64a,64b,64c, and64dwhile separate from the gasket60. Meanwhile, the first balancer90(1) is disposed at the front surface31of the tub30. The first balancer90(1) may include a spaced-apart space91that is horizontally spaced apart from the outer circumferential surface of the gasket60to define an assembly space AS between the spaced portion91and the outer circumferential surface. The transport conduit82may include a portion82ddisposed in the assembly space AS. The second outlet port84protrudes from the portion82d. The upper outlet port83bor83dis inserted into the upper port receiving pipe64bor64dwithin the assembly space AS. Accordingly, even in the case where the upper outlet port83bor83dmoves in a horizontal direction due to pressure of circulating water discharged from the upper outlet port83bor83dor due to vibration of the tub30, movement of the upper outlet port83bor83dis limited because the portion82dcontacts (or is interfered with) the first balancer90(1). Accordingly, it is possible to prevent separation of the upper outlet port83bor83dfrom the upper port receiving pipe64bor64d. Meanwhile, the first distribution pipe80(1) and the second distribution pipe80(2) may be bilaterally symmetrical with respect to the gasket60. In this case, the port receiving pipes64a,64b,64c, and64din the gasket60may be bilaterally symmetrical. In addition, the first distribution pipe80(1) and the second distribution pipe80(2) have the substantially same structure, and may be used by inversing from left to right or vice versa depending on an installation position. Although some embodiments have been described above, it should be understood that the present invention is not limited to these embodiments, and that various modifications, changes, alterations and variations can be made by those skilled in the art without departing from the spirit and scope of the invention. Therefore, it should be understood that the above embodiments are provided for illustration only and are not to be construed in any way as limiting the present invention. | 27,763 |
11859334 | DETAILED DESCRIPTION Embodiments described in the disclosure and configurations shown in the drawings are merely examples of the embodiments of the disclosure, and may be modified in various different ways at the time of filing of the present application to replace the embodiments and drawings of the disclosure. In addition, the same reference numerals or signs shown in the drawings of the disclosure indicate elements or components performing substantially the same function. The shapes and sizes of elements in the drawings may be exaggerated for a clear description. Also, the terms used herein are used to describe the embodiments and are not intended to limit and/or restrict the disclosure. The singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. In this disclosure, the terms “including”, “having”, and the like are used to specify features, numbers, steps, operations, elements, components, or combinations thereof, but do not preclude the presence or addition of one or more of the features, elements, steps, operations, elements, components, or combinations thereof. Therefore, it is an aspect of the disclosure to provide a washing machine including a tub including an axial stiffness that is increased by improving a structure of a bearing housing. It is another aspect of the disclosure to provide a washing machine including improved space utilization at a center of a rear surface of a tub by improving a structure of a bearing housing. FIG.1is a perspective view of a washing machine according to an embodiment of the disclosure.FIG.2is a side cross-sectional view of the washing machine according to an embodiment of the disclosure.FIG.3is a view illustrating a rear surface of a tub in the washing machine according to an embodiment of the disclosure. As illustrated inFIGS.1and2, a washing machine1may include a main body10forming an exterior, a tub20arranged inside the main body10, a drum30rotatably arranged inside the tub20, and a drive motor16configured to drive the drum30. An inlet11may be formed in a front portion of the main body10to put laundry into the drum30. The inlet11may be opened and closed by a door12installed on the front portion of the main body10. A water supply pipe50provided to supply washing water to the tub20may be provided above the tub20. One side of the water supply pipe50may be connected to a water supply valve56, and the other side of the water supply pipe50may be connected to a detergent box52. The detergent box52may be connected to the tub20through a connection pipe54. Water supplied through the water supply pipe50may be supplied into the tub20together with the detergent via the detergent box52. The tub20may be supported by a damper70. The damper70may connect an inner bottom surface of the main body10to an outer surface of the tub20. The drum30may include a cylindrical portion31, a front plate32arranged in a front side of the cylindrical portion31, and a rear plate33arranged in a rear side of the cylindrical portion31. The drum30may be provided to be rotatable based on a rotation axis A extending in a front and rear direction of the washing machine1. An opening32afor entering and leaving laundry may be formed in the front plate32provided in the front side with respect to the rotation axis A. A shaft90provided to transmit power of the drive motor16may be connected to the rear plate33provided in the rear side with respect to the rotation axis A. A flange shift provided to support the shaft90may be mounted to the rear plate33. A plurality of through-holes34may be formed around the drum30for distribution of washing water, and a plurality of lifters35may be installed on an inner circumferential surface of the drum30to allow the laundry to rise and fall when the drum30is rotated. The drum30and the drive motor16are connected through the shaft90, and according to a connection method between the shaft90and the drive motor16, the washing machine1may be classified into a direct drive type in which the shaft90is directly connected to the drive motor16so as to rotate the drum30, and an indirect drive type in which a pulley is connected to between the shaft90and the drive motor16so as to drive the drum30. The washing machine1according to an embodiment of the disclosure may be provided as the direct drive type, but is not limited thereto. Therefore, technical features of the disclosure are applicable to the indirect drive type. When provided as the direct drive type, the drum30is rotated by a rotational movement of the shaft90, and the drum30is rotated around the shaft90. The rotation axis A of the drum30may be provided on a line corresponding to the shaft90. As illustrated inFIG.2, the drive motor16configured to rotate the drum30may be provided on the rear outer surface of the tub20. The drive motor16includes a stator161installed in the tub20, a rotor162configured to be rotated by interacting with the stator161, and the shaft90provided in such a way that one end is installed on the rotor162and the other end is installed on the drum30by passing through the rear surface of the tub20so as to be rotated together with the rotor162to allow the drum300to be rotated. When provided as the indirect drive type, although not shown in the drawings, one end of the shaft may be connected to the rear plate of the drum, and the other end of the shaft may extend to the rear of the tub. A drive pulley may be provided at the other end of the shaft to obtain a driving force from the drive motor. In addition, a motor pulley may be formed on the rotation axis of the drive motor, and a drive belt may be provided between the motor pulley and the drive pulley. Accordingly, the shift may be driven by the drive belt. The drive motor may be arranged on one side of the lower portion on an outer circumferential surface of the tub20to drive the shaft while the drive belt rotates clockwise or counterclockwise in a vertical direction of the tub. Referring toFIG.3, a bearing housing100provided to rotatably support the shaft90may be installed on the rear surface of the tub20. The bearing housing100may be formed of an aluminum alloy. The bearing housing100may be inserted into the rear surface of the tub20when the tub20is injection molded. A drain pump80configured to discharge water inside the tub20to the outside of the main body10, a connection hose81provided to connect the tub20to the drain pump80to allow the water inside the tub20to be introduced into the drain pump80, and a drain hose82provided to guide water pumped by the drain pump80to the outside of the body10may be provided under the tub20. A control panel and a printed circuit board assembly (not shown) may be provided on the front upper portion of the main body10to allow a user to control an operation of the washing machine1. FIG.4is a view illustrating a bearing housing separated from the washing machine according to an embodiment of the disclosure.FIG.5is a view illustrating the bearing housing illustrated inFIG.4at another angle.FIG.6is a cross-sectional view illustrating a part of the bearing housing illustrated inFIG.4. A structure of the bearing housing100according to an embodiment of the present disclosure will be described in detail with reference toFIGS.4to6. According to an embodiment of the disclosure, the bearing housing100may include a shaft hole101into which the shaft90is inserted, a shaft support portion102including the shaft hole101and provided to support the shaft90, a stator coupling portion103provided in a cylindrical shape surrounding the outer surface of the shaft support portion102, a fastening portion104provided to be spaced apart along an inner circumferential surface of the stator coupling portion103, and a shaft reinforcing rib105provided to connect the shaft support portion102to the stator coupling portion103. When the tub20is manufactured, the bearing housing100may be inserted such that the shaft support102faces the outside of the rear surface of the tub20. As illustrated inFIG.4, a height of the shaft support portion102may be greater than a height of the stator coupling portion103. In this case, the height indicates a length in the vertical direction with respect toFIG.4. A stator (not shown) of the drive motor40may be coupled to the stator coupling portion103. The fastening portion104may be positioned adjacent to the inner circumferential surface of the stator coupling portion103, and may be spaced apart along a circumferential direction of the inner circumferential surface of the stator coupling portion103. Various fastening members (not shown) including screws may be fastened to the fastening portion104. After arranging the stator on the stator coupling portion103, the stator may be coupled to the stator coupling portion103by fastening the fastening member to the fastening portion104. The shaft reinforcing rib105may be provided to connect between the shaft support portion102and the stator coupling portion103. The shaft reinforcing rib105may be provided in plural, and the plurality of shaft reinforcing ribs105may be arranged to be spaced apart from each other along the circumferential direction of the outer circumferential surface of the shaft support portion102. Because the shaft reinforcing rib105is provided, the shaft support portion102positioned inside the stator coupling portion103may rotatably support the shaft90without being deformed. According to an embodiment of the disclosure, the bearing housing100may include a leg portion110extending outwardly from the outer circumferential surface of the stator coupling portion103. The leg portion110may be provided in plural. The plurality of leg portions110may be arranged to be spaced apart from each other in the circumferential direction of the stator coupling portion103. According to an embodiment of the disclosure, the leg portion110may include a leg plate111forming a bottom surface of the leg portion, a central rib112provided to cross a center of the leg plate111and provided to protrude upward from the leg plate111, and an outer rib113formed along an edge of the leg plate111. In this case, “upward” indicates an upward direction inFIG.4. In addition, the bearing housing100may include a connection portion114provided to connect two leg portions110adjacent to each other. Particularly, the connection portion114may connect two leg portions111adjacent to each other. In addition, the connection portion114may extend radially outward of the stator coupling portion103from the outer circumferential surface of the stator coupling portion103. According to an embodiment of the disclosure, the leg plate111and the connection portion114may form the same surface. In addition, the leg plate111and the connection portion114may be integrally formed with each other. The plurality of leg plates111may extend radially from the outer circumferential surface of the stator coupling portion103. Each of the plurality of leg plates111may be provided to extend outwardly from the outer circumferential surface of the stator coupling portion103such that a width of the leg plate111is gradually reduced as the leg plate111extends outwardly of the stator coupling portion103. The leg plate111may include a first end115connected to the stator coupling portion103and a second end116extending radially outward of the stator coupling portion103from the first end115. The central rib112may be provided to cross the center of the leg plate111. The central rib112may extend in a direction in which the leg plate111extends from the outer circumferential surface of the stator coupling portion103. The central rib112may be provided in such a way that a height thereof is maximum on the outer circumferential surface of the stator coupling portion103, and the height thereof is reduced as the central rib112is away from the stator coupling portion103. In this case, “height” indicates a length in the vertical direction inFIG.4. The leg plate111may be inclined upwardly from the first end115to the second end116. Because the height of the leg plate111is increased from the first end115to the second end116, there is no difference in the height of an upper surface of the central rib112. However, because the height of a lower surface in contact with the leg plate111is changed, the height of the leg plate111may be gradually reduced. The central rib112may include a first column1121and a second column1122. The first column1121and the second column1122may be provided to reinforce a strength of the central rib112. The first column1121and the second column1122may be positioned on one side of the central rib112and may be provided in a cylindrical shape. The first column1121and the second column1122may be formed to protrude from the leg plate111in the axial direction of the shaft. The first column1121may be provided at one end of the central rib112. The second column1122may be provided between the one end of the central rib112and the other end of the central rib112. The second column1122may be located approximately at the center of the central rib112. The central rib112may further include a pair of first reinforcing ribs1123provided on opposite sides of the first column1122. The first reinforcing rib1123may be provided in a substantially trapezoidal shape. The first reinforcing rib1123may be provided to reinforce the strength of the central rib112. The central rib112may further include a pair of second reinforcing ribs1124positioned between the first column1122and the second column1123and provided on opposite sides of the central rib112. The second reinforcing rib1124may be provided in a substantially triangular shape. The second reinforcing rib1124may be provided to reinforce the strength of the central rib112. The outer rib113may be formed along the edge of the leg plate111and the connection portion114. The outer rib113may be formed to protrude upward from the leg plate111by a predetermined height, and similarly, may be formed to protrude upward from the connection portion114by the predetermined height. The outer rib113may be provided so as not to contact the stator coupling portion103. As described above, because the connection portion114protrudes outwardly from the stator coupling portion103and the outer rib113is formed along the edge of the connection portion114, the outer rib113may be spaced apart from stator coupling portion103in the radial direction of the stator coupling portion103. Referring toFIG.5, the bearing housing100according to an embodiment of the disclosure may include a plurality of leg portions110formed not to be connected to each other. As described above, in a region adjacent to the stator coupling portion103, the plurality of leg portions110may be connected by the connection portion114. When a portion connected to the connection portion114is referred to as one end of the leg portion110, the other end of the leg portion110may be provided so as not to be connected to the other end of the adjacent leg portion110. In other words, the plurality of leg plates111adjacent to each other may be connected by the connection portion114. The first end115of the leg plate111may be connected to the connection portion114. The second end116of the leg plates111adjacent to each other may be provided to be spaced apart from each other so as not to be connected to each other. Accordingly, the bearing housing100may include a separation space120provided between a pair of adjacent leg portions110. According to the disclosure, because the bearing housing100includes the separation space120, the space utilization of the center of the rear surface of the tub20may be improved. Conventionally, a bearing housing does not include a separation space. The conventional bearing housing includes a ring-shaped rib at the outermost portion, and includes a plurality of leg portions connecting the ring-shaped rib to a stator coupling portion arranged inside the ring-shaped rib. As for the conventional bearing housing, it is difficult to arrange a hole or the like inside the ring-shaped rib, and thus there is a limit to the space utilization of the center of the rear surface of the tub. Therefore, it is impossible to arrange a hole or other component, which is required for the washing machine, in the center of the rear of the tub, and the hole or other component may be arranged on the outside of the bearing housing. As a result, the space utilization at the center of the rear of the tub is reduced. According to an embodiment of the disclosure, the bearing housing100may include the separation space120provided between the plurality of leg portions110. A configuration necessary for the washing machine, such as a hole, may be arranged in the separation space120. Accordingly, the space utilization at the center of the rear surface of the tub may be improved. In addition, the conventional bearing housing does not include an outer rib. The outer rib refers to a rib formed along the edge of the leg portion and the connection portion, as described above. In the conventional bearing housing, a protruding rib is not provided at opposite side ends of the leg portion. That is, the conventional leg portion is composed of only the leg plate. When the tub is injection molded after inserting the conventional bearing housing, opposite side ends of the leg portion are provided flat, and thus a force of the injection material surrounding the leg portion to grip the leg portion is relatively weak. In addition, a certain gap is formed between the flat leg portion and the injection molded product surrounding the leg portion due to the characteristics of injection molding. Accordingly, the axial stiffness of the bearing housing is relatively weak, and the injection force of the bearing housing is relatively weak. Hereinafter, the force of the injection molding surrounding the inserted bearing housing to grip the bearing housing is referred to as an injection force. According to an embodiment of the disclosure, the bearing housing100may include the outer rib113formed along the edge of the leg plate111and the connection portion114. Because the bearing housing100includes the outer rib113, the injection force of the tub20may be increased. In addition, the axial stiffness of the tub20may be increased. When the outer rib113is provided, opposite ends of the leg plate111protrude upward. Due to the protrusion structure, the force of the injection molding surrounding the leg plate111to fix the leg plate111is increased, and thus, the injection force may be increased. As the injection force is increased, the inserted bearing housing100may be strongly fixed to the tub20, and the axial stiffness of the tub20may be increased. Therefore, according to an embodiment of the disclosure, the axial stiffness of the tub20may be increased. Referring toFIG.5, the bearing housing100according to an embodiment of the disclosure may include 12 (twelve) leg portions110. The12(twelve) leg portions110may be radially arranged from the stator coupling portion103. The leg portion110may have a predetermined length l. The length of the leg portion110may indicate a distance from the outer surface of the stator coupling portion103to the end of the leg portion110. In other words, the length of the leg portion110may indicate a length from the first end115to the second end116of the leg plate111. The connection portion114may have a predetermined length t. The length of the connection portion114may indicate a distance from the outer surface of the stator coupling portion103to the end of the connection portion114. Referring toFIG.6, the bearing housing100according to an embodiment of the disclosure may include the outer rib113having a predetermined height h. In other words, the outer rib113may have the predetermined height h. FIG.7is a view illustrating a state in which a height of an outer rib is changed in the bearing housing illustrated inFIG.6.FIG.8is a view illustrating a state in which a ratio of a length of a connection portion to a length of a leg portion is changed in the bearing housing illustrated inFIG.5.FIG.9is a view illustrating a state in which the number of leg portions is reduced in the bearing housing illustrated inFIG.5.FIG.10is a view illustrating a state in which the number of leg portions is increased in the bearing housing illustrated inFIG.5.FIG.11is a table illustrating values of axial stiffness, injection property, and space utilization of a tub, which vary according to a change in a structure of the bearing housing in the washing machine according to an embodiment of the disclosure. Hereinafter a change in the axial stiffness, injection property, and space utilization of the tub according to the structural change of the bearing housing will be described with reference toFIGS.7to11. FIG.7illustrates a bearing housing100ain which the structure is partially changed from the bearing housing100according to an embodiment of the present disclosure. The bearing housing100amay include an outer rib113ahaving a predetermined height h1. Configurations other than the height of the outer rib113aare the same as those shown inFIGS.4to6, and thus a description thereof will be omitted. When the height h1of the outer rib113aof the bearing housing100ais compared with the height h of the outer rib113of the bearing housing100, it is as follows. h1>h According to an embodiment of the present disclosure, an optimal effect is obtained in response to the height of the outer rib being 3 mm. Referring toFIG.11, it can be seen that in response to the height of the outer rib being increased with respect to the height of the outer rib of 3 mm, the axial stiffness is increased but the injection property is reduced. At this time, it is appropriate that the height of the outer rib is 3 mm because a rate, at which the injection property is reduced, is greater than a rate, at which the axial stiffness is increased. In addition, in response to the height of the outer rib being 0 (zero), that is, when the outer rib is not provided, the axial stiffness is reduced and the injection property is increased. At this time, because the rate, at which the axial stiffness is reduced, is greater than the rate, at which the injection property is increased, it is appropriate that the height of the outer rib is 3 mm. Referring toFIG.11, it can be seen that in response to the height of the outer rib being greater or less than 3 mm, a rate at which one of the axial stiffness and the injection property is reduced is larger than a rate at which any one of the axial stiffness and the injection property is increased. That is, it can be seen that, in response to the height of the outer rib being 3 mm, the balance between the axial stiffness and the injection property is most appropriate. Therefore, according to an embodiment of the disclosure, it is appropriate that the height of the outer rib is provided to be 3 mm. Referring toFIG.8, a length t1of a connection portion114bof a bearing housing100bis greater than the length t of the connection portion114of the bearing housing100. That is, t1>t. InFIG.8, the configuration other than the length t1of the connection portion114bis the same as that of the bearing housing100, and thus a description thereof will be omitted. Referring toFIG.11, as the ratio of the length of the connection portion to the length of the leg portion is changed, the axial stiffness, injection property, and space utilization of the tub are changed. It is appropriate that the ratio of the length t of the connection portion to the length l of the leg portion, that is, t/l, is 20%. In response to t/l being greater than 20%, the axial stiffness and injection property are increased, but the space utilization is reduced than the increase of the axial stiffness and injection property. In response to t/l being 0 (zero), that is, the connection portion114is not provided, the space utilization is partially increased, but the axial stiffness and injection property are reduced than the increase of the space utilization. Therefore, it is appropriate that t/l is 20%. Referring toFIG.9, a bearing housing100cmay include 6 (six) leg portions110c. All configurations except for the number of leg portions110care the same as those of the bearing housing100. Referring toFIG.10, a bearing housing100dmay include 24 (twenty-four) leg portions110d. All configurations except for the number of leg portions110dare the same as those of the bearing housing100. Referring toFIGS.9to11, in the bearing housing100according to an embodiment of the disclosure, it is appropriate that the number of leg portions110is 12 (twelve). In response to the number of the leg portions110being greater than 12 (twelve), the axial stiffness and injection property are increased, but the space utilization is reduced than the increase of the axial stiffness and injection property. In response to the number of the leg portions110being less than 12 (twelve), the space utilization is increased, but the axial stiffness and injection property are reduced than the increase of the space utilization. Therefore, it is appropriate that the bearing housing100includes 12 (twelve) leg portions110. FIG.12is a view illustrating a bearing housing separated from a washing machine according to another embodiment of the disclosure. Hereinafter a structure of a bearing housing200according to another embodiment of the disclosure will be described with reference toFIG.12. Referring toFIG.12, the bearing housing200according to another embodiment of the disclosure may include a shaft hole201, a shaft support portion202, a stator coupling portion203, a fastening portion204, and a reinforcing rib205. The shaft hole201, the shaft support portion202, the stator coupling portion203, the fastening portion204and the reinforcing rib205include the structure the same as the shaft hole101, the shaft support portion102, the stator coupling portion103, the fastening part104and the shaft reinforcing rib105. The bearing housing200may include a leg portion210. The leg portion210may include a leg plate211, a central rib212, an outer rib213, and a connection portion214. In addition, the leg portion210may include a separation space220. According to another embodiment of the disclosure, the leg plate211may include a first plate portion211aand a second plate portion211b. The first plate portion211amay have a constant width. A width of the second plate portion211bmay be greater than that of the first plate portion211a. The second plate portion211bmay be provided at the other end of the leg plate211. FIG.13is a view illustrating a bearing housing separated from a washing machine according to still another embodiment of the disclosure. Referring toFIG.13, a bearing housing300according to still another embodiment of the disclosure may include a shaft hole301, a shaft support portion302, a stator coupling portion303, a fastening portion304, and a reinforcing rib305. The shaft hole301, the shaft support portion302, the stator coupling portion303, the fastening portion304and the reinforcing rib305include the structure the same as the shaft hole101, the shaft support portion102, the stator coupling portion103, the fastening part104and the shaft reinforcing rib105. The bearing housing300may include a leg portion310. The leg portion310may include a leg plate311, a central rib312, an outer rib313, and a connection portion314. In addition, the leg portion310may include a separation space320. According to still another embodiment of the disclosure, the leg plate311may include a first groove311a, a first protrusion311b, a second groove311c, a second protrusion311dand a third groove311ewhich are sequentially arranged in a direction, in which the leg plate311extends, from the connection portion314. The first groove311amay be formed by recessing one side of the leg plate311toward the central rib312. The first groove311amay be provided as a substantially rectangular groove. The first groove311amay be provided on opposite sides of the leg plate311. Like the first groove311a, the second groove311cmay be formed by recessing one side of the leg plate311toward the central rib312and provided as a substantially rectangular groove. A recess depth of the second groove311cmay be greater than a recess depth of the first groove311a. The second groove311cmay be provided on opposite sides of the leg plate311. The first protrusion311bmay be provided between the first groove311aand the second groove311c. The first protrusion311bmay be provided in a shape protruding outward from one side of the leg plate311, and provided as a substantially rectangular groove. The first protrusion311bmay be provided on opposite sides of the leg plate311. The second protrusion311dmay be provided at the other end of the second groove311c. The first protrusion311bmay be provided at one end of the second groove311c, and the second protrusion311dmay be provided at the other end of the second groove311c. The second protrusion311dmay be provided on opposite sides of the leg plate311. The third groove311emay be provided at the other end of the leg plate311. The third groove311emay be provided at one end of the second protrusion311d. According to an embodiment of the disclosure, a recess depth of the third groove311eand a recess depth of the second groove311cmay be the same. The third groove311emay be provided on opposite sides of the leg plate311. Due to the above-described structure, the separation space320may include first to sixth separation spaces321,322,323,324,325, and326. The separation space320may include a first separation space321, a second separation space322, a third separation space323, a fourth separation space324, a fifth separation space325and a sixth separation space326which are sequentially arranged from the stator coupling portion303to the outside. A width of the first separation space321, a width of the third separation space323, and a width of the fifth separation space325may be the same. Further, a width of the fourth separation space324and a width of the sixth separation space326may be the same. A width of the second separation space322may be greater than the width of the first separation space321, and less than the width of the third separation space323. In other words, the separation space320may include first separation spaces321,323, and325having a first width, a second separation space322having a second width different from the first width, and third separation spaces324and326each having a third width different from the first width and the second width. FIG.14is a view illustrating a bearing housing separated from a washing machine according to still another embodiment of the disclosure. Referring toFIG.14, a bearing housing400according to still another embodiment of the disclosure may include a shaft hole401, a shaft support portion402, a stator coupling portion403, a fastening portion404, and a reinforcing rib405. The shaft hole401, the shaft support portion402, the stator coupling portion403, the fastening portion404and the reinforcing rib405include the structure the same as the shaft hole101, the shaft support portion102, the stator coupling portion103, the fastening part104and the shaft reinforcing rib105. The bearing housing400may include a leg portion410. The leg portion410may include a leg plate411, a central rib412, an outer rib413, and a connection portion414. In addition, the leg portion410may include a separation space420. The leg plate411may include a first concave portion411a, a first convex portion411b, and a second concave portion411c. The first concave portion411a, the first convex portion411b, and the second concave portion411cmay be sequentially arranged from the connection portion414toward the other end of the leg plate411. In addition, the first concave portion411a, the first convex portion411b, and the second concave portion411cmay be provided on opposite side surfaces of the connection plate411. The first concave portion411a, the first convex portion411b, and the second concave portion411cmay be continuously arranged. Accordingly, the opposite sides of the leg plate411may be provided in a substantially wave shape. As is apparent from the above description, it is possible to provide a washing machine including a tub including an axial stiffness increased by improving a structure of a bearing housing. Further, it is possible to provide a washing machine including improved space utilization at a center of a rear surface of a tub by improving a structure of a bearing housing. Although a few embodiments of the disclosure have been shown and described, it would be appreciated by those skilled in the art that changes may be made in these embodiments without departing from the principles and spirit of the disclosure, the scope of which is defined in the claims and their equivalents. | 33,007 |
11859335 | DETAILED DESCRIPTION Embodiments described in this specification and configurations illustrated in drawings are only exemplary examples of the disclosure, and there may be various modifications that may substitute for the embodiments and the drawings in the specification at the time of this application's filing. Further, the same reference number or symbols disclosed in each of the drawings of the specification denote identical components or configurations which perform substantially the same functions. Terms used in the disclosure specification are only used to describe specific exemplary embodiments and do not limit the present disclosure. Singular forms used herein are intended to include plural forms unless explicitly indicated otherwise. It should be further understood that the terms “comprises” or “have” used in this specification specify the presence of stated features, numerals, operations, components, parts, or a combination thereof, but do not preclude the presence or addition of one or more other features, numerals, operations, components, parts, or a combination thereof. In addition, terms including ordinal numbers such as “first,” “second,” and the like used herein may be used to explain various components, but the components are not limited by the terms. The terms are only used to differentiate one component from other components. For example, a first component may be referred to as a second component without departing from the scope of the present disclosure, and a second component may also be similarly referred to as a first component. The term “and/or” includes a combination of a plurality of items and any one of the plurality of items. Hereinafter, exemplary embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. FIG.1is a perspective view of a washing machine according to one embodiment of the present disclosure,FIG.2is a cross-sectional view of the washing machine according to one embodiment of the present disclosure, andFIG.3is a perspective view showing a state in which an auxiliary door of the washing machine according to one embodiment of the present disclosure is open. A washing machine1includes a cabinet10having a washing space5formed therein, a tub20configured to accommodate washing water or rinsing water to be used in a washing cycle or a rinsing cycle, and a driving motor7rotating a drum30. The washing space5in the cabinet may be formed by the tub and the drum. The cabinet10includes a control panel80having inputters81aand81breceiving an operational command of the washing machine1from a user and a display83displaying information on an operation of the washing machine1. The inputters81aand81breceive a command of the user related to operations of the washing machine1, such as a washing time, the number of rinsings, a spin cycle time, a drying time, start and stop, and the like, and may include a pressing button81aor a rotary button81b. The display83also displays information on the operations of the washing machine1, such as the amount of washing water, a cycle being performed by the washing machine1, a remaining time until washing is completed, and the like, and may include a liquid crystal display (LED) panel, a light emitting diode (LED) panel, or the like. The washing machine1according to the embodiment of the present disclosure separately includes the inputters81aand81band the display83, but is not limited thereto, and may integrally include the inputter and the display by including a touch screen panel (TSP). The cabinet10includes frames10a,10b,10c,10d, and10e, and the frames10a,10b,10c, and10dinclude an upper frame10aforming an upper surface of the cabinet, a front frame10band a rear frame10cforming front and rear surfaces of the cabinet10, and a side frame10dand a lower frame10econnecting the front frame10bwith the rear frame10cand forming a side surface and a lower surface of the cabinet10. A first opening2ais formed in the front frame10bof the cabinet10so that laundry may be inserted into the drum30. The first opening2amay be opened or closed by a door assembly100installed at the front frame10bof the cabinet10. A diaphragm90may connect the cabinet10and the tub20. Specifically, the diaphragm90may be disposed between the first opening2aof the cabinet10and an opening21of the tub20corresponding to the first opening2a. The diaphragm90forms a path from the first opening2aof the cabinet10to the opening21of the tub20and may reduce the amount of vibration transmitted toward the front frame10bwhen the drum30rotates. A part of the diaphragm90is disposed between the door assembly100and the front frame10bto prevent leakage of the washing water in the tub20to the outside of the cabinet10. The diaphragm90may be formed as an injection molded object made of a thermoplastic elastomer. The thermoplastic elastomer has elasticity at room temperature like rubber, and thus the diaphragm90made of the thermoplastic elastomer may effectively reduce the amount of vibration transmitted to the front frame10bof the cabinet10from the tub20. A spring17may be provided between the tub20and the cabinet10to support the tub20from the upper side of the tub20. The spring17performs a function of reducing the amount of vibration and noise generated due to movement of the tub20caused by elasticity. A water supply tube13is installed at an upper portion of tub20to supply washing water to the tub20. A water supply valve14is installed at one side of the water supply tube13. A detergent supply device40is connected with the tub20by a connecting tube16. The water supplied through the water supply tube13is supplied along with a detergent into the tub20through the detergent supply device40. The tub20is supported by dampers42. The damper42connects an inner bottom surface of the cabinet10with an outer surface of the tub20. The dampers42are located at an upper side, a left side, and a right side of the cabinet10except an inner bottom surface of the cabinet10and may support the tub20. The damper42or the spring17may absorb vibration and an impact generated from the upper and lower sides of the tub20due to vertical movement of the tub20. The tub20may be supported by at least one damper42. A driving shaft11may be connected with a rear surface of the drum30to transmit power of the driving motor7. A plurality of through holes27are formed in the perimeter of the drum30so that the washing water flows therethrough. A plurality of lifters26are installed at an inner circumferential surface of the drum30so that laundry is lifted or dropped when the drum30rotates. The driving shaft11is disposed between the drum30and the driving motor7. One end of the driving shaft11is connected to a rear plate of the drum30, and the other end of the driving shaft11extends toward an outer side of a rear wall of the tub20. When the driving motor7drives the driving shaft11, the drum30connected to the driving shaft11rotates about the driving shaft11. A bearing housing8is installed at a rear wall of the tub20to rotatably support the driving shaft11. The bearing housing8is made of an aluminum alloy and may be inserted into the rear wall of the tub20when the tub20is injection-molded. Bearings9are installed between the bearing housing8and the driving shaft11so that the driving shaft11easily rotates. A lower portion of the tub20includes a drainage pump4for discharging water from the tub20to the outside of the cabinet10, a connecting hose3connecting the tub20with the drainage pump4so that the water in the tub20is introduced into the drainage pump4, and a drainage hose (not shown) guiding the water pumped by the drainage pump4to the outside of the cabinet10. The washing machine1further includes an auxiliary door to additionally insert laundry into the washing machine without opening the door. The auxiliary door will be described in detail below. FIG.4is an exploded perspective view of the door assembly and the cabinet of the washing machine according to one embodiment of the present disclosure,FIG.5is an exploded perspective view of the door assembly according to one embodiment of the present disclosure, andFIG.6is a cross-sectional view of the door assembly according to one embodiment of the present disclosure. The door assembly100is provided to open and close the first opening2a. The door assembly100may include a door unit110provided to correspond to the first opening2aand an auxiliary door150rotatably provided at the door unit110. The door unit110may be provided to be rotatable about the cabinet10. The door unit110may include a door body112and a door glass120. The door body112may be provided to form a frame of the door unit110. That is, the door body112may be provided to correspond to a shape of the first opening2a, and the door assembly100is provided to open and close the first opening2aby rotating the door body112about the cabinet10. Therefore, the door body112is formed to correspond to the shape of the first opening2a. In the embodiment of the present disclosure, the first opening2ahas a nearly circular shape, and the door body112may also have a circular or ring shape. The first opening2ahas a circular shape, and the door body112may have a rectangular or rounded rectangular shape. The door unit110may include a second opening112a. The second opening112amay be formed in the door body112. However, the second opening112ais not limited thereto and may be formed in the door glass120. The second opening112amay be opened or closed independently from the first opening2aby the auxiliary door150, which will be described below. Even when the first opening2ais closed by the door assembly100, the second opening112ais opened by the auxiliary door150such that a detergent or laundry may be additionally inserted into the washing machine. That is, the second opening112amay be formed to be connected with the cabinet10or the drum. The door unit110may include the door glass120. The door glass120may be formed of a transparent material so that the inside of the drum can be seen from the outside of the washing machine even when the door assembly100is located at a closed position150b. The door glass120may be disposed to protrude to be convex from the door body112. Therefore, when the door assembly100is located at the closed position150b, the door glass120protrudes farther toward the inside of the cabinet10than the first opening2ato prevent laundry from escaping to the outside of the drum when the drum rotates. The term “door glass” refers to a transparent window installed on the door, and the term “glass” is not intended to limit a material used therefor. The door assembly100may include a door rotator114and a door locker116. The door rotator114is provided to rotate the door body112about the cabinet10. The door rotator114is coupled to one side of the door body112, and the door body112rotates about the cabinet10to open a close the first opening2a. The door locker116is coupled to the other side of the door body112and is provided to maintain a closed state when the first opening2ais closed by the door body112. The cabinet10includes an insertion part118corresponding to the door locker116such that the door locker116is inserted thereinto when the first opening2ais closed by the door body112. The auxiliary door150may be provided to open and close the second opening112a. The auxiliary door150is provided to rotate about the door body112and is provided to open and close the second opening112a. The auxiliary door150is formed to have the same width as that of the second opening112aor a width wider than that of the second opening112a, and is provided to stably close the second opening112a. The door body112may include a door hole119corresponding to the door glass120. The door hole119may have the form of an opening so that the inside of the drum can be seen through the door glass120disposed at a rear side of the door body112. The door hole119may be disposed under the second opening112a. However, the disposition of the door hole119is not limited, and one example of the door hole119may be disposed above the second opening112a. Further, a transparent cover (not shown) may be provided on the door hole119. The door glass120is provided so that the inside of the drum is visible even though the first opening2ais closed by the door assembly100. The door glass120may include a glass body122convexly formed to protrude farther rearward than the door body112. At least a part of the glass body122is made of a transparent glass so that the inside of the cabinet10is visible. However, materials of the glass body122and the door glass120are not limited. For example, at least a part of the door glass120may be made of a transparent material so that the inside of the cabinet10is visible from the outside of the cabinet10. The door glass120may include a glass hole124. The glass hole124is provided to communicate with the inside of the washing space5in the cabinet10. Therefore, the detergent or laundry introduced through the second opening112amay be introduced into the cabinet10through the glass hole124. The shape of the glass hole124is not limited. The second opening112ais located at one side130aof a guide duct130, which will be described below, and the glass hole124is located at the other side130bof the guide duct130. The door glass120may further include a glass flange126provided at an end of the glass body122in a flange shape to be mounted on the door body112or to be coupled to the door body112. The glass flange126is mounted on or coupled to the door body112to prevent the door glass120form escaping from the door body112. The door unit110may include the guide duct130. The guide duct130has both open ends and may have a shape of a pipe with a hollow portion. Specifically, the guide duct130may be provided to have the one side130aconnected with the second opening112aand the other side130bconnected with the glass hole124. The auxiliary door150may seal the one side130aof the guide duct130. The guide duct130has a tubular shape, and thus a detergent or laundry introduced from the one side130aof the guide duct130through the second opening112apasses through a body130cof the guide duct130to be introduced into the drum through the glass hole124from the other side130bof the guide duct130. An inner circumferential surface of the guide duct130may be defined as a duct inner surface132. That is, the duct inner surface132forms a space between the second opening112aand the glass hole124and is provided so that the laundry or detergent introduced into the second opening112ais guided into the drum through the glass hole124. The shape of the duct inner surface132is not limited. In the embodiment of the present disclosure, the duct inner surface132may be provided to be inclined from the front side toward the rear side. That is, the one side130aof the guide duct130connected with the second opening112amay be formed to be at a higher level than the other side130bof the guide duct130connected with the glass hole124. Thus, the laundry or the detergent inserted through the second opening112amay be easily inserted into the drum. Hereinafter, the auxiliary door150according to the embodiment of the present disclosure will be described. The auxiliary door150is provided to move between an opened position150a(seeFIG.3) at which the second opening112ais open and the closed position150b(seeFIG.1) at which the second opening112ais closed. The auxiliary door150may include an auxiliary door body152and an inner door170provided in the auxiliary door body152. The auxiliary door body152may be referred to as an outer door. The auxiliary door150may be formed of a heat-insulating or heat-resistant material. When the washing machine1performs a drying function, heat in the cabinet10is transmitted to the auxiliary door150and increases a temperature of the auxiliary door150. When a user comes into contact with the heated auxiliary door150, the user may feel displeasure, and thus the auxiliary door150may be formed of a heat-insulating material to prevent the displeasure. Thus, transmission of heat of air flowing in the washing space5in the auxiliary door150to the outside of the auxiliary door150may be prevented. The auxiliary door body152is provided to form an appearance of the auxiliary door150and may be disposed in front of the inner door170. The auxiliary door body152may include a locking unit provided on the door body112to be selectively locked. Hereinafter, the locking unit will be described in detail. A hinge unit160may be provided between the auxiliary door body152and the door body112so that the auxiliary door150moves between the opened position150aand the closed position150b. Hereinafter, the hinge unit160will be described in detail. FIG.7is a perspective view of an inner door according to one embodiment of the present disclosure, which will be described with reference toFIG.7and the preceding drawings. The inner door170may be disposed behind the auxiliary door body152. The inner door170is formed to correspond to the second opening112aand may be provided to seal the second opening112awhen the auxiliary door150is located at the closed position150b. An insulating space172is provided between the auxiliary door body152and the inner door170. The insulating space172is disposed between the inner door170and the auxiliary door body152to prevent transmission of heat from the washing machine to the outside through the second opening112awhen the auxiliary door150is located at the closed position150b. The inner door170is formed to be exposed to the washing space5in the cabinet10, and thus the inner door170may be provided to have better heat-insulating or heat-resisting performance than the auxiliary door body152. The insulating space172may be formed with an air layer. The air layer in the insulating space172may prevent heat between the inner door170and the auxiliary door body152from being easily transmitted. The inner door170may be formed to be convex toward the inner side of the guide duct130. That is, the inner door170is formed to correspond to the second opening112aand may be provided to have a shape convex from the one side130aof the guide duct130corresponding to the second opening112atoward the other side130bof the guide duct130corresponding to the glass hole124. The shape ensures that the insulating space172is wide and may prevent heat flowing to the guide duct130from the inside of the washing machine1from being transmitted to the outside of the auxiliary door150. Further, the convex shape of the inner door170may disturb heat transmission to the inner door170by disturbing a flow of air in the guide duct130. FIG.8is an enlarged view of portion A ofFIG.6. The inner door170may include a door coupling flange174. The auxiliary door body152may have the coupling surface154corresponding to the door coupling flange174. The door coupling flange174is in contact with a coupling surface154and is screw-coupled thereto through a fastening hole formed in the door coupling flange174, and thus the auxiliary door body152and the inner door170are coupled to each other. The above description is an example of coupling the inner door170and the auxiliary door body152, but the coupling is not limited thereto. As another example of coupling the inner door170and the auxiliary door body152, the inner door170and the auxiliary door body152may be integrally formed. As a still another example, the inner door170and the auxiliary door body152may be coupled by insertion-coupling. The inner door170may include a flange sealer176. The flange sealer176is formed around the inner door170and is provided so that the inner door170is attached to the second opening112a. The flange sealer176may be disposed in front of the door coupling flange174. The flange sealer176may be located at a coupling portion of the auxiliary door body152and the inner door170. The flange sealer176is provided so that washing water or air is not leaked from the washing machine1through a gap which may be formed between the auxiliary door body152and the inner door170. The flange sealer176may be made of an elastic material. Thus, when the auxiliary door150is located at the closed position150b, the flange sealer176may prevent a gap between the auxiliary door150and the second opening112a. The flange sealer176may include a sealer body177and a sealing coupler178extending from the sealer body177. The sealing coupler178may be provided to be located between the door coupling flange174of the inner door170and the coupling surface154of the auxiliary door body152. That is, the sealing coupler178may be provided between the door coupling flange174and the coupling surface154to be fixed to the door coupling flange174and the coupling surface154. The sealing coupler178is disposed along the coupling portion of the auxiliary door body152and the inner door170to seal a gap between the auxiliary door body152and the inner door170. The flange sealer176may be provided to be flexible. The sealer body177may include a first sealing surface177aformed to be convex toward the second opening112aand a second sealing surface177bformed to be concave from another side of the first sealing surface177atoward the second opening112a. When the second opening112ais closed by the auxiliary door150, the first sealing surface177ais in contact with the second opening112a, and the flange sealer176is curved, and thus a gap between the second opening112aand the auxiliary door150is filled. FIG.9is an exploded view of the auxiliary door and the door unit of the door assembly according to one embodiment of the present disclosure,FIG.10is a perspective view of the hinge unit according to one embodiment of the present disclosure, andFIG.11is a view showing an operation of the hinge unit according to one embodiment of the present disclosure. The door assembly100may include the hinge unit160. The hinge unit160is provided to move the auxiliary door150between the opened position150aat which the second opening112ais opened by the auxiliary door150and the closed position150bat which the second opening112ais closed by the auxiliary door150by rotating the auxiliary door150from the door body112. The hinge unit160may include a rotator162and a rotation guider166. The rotator162may be provided at the auxiliary door150, and the rotation guider166may be provided at the door body112. However, locations of the rotator162and the guider166are not limited thereto, and the rotator162may be provided at the door body112while the rotation guider166may be provided at the auxiliary door150. The rotator162is provided to rotate along with the auxiliary door150. The rotator162has a fastening hole to be coupled to the auxiliary door150, and may be screw-coupled to the auxiliary door150. The rotator162may include a rotation protrusion (not shown). The rotation protrusion is rotatably inserted into a rotation groove (not shown) formed on the rotation guider166, and the rotator162may have a rotation axis163formed on the rotation guider166and be provided to rotate about the rotation axis163. Conversely, the rotator162may have the rotation groove, and the rotation guider166may have the rotation protrusion. The rotator162may include a guide protrusion164moving on a mount surface167c, a guide surface167b, and a stopper167aof the rotation guider166, which will be described below. The guide protrusion164moves along the rotation guider166to guide or limit an operation of the auxiliary door150. The guide protrusion164may be disposed to be adjacent to the rotation protrusion (not shown). The guide protrusion164may include a guide contact surface164ahaving a curved surface facing the rotation guider166. The rotation guider166may include the mount surface167c, the guide surface167b, and the stopper167a. The mount surface167cis provided so that the guide protrusion164is located thereon when the auxiliary door150is located at the closed position150b. The mount surface167cmay be formed to be flat, and the guide contact surface164aof the guide protrusion164may be mounted on the mount surface167c. Thus, when the auxiliary door150is located at the closed position150b, a state of the auxiliary door150may be stably maintained. The guide surface167bis provided to guide the movement of the guide protrusion164when the auxiliary door150moves between the closed position150band the opened position150a. The guide surface167bmay be formed to be curved, and the guide contact surface164aof the guide protrusion164may be in contact with the guide surface167b. The guide surface167bmay extend from the mount surface167cand may be formed between the stopper167aand the mount surface167c. Thus, when the auxiliary door150moves between the closed position150band the opened position150a, the movement of the auxiliary door150may be guided. The stopper167ais provided to limit the movement of the guide protrusion164when the auxiliary door150is located at the opened position150a. The stopper167amay be formed to protrude from the guide surface167band is provided so that the guide protrusion164is caught thereon to limit the movement of the guide protrusion164. Thus, the auxiliary door150is not excessively pulled at the opened position150a, and the auxiliary door body152can be prevented from being damaged due to coming into contact with the door body112. FIG.12is a view showing a locking unit and a sensor assembly of the auxiliary door according to one embodiment of the present disclosure. The door unit110may include a sensor assembly140detecting the opened position150aand the closed position150bof the auxiliary door150, and a locking unit. The sensor assembly140is provided to detect the opened position150aor the closed position150bof the auxiliary door150. The sensor assembly140may include at least one sensor. In the embodiment of the present disclosure, the sensor assembly140may include a first sensor141and a second sensor142. The first sensor141is provided to protrude when the auxiliary door150is located at the opened position150a, and is provided to be pressed by the auxiliary door body152when the auxiliary door150moves from the opened position150ato the closed position150b. The second sensor142is provided to protrude in front of the auxiliary door body152when the auxiliary door150is located at the opened position150a, and is provided to be pressed by the auxiliary door body152to rotate and be inserted into the auxiliary door body152when the auxiliary door150moves from the opened position150ato the closed position150b. The first sensor141and the second sensor142are an example of the sensor assembly140, but the sensor assembly140is not limited thereto. That is, at least one sensor may be provided to detect the closed position150bof the auxiliary door150. The locking unit may lock the auxiliary door150at the closed position150b. The locking unit may be operated by allowing the sensor assembly140to detect the position of the auxiliary door150. The locking unit may include a locking protrusion145provided to be inserted into a locking groove153formed on the auxiliary door150, and a locking controller146driving the locking protrusion145. The locking controller146controls an operation of the locking protrusion145through a signal of the closed position150bof the auxiliary door150detected by the first sensor141and the second sensor142of the sensor assembly140. The first sensor141and the second sensor142are normally operated to detect whether the auxiliary door150is positioned at the closed position150b, and the locking unit may forcibly perform locking to prevent the auxiliary door150from escaping from the closed position150bby inserting the locking protrusion145into the locking groove153during a predetermined process of a washing or spinning process. The locking controller may forcibly lock the auxiliary door150only when both of the first sensor141and the second sensor142are normally operated to detect whether the auxiliary door150is positioned at the closed position150b. That is, the auxiliary door150may not be unlocked even when any one of the first sensor141and the second sensor142malfunctions. FIG.13is an enlarged view of portion B ofFIG.6, andFIG.14is an exploded view of components adjacent to a sealing unit according to one embodiment of the present disclosure. The door unit110may include a sealing member180. The sealing member180is provided to seal a gap between the other end of the guide duct130and a glass hole of the door glass120. The door glass120and the guide duct130are directly exposed to the inside of the cabinet10, and thus a sealing component for sealing the gap between the door glass120and the guide duct130is required. The sealing member180seals the gap between the guide duct130and the door glass120to prevent washing water or air from escaping from the cabinet10. The sealing member180may include a sealing cap182. The sealing cap182has one end connected with the guide duct130and the other end connected with the door glass120which is adjacent to the glass hole124. That is, the sealing cap182is disposed between the guide duct130and the door glass120and connects and seals both of the guide duct130and the door glass120. One end of the guide duct130and the glass hole124has an opening shape, and thus the sealing cap182may have a ring shape to correspond to the glass hole124. The sealing cap182may include a first cap183connected with the guide duct130and a second cap188connected with the glass hole124. The first cap183and the second cap188may be integrally formed. The guide duct130and the door glass120are configured to meet each other at varying angles along a circumference thereof, and thus the first cap183and the second cap188may be provided to correspond to the guide duct130and the door glass120so that the guide duct130and the door glass120meet each other at varying angles along a circumference thereof. One end of the sealing cap182may include a coupling groove184formed to be concave along a circumference thereof. The coupling groove184may be formed at an end of the first cap183. The guide duct130may include a coupling protrusion134to be inserted into the coupling groove184. The coupling protrusion134is inserted into the coupling groove184, and the sealing cap182may be coupled to the guide duct130. Thus, an inner circumferential surface134aand an outer circumferential surface134bof the coupling protrusion134of the guide duct130are in contact with an inner surface of the coupling groove184to seal a gap between the sealing cap182and the guide duct130. The sealing member180may further include a sealing ring194having a ring shape and located between the coupling groove184and the coupling protrusion134. The sealing ring194may be inserted into the coupling groove184to be located at an end of the coupling protrusion134when the coupling protrusion134is inserted into the coupling groove184. A cross-section of the sealing ring194is formed in a circular shape in the embodiment of the present disclosure, but the shape of the cross-section of the sealing ring194is not limited. Of course, the cross-section of the sealing ring194may have another shape depending on shapes of the coupling protrusion134and the coupling groove184. Therefore, a sealing structure formed with the inner circumferential surface134aof the coupling protrusion134and the inner surface of the coupling groove184, and the outer circumferential surface134bof the coupling protrusion134and the inner surface of the coupling groove184may stably seal the gap between the guide duct130and the sealing cap182. The sealing cap182may include a catcher186formed along a circumference of one end of the sealing cap182. The catcher186extends and protrudes from the sealing cap182, and the catcher186may have a catching groove186ahaving a hole shape. At least one catcher186may be disposed along a circumference of the first cap183. The guide duct130may include a catching guide136. The catching guide136may be formed on the outer circumferential surface134bof the one end of the guide duct130and extend from the guide duct130. The catching guide136may be integrated with the guide duct130. The catching guide136has an insertion hole136ainto which the catcher186may be inserted, and may include a catching protrusion136bformed therein to correspond to the catching groove186a. Thus, the catcher186is inserted into the insertion hole136a, and the catching protrusion136bis caught on the catching groove186a, and thus the sealing cap182and the guide duct130may be coupled to each other. The numbers of the catcher186and the catching guide136are not limited, and six catchers186and catching guides136are provided as an example in the embodiment of the present disclosure. The sealing cap182and the guide duct130are coupled so that the catching groove186aof the sealing cap182is caught on the catching protrusion136bof the guide duct130, and thus the sealing cap182and the guide duct130may be prevented from escaping from each other. The sealing cap182may include a sealing cap contact surface189provided to face the door glass120which is adjacent to the glass hole124along a circumference of the other end of the sealing cap182. The sealing cap contact surface189may be provided to be in contact with the door glass120and may seal a gap between the sealing cap contact surface189and the door glass120through a surface contact. The sealing member180may further include a sealing agent190applied to the sealing cap contact surface189so that the sealing cap182adheres to the door glass120. The type of the sealing agent190is not limited, and urethane may be applied to the sealing agent190as an example. The sealing member180may include a contact rib192protruding farther from an outer edge of the sealing cap contact surface189than the sealing cap contact surface189. The contact rib192is formed to be in contact with the door glass120when the door glass120and the sealing cap182are coupled and prevents the sealing agent190applied to the sealing cap contact surface189from being leaked to the outside of the sealing cap182. Further, the contact rib192is formed to be in contact with the door glass120to allow the sealing cap182and the door glass120to be stably attached to each other. The door glass120may include an introduction preventing protrusion138formed to be adjacent to the glass hole124. The introduction preventing protrusion138is formed along the sealing member180and is formed to protrude toward the inside of the cabinet10. The introduction preventing protrusion138is formed to be adjacent to a coupling position between the sealing member180and the door glass120to prevent air or washing water which moves along a surface of the door glass120or moves in the cabinet10from being directly introduced into the coupling portion between the sealing member180and the door glass120. Hereinafter, a washing machine according to another embodiment of the present disclosure will be described. Descriptions of configurations already described above will be omitted. FIG.15is a cross-sectional view of an auxiliary door according to another embodiment of the present disclosure. An auxiliary door250is provided to rotate from a door unit110to open and close a second opening112a. The auxiliary door250may include an auxiliary door body252corresponding to the second opening112a. The auxiliary door body252may include an outer surface252aforming an appearance and an inner surface252bformed to be convex from one side130aof a guide duct130toward the other side130bthereof. That is, the inner surface252bmay be provided to have a convex shape from the one side130aof the guide duct130toward the other side130bof the guide duct130corresponding to a glass hole124. The auxiliary door body252may be formed of a heat-insulating or heat-resisting material. Therefore, heat of air moving in a washing space5in the auxiliary door body252may not be transmitted to the outside of the auxiliary door250. Hereinafter, a washing machine according to still another embodiment of the disclosure will be described. Descriptions of the components already described above will be omitted. FIG.16is a cross-sectional view of an auxiliary door according to still another embodiment of the present disclosure. An inner door170is provided to form an insulating space172between an auxiliary door body152and the inner door170. The insulating space172is disposed between the inner door170and the auxiliary door body152, and heat is prevented from being transmitted from the washing machine through a second opening112awhen an auxiliary door350is located at the closed position150b. An insulating material172bmay be disposed in the insulating space172. The type of the insulating material172bis not limited and the insulating material172binsulating heat to prevent the heat from being transmitted from the inner door170to an outer door may be adequate. A shape and disposition of the insulating material172bin the insulating space172are not limited, and a plurality of types of insulating materials172bmay be provided in layers. While the present disclosure has been particularly described with reference to exemplary embodiments, it should be understood by those of skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the present disclosure. | 37,438 |
11859336 | DETAILED DESCRIPTION Embodiments of the present disclosure are described herein. It is to be understood, however, that the disclosed embodiments are merely examples and other embodiments may take various and alternative forms. The figures are not necessarily to scale; some features could be exaggerated or minimized to show details of particular components. Therefore, specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as a representative basis for teaching one skilled in the art to variously employ the embodiments. As those of ordinary skill in the art will understand, various features illustrated and described with reference to any one of the figures may be combined with features illustrated in one or more other figures to produce embodiments that are not explicitly illustrated or described. The combinations of features illustrated provide representative embodiments for typical applications. Various combinations and modifications of the features consistent with the teachings of this disclosure, however, could be desired for particular applications or implementations. Illustrative washing machines in accordance with the present disclosure include a rotatable clothes mover or agitator and a rotatable basket or drum. Clothes movers generally oscillate, or rotate back and forth, in accordance with a stroke angle, to provide agitation to a laundry load during washing operations. Clothes movers and rotatable baskets generally spin together during spin cycle operations. To enable both of these functionalities, including oscillation by the clothes mover and joint spinning by the clothes mover and basket, a common drive system may be included. Such a drive system can include a drive mechanism or transmission for translating movement from an electric machine or motor into rotational movement of the basket and clothes mover by the use of a drive shaft that is operably coupled to a series of gears or gearing arrangement. Traditional drive mechanisms may include the use of a sun gear, a set of planetary gears, and an external ring gear. The planetary gears are often provided as spur gears. However, the gears may alternatively be helical gears in place of conventional spur gears in the drive mechanism. Traditional drive mechanisms, however, are not limited to planetary gear systems. FIG.1illustrates a schematic cross-sectional view of a laundry treating appliance shown in the form of a laundry or washing machine10according to one embodiment of the present disclosure. While the laundry treating appliance is illustrated as a vertical axis, top-fill washing machine, the embodiments of the present disclosure can have applicability in other fabric treating appliances, non-limiting examples of which include a combination washing machine and dryer, a refreshing/revitalizing machine, an extractor, or a non-aqueous washing apparatus. Washing machines are typically categorized as either a vertical axis washing machine or a horizontal axis washing machine. As used herein, the “vertical axis” washing machine refers to a washing machine having a rotatable drum, perforate or imperforate, that holds fabric items and a clothes mover, such as an agitator, impeller, nutator, and the like within the drum. The clothes mover moves within the drum to impart mechanical energy directly to the clothes or indirectly through wash liquid in the drum. The clothes mover may typically be moved in a reciprocating rotational movement. In some vertical axis washing machines, the drum rotates about a vertical axis generally perpendicular to a surface that supports the washing machine. However, the rotational axis need not be vertical. The drum may rotate about an axis inclined relative to the vertical axis. As used herein, the “horizontal axis” washing machine refers to a washing machine having a rotatable drum, perforated or imperforate, that holds fabric items and washes the fabric items by the fabric items rubbing against one another as the drum rotates. In some horizontal axis washing machines, the drum rotates about a horizontal axis generally parallel to a surface that supports the washing machine. However, the rotational axis need not be horizontal. The drum may rotate about an axis inclined relative to the horizontal axis. In horizontal axis washing machines, the clothes are lifted by the rotating drum and then fall in response to gravity to form a tumbling action. Mechanical energy is imparted to the clothes by the tumbling action formed by the repeated lifting and dropping of the clothes. Vertical axis and horizontal axis machines are best differentiated by the manner in which they impart mechanical energy to the fabric articles. The illustrated exemplary washing machine ofFIG.1is a vertical axis washing machine. The washing machine10may include a structural support system comprising a cabinet14that defines a housing, within which a laundry holding system resides. The cabinet14may be a housing having a chassis and/or a frame defining an interior that receives components typically found in a conventional washing machine, such as electric machines (e.g., motors), pumps, fluid lines, controls, sensors, transducers, and the like. Such components will not be described further herein except as necessary for a complete understanding of the present disclosure. The fabric holding system of the illustrated exemplary washing machine10may include a rotatable drum or basket30having an open top that can be disposed within the interior of the cabinet14and may define a treating chamber32for receiving laundry items for treatment. The top of the cabinet14can include a selectively openable lid28to provide access into the laundry treating chamber32through the open top of the basket30. A washtub or tub34can also be positioned within the cabinet14and can define an interior space or cavity within which the basket30can be positioned. The tub34can have a generally cylindrical side or tub peripheral wall12closed at its bottom end by a base16that can at least partially define a sump60. The basket30can have a generally peripheral side wall18, which is illustrated as a cylindrical side wall, closed at the basket end by a basket base20to at least partially define the treating chamber32. The basket30can be rotatably mounted within the tub34for rotation about a vertical basket axis of rotation relative to the tub34and can include a plurality of perforations31, such that liquid may flow between the tub34and the rotatable basket30through the perforations31. While the illustrated washing machine10includes both the tub34and the basket30, with the basket30defining the treating chamber32, it is within the scope of the present disclosure for the laundry treating appliance to include only one receptacle, with the receptacle defining the laundry treatment chamber for receiving the load to be treated. An agitator or clothes mover38may be disposed and rotatably mounted within the basket30to impart mechanical agitation to a load of laundry placed in the basket30. The clothes mover38can be oscillated or rotated about its axis of rotation during a cycle of operation in order to produce load motion effective to wash the load contained within the treating chamber32. Types of laundry movers include, but are not limited to, an agitator, a wobble plate, and a hybrid impeller/agitator. The basket30and the clothes mover38may be driven by a drive system40that includes power sources, such as an electric machine or motor41, and a transmission operably coupled with the basket30and clothes mover38. The electric machine or motor41is configured to generate power to rotate the basket30and the clothes mover38, and to oscillate the clothes mover38. The transmission is configured to deliver power from a power source (e.g., motor41) to the basket30and/or the clothes mover38. The transmission may include a gearing arrangement or gear case. The transmission may also include additional components such as input and output shafts. The motor41may rotate the basket30at various speeds in either rotational direction about the vertical axis of rotation, including at a spin speed wherein a centrifugal force at the inner surface of the basket side wall18is 1 g or greater. Spin speeds are commonly known for use in extracting liquid from the laundry items in the basket30, such as after a wash or rinse step in a treating cycle of operation. A loss motion device or clutch can be included in the drive system40and can selectively operably couple the motor41with either the basket30and/or the clothes mover38. A suspension system22can dynamically hold the tub34within the cabinet14. The suspension system22can dissipate a determined degree of vibratory energy generated by the rotation of the basket30and/or the clothes mover38during a treating cycle of operation. Together, the tub34, the basket30, and any contents of the basket30, such as liquid and laundry items, define a suspended mass for the suspension system22. A liquid supply system can provide liquid, such as water or a combination of water and one or more wash aids, such as detergent, into the treating chamber32. The liquid supply system may include a water supply configured to supply hot or cold water. The water supply may include a hot water inlet44and a cold water inlet46, a valve assembly, which can include a hot water valve48, a cold water valve50, and a diverter valve55, and various conduits52,56,58. The valves48,50are selectively openable to provide water, such as from a household water supply (not shown) to the conduit52. The valves48,50can be opened individually or together to provide a mix of hot and cold water at a selected temperature. While the valves48,50and conduit52are illustrated as positioned on the exterior of the cabinet14, it may be understood that these components may be internal to the housing. As illustrated, a detergent dispenser54can be fluidly coupled with the conduit52through a diverter valve55and a first water conduit56. The detergent dispenser54can include means for supplying or mixing detergent to or with water from the first water conduit56and can supply such treating liquid to the tub34. It has been contemplated that water from the first water conduit56can also be supplied to the tub34through the detergent dispenser54without the addition of a detergent. A second water conduit, illustrated as a separate water inlet58, can also be fluidly coupled with the conduit52through the diverter valve55such that water can be supplied directly to the treating chamber through the open top of the basket30. Additionally, the liquid supply system can differ from the configuration shown, such as by inclusion of other valves, conduits, wash aid dispensers, heaters, sensors, such as water level sensors and temperature sensors, and the like, to control the flow of treating liquid through the washing machine10and for the introduction of more than one type of detergent/wash aid. A liquid recirculation system may be provided for recirculating liquid from the tub34into the treating chamber32. More specifically, a sump60can be located in the bottom of the tub34and the liquid recirculation system can be configured to recirculate treating liquid from the sump60onto the top of a laundry load located in the treating chamber32. A pump62can be housed below the tub34and can have an inlet fluidly coupled with the sump60and an outlet configured to fluidly couple to either or both a household drain64or a recirculation conduit66. In this configuration, the pump62can be used to drain or recirculate wash water in the sump60. As illustrated, the recirculation conduit66can be fluidly coupled with the treating chamber32such that it supplies liquid into the open top of the basket30. The liquid recirculation system can include other types of recirculation systems. It is noted that the illustrated drive system, suspension system, liquid supply system, and recirculation and drain system are shown for exemplary purposes only and are not limited to the systems shown in the drawings and described above. For example, the liquid supply, recirculation, and pump systems can differ from the configuration shown inFIG.1, such as by inclusion of other valves, conduits, treating chemistry dispensers, sensors (such as liquid level sensors and temperature sensors), and the like, to control the flow of liquid through the washing machine10and for the introduction of more than one type of treating chemistry. For example, the liquid supply system can be configured to supply liquid into the interior of the tub34not occupied by the basket30such that liquid can be supplied directly to the tub34without having to travel through the basket30. In another example, the liquid supply system can include a single valve for controlling the flow of water from the household water source. In another example, the recirculation and pump system can include two separate pumps for recirculation and draining, instead of the single pump as previously described. The washing machine10can also be provided with a heating system (not shown) to heat liquid provided to the treating chamber32. In one example, the heating system can include a heating element provided in the sump to heat liquid that collects in the sump. Alternatively, the heating system can be in the form of an in-line heater that heats the liquid as it flows through the liquid supply, dispensing and/or recirculation systems. The washing machine10may further include a controller70coupled with various working components of the washing machine10to control the operation of the working components and to implement one or more treating cycles of operation. The control system can further include a user interface24that is operably coupled with the controller70. The user interface24can include one or more knobs, dials, switches, displays, touch screens and the like for communicating with the user, such as to receive input and provide output. The user can enter different types of information including, without limitation, cycle selection and cycle parameters, such as cycle options. The controller70can include the machine controller and any additional controllers provided for controlling any of the components of the washing machine10. For example, the controller70can include the machine controller and a motor controller. Many known types of controllers can be used for the controller70. It is contemplated that the controller is a microprocessor-based controller that implements control software and sends/receives one or more electrical signals to/from each of the various working components to implement the control software. As an example, proportional control (P), proportional integral control (PI), and proportional derivative control (PD), or a combination thereof, a proportional integral derivative control (PID), can be used to control the various components of the washing machine10. As illustrated inFIG.2, the controller70can be provided with a memory72and a central processing unit (CPU)74. The memory72can be used for storing the control software that can be executed by the CPU74in completing a cycle of operation using the washing machine10and any additional software. Examples, without limitation, of treating cycles of operation include: wash, heavy-duty wash, delicate wash, quick wash, pre-wash, refresh, rinse only, and timed wash, which can be selected at the user interface24. The memory72can also be used to store information, such as a database or table, and to store data received from the one or more components of the washing machine10that can be communicably coupled with the controller70. The database or table can be used to store the various operating parameters for the one or more cycles of operation, including factory default values for the operating parameters and any adjustments to them by the control system or by user input. The controller70may be operably coupled with one or more components of the washing machine10for communicating with and/or controlling the operation of the components to complete a cycle of operation. For example, the controller70may be coupled with the hot water valve48, the cold water valve50, diverter valve55, and the detergent dispenser54for controlling the temperature and flow rate of treating liquid into the treating chamber32; the pump62for controlling the amount of treating liquid in the treating chamber32or sump60; drive system40including motor41for controlling the direction and speed of rotation of the basket30and/or the clothes mover38; and the user interface24for receiving user selected inputs and communicating information to the user. The controller70can also receive input from a temperature sensor76, such as a thermistor, which can detect the temperature of the treating liquid in the treating chamber32and/or the temperature of the treating liquid being supplied to the treating chamber32. The controller70can also receive input from various additional sensors78, which are known in the art and not shown for simplicity. Non-limiting examples of additional sensors78that can be communicably coupled with the controller70include: a weight sensor, and a motor torque sensor. While illustrated as one controller, the controller70may be part of a larger control system and may control or be controlled by various other controllers throughout the washing machine10. It should therefore be understood that the controller70and one or more other controllers can collectively be referred to as a “controller” that controls various subcomponents or actuators of the washing machine10in response to signals from various subcomponents or sensors of the washing machine10to control various functions. The controller70may include the microprocessor or central processing unit (CPU)74, which may be in communication with various types of computer readable storage devices or media. Computer readable storage devices or media may include volatile and nonvolatile storage in read-only memory (ROM), random-access memory (RAM), and keep-alive memory (KAM), for example. KAM is a persistent or non-volatile memory that may be used to store various operating variables while the CPU is powered down. Computer-readable storage devices or media may be implemented using any of a number of known memory devices such as PROMs (programmable read-only memory), EPROMs (electrically PROM), EEPROMs (electrically erasable PROM), flash memory, or any other electric, magnetic, optical, or combination memory devices capable of storing data, some of which represent executable instructions, used by the controller70in controlling the washing machine10. FIG.3shows in greater detail a portion of the basket30, clothes mover38, and drive system40. The motor41can be drivingly coupled to the clothes mover38to selectively oscillate or rotate the clothes mover38. More specifically, the motor41can include an output77that is connected through a belt system79to a first drive shaft82. The first drive shaft82is configured to receive power from the motor41and to rotate about an axis of rotation84. Alternatively, the motor41could be directly connected to the first drive shaft82. The first drive shaft82may be further connected to a second drive shaft86configured to couple with and rotate the clothes mover38and a third drive shaft, which is illustrated as a spin tube88, configured to couple with and rotate the basket30. As shown, the second drive shaft86can be concentric to, and positioned within the interior diameter of the spin tube88. Each of the second drive shaft86and spin tube88can be configured to rotate, for example, independently of the other, in unison with the other, or at dissimilar rotational speeds or directions from the other. The drive system40may further include a transmission100having a gear system or gearing arrangement, which can be provided within a gearbox87. The gear system or gearing arrangement may be a planetary gear system or gearing arrangement. The gearbox87can include a gearbox housing90, a sun gear92, a set of planet gears94, and an outer concentric ring gear96, wherein the gears92,94,96are positioned within the housing90. The gears may or may not be helical gears. It is also contemplated that the outer concentric ring gear96and the housing90can be provided as one piece wherein the housing90forms the outer concentric ring gear96. The sun gear92is coupled with the first drive shaft82, and includes teeth92aconfigured to mesh with teeth94aon the planet gears94and to rotate the set of planet gears94. The planet gears94are positioned concentrically about the sun gear92and within the outer ring gear96, such that the teeth94aof planet gears94mesh with teeth96aof the outer ring gear96. Each of the planet gears94is coupled with a planet carrier98such that the rotation of the planet gears94about the ring gear96, as driven by the sun gear92, rotates the planet carrier98about the axis of rotation84. The planet carrier98may be further coupled with the second drive shaft86, which is configured to deliver power to the clothes mover38in order to rotate the clothes mover38. The ring gear96may be operably connected with the basket30via the spin tube88. The spin tube88is configured to deliver power to the basket30in order to rotate the basket30. The sun gear92, planet gears94and outer ring gear96can be collectively thought of as a gear system or gearing arrangement of the transmission100, where the gear system or gearing arrangement is configured to deliver power from the to the first drive shaft82to the second drive shaft86and/or the spin tube88. The first drive shaft82may be referred to as an input shaft of the transmission100. The second drive shaft86and the spin tube88may be referred to as output shafts of the transmission100. Each of the second drive shaft86and spin tube88extend from the transmission100, or more specifically from the gearbox87, and into a space or region defined within the interior of the tub34, where the second drive shaft86is connected to the clothes mover38and the spin tube88is connected to the wash basket30. The gearbox87can be configured in any suitable manner including that it can be configured in a speed-reducing configuration, for example by a gear reduction, such that the output rotational speed of the second drive shaft86is less than the rotational speed of the first drive shaft82. The gearbox87, sun gear92, planet gears94, ring gear96, and the like, can be configured or selected to provide a desired rotational speed-reducing ratio based on the rotational speed of the first drive shaft82, the desired rotational speed of the clothes mover38, or the desired agitation of the washing machine10or the cycle of operation. The motor41operates as controlled by the controller70. The rotational speed of the first drive shaft82can be reduced by the planetary gearbox87and delivered to the clothes mover38to rotate the clothes mover38, which ultimately provides movement to the laundry load contained within the laundry treating chamber32. When the washing machine10is operating in the agitate mode, the motor41is operated in a reversing fashion which causes the first drive shaft82to oscillate, thus driving the sun gear92in alternating opposite directions. The clothes mover38is therefore oscillated through its connection with the planet gears94. The wash basket30can be held stationary while the clothes mover38is oscillated, for example by means of a brake mechanism (not shown). A clutch mechanism, which may be including within in the transmission100, allows for switching the washing machine10between a mode in which the clothes mover38oscillates relative to the basket30and a mode in which the clothes mover38and the basket30rotate together. In exemplary implementations, the clothes mover38may oscillate during a wash cycle to provide agitation, and the clothes mover38and the basket30may spin together during a spin cycle. Turning now toFIG.4, the transmission100is shown in enlarged and exploded detail. The transmission100may be used within a washing machine10having suitable capacity. In an exemplary embodiment, the transmission100may be used within a washing machine10having a low capacity tub34. Non-limiting examples of such a low capacity can include tub34having a volume of at least 3.5 cubic feet and not greater than 4.4 cubic feet. The transmission100includes a thrust or input washer102that is disposed between the sun gear92and the housing90, which defines the ring gear96. The input washer102can be formed of any suitable material, non-limiting examples of which include metal, plastic, or resin. The planet gears94circumferentially surround the sun gear92and are received on a plurality of carrier posts104extending from the planet carrier98. Planet carrier98is received about the second drive shaft86. Above the planet carrier98and received about the spin tube88is an upper cover106. The upper cover106can be secured to the housing90by a plurality of fasteners108. The fasteners108can be any suitable type of fastener, including, but not limited to, screws, bolts, snap-in fasteners, etc. Between the planet carrier98and the upper cover106can be provided a carrier washer110. The plurality of teeth92aof the sun gear92are provided about the outer circumferential surface of the sun gear92. The plurality of teeth94aof the planet gears94are provided about the outer circumferential surface of the planet gears94. The teeth94aof the planet gears94mesh with the teeth92aof the sun gear92. The plurality of teeth96aof the ring gear96are provided about the inner circumferential surface of the ring gear96. The teeth96aof the ring gear96mesh with the teeth94aof the planet gears94. While the transmission100is illustrated herein as having three planet gears94, it will be understood that any suitable number of planet gears94may be provided, including only a single planet gear94. Further, in an exemplary embodiment, regardless of the number of planet gears94provided, the planet gears94may be equally spaced circumferentially around the sun gear92to reduce vibration in the transmission100, and also in the washing machine10overall. The carrier washer110provided between the planet carrier98and the upper cover106in the transmission100prevents contact between the planet carrier98and the upper cover106, and may also or alternately dampen contact between the planet carrier98and the upper cover106. The carrier washer110may be formed from any suitable material, such as metal, plastic, or resin. In an exemplary embodiment, the carrier washer110may be formed from or comprised of a plastic that is sufficiently durable to withstand the wear of normal operation of the drive system40. One such example includes, but is not limited to, a polyetheretherketone (PEEK) based resin, which can be further provided with fillers such as nylon, a non-limiting example of which includes aramid, or a polytetrafluoroethylene, a non-limiting example of which includes Teflon™. The carrier washer110can have any suitable thickness such that a gap may be defined between the planet carrier98and the upper cover106. It is further contemplated that carrier washer110can be any suitable thickness. By way of non-limiting example, each transmission100produced can be measured to determine the thickness of carrier washer110that is best suited in that individual transmission100. Referring toFIGS.5-8, the spin tube88is illustrated in further detail. The spin tube88extends from the transmission100and into the internal region or space112defined by the tub34where the spin tube88is connected to the rotatable drum or basket34. A seal114is disposed between the tub34and the transmission100, or more specifically between the tub34and the gearbox87. The spin tube88is configured to engage the seal114to prevent the ingress of water from the tub34into the transmission100, or more specifically into the gearbox87. The spin tube88may be supported by at least one bearing116. The second drive shaft86may be supported within the spin tube88via at least one bearing118. The spin tube88comprises a tube or shaft120and a sleeve122that is affixed to a region or section124of the shaft120. More specifically, the sleeve122may be disposed about the section124of the shaft120and the section124of the shaft120may be a middle section of the shaft120. The shaft120is made from a first material while the sleeve122is made from a second material that is less corrosive than the first material. More specifically, the first material may be a carbon steel (e.g., EN8D) and the second material may be a stainless steel (e.g., SS304, SS201, SS410, SS430, etc.). An outer periphery, outer circumference, or outer diameter126of the sleeve122is configured to engage the seal114to prevent the ingress of water from the tub34into the transmission100, and more specifically into the gearbox87. The shaft120defines a groove128about an outer periphery, outer circumference, or outer diameter130of the shaft120within section124. The sleeve122is disposed within the groove128. The outer periphery, outer circumference, or outer diameter126of the sleeve122is flush with a remainder of the outer periphery, outer circumference, or outer diameter130of the shaft120. The remainder of the outer periphery, outer circumference, or outer diameter130of the shaft120may include external areas of the shaft120that are external to or other than the area including the groove128, which may include the region or section132that is above the groove128and the region or section134that is below the groove128. It is important that a proper seal is created between the spin tube88and the seal114in order to prevent the ingress of water into the transmission100. Corrosion (e.g., rust) on the spin tube88in the vicinity of the seal114could result in extra wear and tear on the seal. Therefore, a non-corrosive resistant material, such as stainless stain, is desirable. However, non-corrosive materials may increase the material cost of the spin tube88. The spin tube88described herein balances both the need to have a corrosive resistance material in the vicinity of the seal114and the need to reduce cost. More specifically, the majority of the spin tube88comprises shaft120, which is made from a less expensive material such as a carbon steel, while the sleeve122is made from a non-corrosive material to eliminate corrosion in the vicinity of the seal114. It should be understood that the spin tube88is not limited to laundry machine configuration described herein, and may be used in any type of washing or laundry machine. Referring toFIG.9, a flowchart of a method200for forming the spin tube88is illustrated. The method200begins at block202where the shaft120that defines the groove128is formed. The shaft120and the groove128may be formed by any known machining process (e.g., via a lathe), forging process (e.g., casting), or by rolling a flat sheet of material. Next, the method200moves onto block204where the sleeve122is attached to the shaft120within the groove128. The sleeve122may be attached to the shaft120via rolling where a flat sheet of material that forms the sleeve122is rolled over the shaft120within the groove128. The sleeve122may then be welded onto the shaft120. The welding may include weld beads along the top and bottom of the sleeve122that extend about the entire outer periphery, outer circumference, or outer diameter126of the sleeve122. Such weld beads may be applied via rotatory welding. An additional weld bead may be included along a slit136that extends the longitudinal length of the sleeve122. Such an additional weld bead may be applied via straight welding. Alternatively, the sleeve122may be attached via friction welding. Next, the method200moves onto block206where excess material is removed. More specifically, the “extra skin” of the welds may be removed during this step. The method200then moves on to block208where the finishing processes are applied to the spin tube88. More specifically, the spin tube may undergo a grinding process so that the outer periphery, outer circumference, or outer diameter of the spin tube88is uniform throughout the length of the spin tube88, including the section124where the sleeve122is located and the sections132,134where the sleeve122is not located. An additional polishing process may also occur after the grinding process is complete. Once the spin tube88is finished at block208it may be assembled onto a washing or laundry machine. It should be understood that the designations of first, second, third, fourth, etc. for any component, state, or condition described herein may be rearranged in the claims so that they are in chronological order with respect to the claims. Furthermore, it should be understood that any component, state, or condition described herein that does not have a numerical designation may be given a designation of first, second, third, fourth, etc. in the claims if one or more of the specific component, state, or condition are claimed. The words used in the specification are words of description rather than limitation, and it is understood that various changes may be made without departing from the spirit and scope of the disclosure. As previously described, the features of various embodiments may be combined to form further embodiments that may not be explicitly described or illustrated. While various embodiments could have been described as providing advantages or being preferred over other embodiments or prior art implementations with respect to one or more desired characteristics, those of ordinary skill in the art recognize that one or more features or characteristics may be compromised to achieve desired overall system attributes, which depend on the specific application and implementation. As such, embodiments described as less desirable than other embodiments or prior art implementations with respect to one or more characteristics are not outside the scope of the disclosure and may be desirable for particular applications. | 34,071 |
11859337 | Before the embodiments of the invention are explained in detail, it is to be understood that the invention is not limited in its application to the details of construction and the arrangement of the components set forth in the following description or illustrated in the drawings. The invention is capable of other embodiments and of being practiced or being carried out in various ways. Also, it is to be understood that the phraseology and terminology used herein are for the purpose of description and should not be regarded as limiting. The use of “including” and “comprising” and variations thereof is meant to encompass the items listed thereafter and equivalents thereof as well as additional items and equivalents thereof. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now toFIG.1, a top loading washing machine10suitable for use with the present invention includes a lid12opening upward about a horizontal lid hinge axis14. The lid hinge axis14is positioned near the top rear edge of the washing machine10so that a front edge16of the lid12may raise and lower to expose and cover an opening20through which clothing may be inserted into the spin basket. A horizontal surface of the top22of the washing machine10, at the periphery of the opening20, may support a striker aperture24extending from a housing21of a latch25fastened to the underside of the top22. The striker aperture24opens upward to receive a downwardly extending striker26attached to an underside of the lid12. Both the striker aperture24and the striker26are offset parallel to the axis14and offset from a center of the front edge16so as to minimize interference with loading and unloading the washing machine10. The top-loading washing machine10may also provide for a controller board11, for example, including a processor executing a program stored in computer memory. The controller board may receive signals from the latch25via harness82and from controls13accessible to the user to control operation of one or more electric actuator such as motor15actuating a spin basket or the like. Referring now toFIG.2, the striker26may include a downwardly extending arm28terminating in a hook portion30extending leftward from the arm28, as shown inFIG.2, generally toward a user of the washing machine10. The upper end of the arm28may be mounted to the lid12by a hinge element17to pivot left and right as indicated by arrows32with respect to the lid12under restoring spring forces indicated schematically by springs34. The hinge element17may be a pivot joint with springs34or a living hinge having natural resiliency. In this way, the left and right surfaces of the hook portion30may translate as may be necessary to accommodate positional tolerances in the manufacture of the washing machine10and wear of the washing machine10and to provide movement of a trap to be described. As the lid is closed, the hook portion30moves toward the striker aperture24and is guided rightward by a right facing first sloping edge36of an aperture bezel38defining the striker aperture24. The aperture bezel moves the hook portion30to position29bwith a left edge of the striker26aligned at first position31with the right edge of an un-retracted trap40(shown in a forward, retracted position inFIG.2). The striker26is then urged left by a left facing second sloping edge of ramp42so as to push the trap40leftward against a restoring spring (not shown inFIG.2) so that a left edge of an opening in the trap40is moved to position31′ as hook portion30passes to position29c. A following surface33of the trap40, when the trap is moved forward with the striker26in position29c, prevents rightward movement of the hook portion30when the trap40is latched as will be described below, trapping the striker26beneath a stationary ledge on the underside of the sloping edge36. This serpentine path defined by sloping edges36and sloping surface of ramp42ensures that the left edge of the striker26abuts the leading surface41of the trap40in close proximity despite tolerance variations between the lid12and the top22and allows the striker26to move the trap40to the forward position needed for locking as will be described. Referring now toFIG.3, and referring to directions as depicted in that figure, in a first embodiment, the hook portion30maybe bifurcated into left and right teeth37aand37bseparated by a slot35. The right tooth37bmay contact the leading surface41of the trap40to push it forward as described above with respect toFIG.2as the rear edge of the striker26is pressed forward by interaction with the ramp42. At the same time, the left tooth37amay push against an upwardly extending finger43on anti-tamper slide44, the latter of which may slide along the axis27as will be discussed below. An upwardly extending ward plate45is attached to the stationary structure of the latch25to extend between the leading surface41of the trap40and the finger43on the anti-tamper slide44so that, as shown inFIG.4, the hook portion30may engage the trap40and push the trap40along axis27by the interaction of tooth37band leading surface41, and push the upwardly extending finger43on anti-tamper slide44by tooth37a, only if slot35is present allowing the hook portion30to pass around the ward plate45. The ward45thus defeats actuation of the latch25by a non-bifurcated probe. Referring now toFIG.5, the sliding trap40is normally biased rightward by a biasing spring showed schematically as spring52to engage hook portion30when hook portion30is moved into position29cshown inFIG.2, then to hold the hook portion30underneath the stationary latch structure of the aperture bezel38against upward motion. The trap40includes an aperture63at its left edge. When the trap40is moved leftward, forward capturing the hook portion30, the aperture63aligns with a blocking element54which may descend into the aperture63from an actuator mechanism55positioned above the trap40. In this configuration, rightward movement of the trap40is stopped by interference between a left surface of the blocking element54abutting a blockade surface53forming a left wall of the aperture63. Thus, the trap40acts as a trap to hold the striker26in position when the blocking element54acts as a blocking element to the trap40. Referring now toFIGS.5,9,12aand12b, the blocking element54may be moved downward under the influence of a flexible leaf spring56. The flexible leaf spring56holds one of a pair of contacts of a lock switch57indicating proper locking of the latch25when the blocking element54is lowered and the contacts connect, closing the lock switch57. At this time, the blocking element54may only be disengaged by action of a bistable solenoid mechanism60(shown schematically inFIG.5and described below) providing a wedge element58that may lift the leaf spring56to raise the blocking element54by contacting a sloped portion59of the leaf spring56. Referring still toFIG.6, motion of the anti-tamper switch along axis27closes anti-tamper switch50allowing operation of the lock. It will be appreciated that the solenoid62may be replaced with a variety of other actuator types including thermal actuators (such as bimetal actuators, muscle wire, or wax motors) or mechanisms such as DC motors with rack and pinion gearing or lead screws or the like. While the bistable solenoid mechanism60prevents defeat of the lock mechanism by removing power from the appliance, the invention also contemplates other methods of preventing such premature release, for example, implementing a “cool-down” period of time after power loss before which the latch could not be released. This cool-down period may be implemented by actual thermal cooling of a thermal actuator holding the latch in a locked state or by power reserved, for example, in a capacitor or the like, that may be used in conjunction with a timing mechanism to release the bistable solenoid mechanism60by providing a releasing pulse of electricity a fixed period of time after line power is lost. The blockade surface53may be formed by a thin member that can break away if the lock is forcibly opened by pressing rightward on the trap40when the blocking element54has descended, such as may occur from a forcible extraction of the striker26. When the blockade surface53is broken away, a leaf spring71positioned on the under surface of the trap40is free to move upward and carries with it the blocking element54, opening contacts on the lock switch throughout the range of travel of the trap40. Referring now toFIGS.9,7aand7b, the bi-stable mechanism may include an electrical solenoid62having a plunger64pulled into the solenoid when the solenoid is actuated. The plunger64may be surrounded by a helical compression spring66that extends the plunger64from the solenoid62when the solenoid62is not actuated. A distal end of the plunger64may connect to a pivoting hook67guided into alignment with an axis of the plunger64when the plunger is fully extended by means of an angled track68sloping to an apex spaced from the solenoid62and aligned with an axis of the plunger64. When the solenoid62is actuated, the hook67is drawn inward and contacts a serrated front surface of a rocking element70so that successive energizing of the solenoid62, releasing and then pulling in the plunger64, causes the rocking element70to rock between extremes depicted inFIGS.7aand7b. A serrated surface of the rocking element70guides the hook67to pull on opposite sides of the rocking element70as it moves from the resting position at the apex of the track68, causing this bi-stable motion. The rotated extreme, shown inFIG.7bin a fully clockwise direction, normally provides a locked state for the trap40, while the rotated extreme ofFIG.7ain a fully counterclockwise direction normally provides an unlocked state of the trap40. Referring again toFIG.9, the unlocked state is associated with the wedge element58being positioned beneath a sloped portion59of the leaf spring56to raise the blocking element54from engagement with the aperture of the trap40(shown inFIG.5). In contrast, the locked state is associated with the wedge element58being removed from the sloped portion59of the leaf spring56, allowing the blocking element54to descend into the aperture of the trap40. Referring now toFIGS.7a,7b, and8, the rocking element70may have an anti-vibration tooth72extending leftward therefrom to abut an end of the plunger64when the solenoid62is not being energized and yet is fully extended by helical springs66. The anti-vibration tooth72, which is positioned abutting opposite sides of the extended plunger64for the unlocked state ofFIG.7aand the locked state ofFIG.7b, prevents rotation of the rocking element70from vibration alone so long as the solenoid plunger64is fully extended. When the solenoid62is actuated, however, as shown inFIG.8, a pulling in of the solenoid plunger64allows the anti-vibration tooth72to slip past the end of the plunger64and rotation of the rocking element70to occur. Referring now toFIG.10, in an alternative embodiment, the hook portion30need not be bifurcated (although bifurcation and a ward plate45may be used) and the ramp42is movable with respect to the stationary structure of the latch25to accommodate limited rearward motion under the force from the striker26as indicated by arrow80. A second rearwardly displaced ramp42′ may be fixed with respect to the stationary structure of the latch25ensuring forward movement of the striker26as it is inserted into the latch25after limited rearward motion of the ramp42. Alternatively, a blocking element73may be fixed with respect to the stationary structure of a latch25to limit the rearward movement of the ramp42so that it continues to move the striker26forward as required after the limited rearward movement. In either case, forward motion of the trap40again serves to lock the striker26in place and rearward motion of the ramp42is used to provide for activation of the anti-tamper feature by moving anti-tamper slide44, now communicating with contacts50, the latter of which are closed by rearward motion of the ramp42indicated by arrow80. In this case, motion of the trap40to lock the striker26and motion of the anti-tamper slide44are in opposite directions. Thus, a single probe pressing on leading surface41will not be sufficient to activate the latch25and activate the anti-tamper switch50. Referring now toFIG.11, in yet a further alternative embodiment, the ramp42is again fixed with respect to the frame of latch25per the embodiments ofFIGS.3and4, and downward motion of the hook portion30of the striker26causes a bottom surface of the striker26to activate a paddle86communicating with a rotating axle88extending along axis27to rotate that axle88. The axle88may have a tandem paddle90activating anti-tamper switch50with downward motion of the paddle86and rotation of the axle88. Thus a single probe pressing on leading surface41of the trap40will not normally also activate anti-tamper switch50. In all of the above cases, the striker26moves the trap40guided by a ramp42or42′ on the housing21. When the necessary travel of the trap40is achieved the portion of the ramp42or42′ against the rear of the striker26is vertical. Additional travel downward of the striker26results in no significant movement of the trap40. This has many benefits in the design. One is that at a certain travel of striker26downward, the blocking position of the trap40is accomplished and allowing blocking. Additional travel of the striker26downward does not affect the position two of trap40. The force of a lid slam is absorbed by the lid stops (between the lid and the appliance housing), not the structure of the latch25. In all of the above embodiments, multiple points of physical contact between the hook portion30and independent features of the latch25are required for activating the latch and indicating that the latch has not been tampered with. Generally both activation of switch50(corresponding to the anti-tamper slide44) and closure of the lock switch57are communicated with the controller board11which executes a stored program to prevent operation of the motor15unless both lock switch57is closed and switch50is closed. Certain terminology is used herein for purposes of reference only, and thus is not intended to be limiting. For example, terms such as “upper”, “lower”, “above”, and “below” refer to directions in the drawings to which reference is made. Terms such as “left”, “right”, “front”, “back”, “rear”, “bottom” and “side”, describe the orientation of portions of the component within a consistent but arbitrary frame of reference which is made clear by reference to the text and the associated drawings describing the component under discussion. Such terminology may include the words specifically mentioned above, derivatives thereof, and words of similar import. Similarly, the terms “first”, “second” and other such numerical terms referring to structures do not imply a sequence or order unless clearly indicated by the context. When introducing elements or features of the present disclosure and the exemplary embodiments, the articles “a”, “an”, “the” and “said” are intended to mean that there are one or more of such elements or features. The terms “comprising”, “including” and “having” are intended to be inclusive and mean that there may be additional elements or features other than those specifically noted. It is further to be understood that the method steps, processes, and operations described herein are not to be construed as necessarily requiring their performance in the particular order discussed or illustrated, unless specifically identified as an order of performance. It is also to be understood that additional or alternative steps may be employed. Various features of the invention are set forth in the following claims. It should be understood that the invention is not limited in its application to the details of construction and arrangements of the components set forth herein. The invention is capable of other embodiments and of being practiced or carried out in various ways. Variations and modifications of the foregoing are within the scope of the present invention. It also being understood that the invention disclosed and defined herein extends to all alternative combinations of two or more of the individual features mentioned or evident from the text and/or drawings. All of these different combinations constitute various alternative aspects of the present invention. The embodiments described herein explain the best modes known for practicing the invention and will enable others skilled in the art to utilize the invention. | 16,633 |
11859338 | DETAILED DESCRIPTION OF THE INVENTION As used herein, the phrases “water-soluble unit dose article,” “water-soluble fibrous structure”, and “water-soluble fibrous element” mean that the unit dose article, fibrous structure, and fibrous element are miscible in water. In other words, the unit dose article, fibrous structure, or fibrous element is capable of forming a homogeneous solution with water at ambient conditions. “Ambient conditions” as used herein means 23° C.±1.0° C. and a relative humidity of 50%±2%. The water-soluble unit dose article may contain insoluble materials, which are dispersible in aqueous wash conditions to a suspension mean particle size that is less than about 20 microns, or less than about 50 microns. The fibrous water-soluble unit dose article may include any of the disclosures found in U.S. patent application Ser. No. 15/880,594 filed on Jan. 26, 2018; U.S. patent application Ser. No. 15/880,599 filed Jan. 26, 2018; and U.S. patent application Ser. No. 15/880,604 filed Jan. 26, 2018; incorporated by reference in their entirety. Herein is provided biodegradable package for a single unit dose detergent product. The package comprises an internal or inner structure that is biodegradable. It is to be understood that this invention is not limited to the specific components, articles, processes and/or conditions described, as these may, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. The package has an outer shell. The outer shell may have a top section and bottom section that are able to join and make a closed volumetric shape. The top section may comprise a lid. The outer shell may be made from a single piece of material folded into a volumetric shape. The volumetric shape may be any known volumetric shape. The package is a three-dimensional space enclosed by a closed surface formed by the outer shell. The single-piece outer shell may have a hinged lid. The outer shell may have a sealing portion to seal the lid on the outer shell. For example, the lid may have a sealing portion attached to a side wall of the outer shell. The sealing portion may be attached to the outer shell by known attachment means. For example, the sealing portion may be attached to the outer shell by an adhesive or using staples or tacks. The sealing portion may include a quick release tab. The quick release tab may be a pull tab that detaches the lid from the side wall to allow the outer shell to be opened. In some embodiments, the outer shell includes a child-resistant opening. The type of child-resistant opening is not particularly limited. For example, the child-resistant opening may require a significant initial force to begin opening the outer shell such that a child would find it difficult to open the outer shell. Typically, the outer shell may be able to withstand a drop from a height ranging from 1.0 to 1.5 meters without damage to the majority of unit dose detergents in the outer shell. In some embodiments, the breakage rate after a drop from a height ranging from 1.0 to 1.5 meters is limited to 30% or less, 25% or less, 20% or less, 15% or less, 10% or less, 5% or less or none of the single unit dose detergents in the outer shell. Such a breakage rate may be measured by dropping a package from a height of 1.0 to 1.5 meters, noting the number of broken single unit doses in the outer shell, repeating the test twice more with fresh outer shells (with unbroken capsules) and averaging the rate of breakage from the three tests. The inner structure comprises of one or more divided sections enabled to hold product. The one or more divided sections comprise one or more protuberance(s) that extend from a surface of the divided section to contact a surface of the outer shell. The inner structure may be comprised of the same biodegradable material as the outer shell or of a different biodegradable material. The inner structure may be integral to the outer shell and formed as part of or connected to the outer shell or the inner structure can be distinct from the outer shell. The outer shell and/or the inner structure may comprise of plant material, such as, for example, woody material, herbaceous material or product recovery material (fibrous material) and the like. Examples of wood material include and are not limited to, lumber waste, wood processing waste, thinned wood, forest remainder material, include pruned branches (trees, fruit trees), the application site, core, bark, leaves, roots, fruits or there is such a freshman branch of the growth in developing. The herbaceous raw materials include without limitation, for example, bamboo, bagasse, rice hulls, rice straw, wheat straw, grass, bamboo grass, pampas grass, reeds, stems, such as kudzu, leaves, roots, seeds and surrounding tissue, and growth in developing of bamboo shoots. Bamboo and pulp derived from Bamboo is preferred. It is understood that these are examples of plant raw materials and that they are not intended to be limiting. Materials may include any provided that raw materials include plant fibers such as cellulose fibers. It is understood by one of skill in the art that the package outer shell, the package inner structure, or both may be made by any known process used to make molded package structures. Additionally, the package may have a protective coating applied to the outer shell, provided that the protective coating is biodegradable. FIG.1shows a package10having an outer shell15and an inner structure20. The outer shell has a lid16and a bottom section18capable of holding the tray. The lid16may be attached to the bottom section18by any means known or may be integral to the bottom forming an integral part of the outer shell15. The outer shell has an outer surface12and an inner surface14. The inner structure20comprises of one or more divided sections22or storage sections22enabled to hold product. The one or more divided sections22comprise one or more protuberance(s)25that extend from a surface24of the divided section to contact a surface of the outer shell15. FIG.1Ashows the package10with the outer shell15removed. As shown in theFIG.1A, the inner structure20has one or more divided sections22(three as shown in the figure) that have one or more protuberances25that extend from a surface24. As shown inFIG.1A, the protuberances may be hollow or not hollow (not shown). FIG.2andFIG.3show alternative views of the package10with the outer shell15dotted out. As shown inFIG.2andFIG.3, the inner structure20has divided sections enabled to hold product. The divided sections each have a protuberance25extending from a surface of the divided section that contacts the lower surface of the outer shell. The use of a protuberance25creates a gap30between the planar surface32of the storage section22and the outer shell15. FIG.4shows a bottom view of the package10with the outer shell15removed. The inner structure20comprises protuberances25extending from a surface of the inner structure.FIG.5is a cross-section view ofFIG.4taken along5-5.FIG.6is a cross-section view ofFIG.4taken along6-6. As shown inFIG.5the outer shell15encloses the entire inner structure20. As shown inFIG.5, the inner structure sections and the outer surface may have a gap between them (L2). The gap may be the same dimension as the length of a protuberance or it may be smaller than the length of the protuberance. Additionally, as shown inFIG.5andFIG.6the protuberances may extend from the inner structure20surface to the outer shell15for a distance equivalent of L1 creating the distance for the gap30between the planar surface32of the storage section22and the outer shell15. FIGS.7-11show the package10ofFIGS.1-6on a surface40.FIGS.7-11show different potential orientations in which the package10. As shown inFIGS.7-11, due to the use of the protuberances25, the product located in the storage sections22is protected from fluids40even if the package10is placed in fluid40. Due to the protuberances25, the package has a protective gap quantified by the length of the protuberances L that protects the storage section22or the divided sections22that hold product. FIGS.12-14show different views of a package10with the outer shell15dotted out. The inner structure20has two or more protuberances extending from the surface24of the inner structure. As shown in the figures, a protuberance25extends from the lower surface of the inner structure20. Additionally, a protuberance27extends from each side wall facing the outer shell15. The package inner structure20may have one or more divided sections22enabled to hold one or more single unit dose detergent products. FIGS.15-21show different views of a package design with the lid closed.FIGS.22-29show different views of a package design with the lid open. The inner structure includes a substantially planar top portion and a plurality of divided sections or pans spaced from each other forming cavities or molds depending from the underside of top portion. Each pan includes an inner surface and an outer surface that defines a cavity for receiving the single unit dose products. The sidewalls of each pan may be disposed at an acute angle with respect to top portion, and the bottom wall thereof is substantially parallel to top portion. The sidewalls and bottom wall of each pan may include one or more protuberances extending therefrom. Protuberances may be randomly or uniformly disposed through the sidewalls and bottom wall of each divided section or pan. The protuberances extend from one or more surfaces of the inner structure and may extend to the outer shell of the package or short of contacting the outer shell of the package. The protuberances may be hollow or solid. While not shown, it is contemplated that more than one protuberance may extend from any one surface of the inner structure that faces the outer shell. As previously discussed, the outer shell and the inner structure are biodegradable. The outer shell and the inner structure may comprise, without limitation, 100% recycled corrugated fiberboard and newspaper, virgin pulp fiber, type-2 molded fiber, type-2A thermoformed fiber, type-3 thermoformed fiber, type-4 thermoformed fiber, molded fiber, X-RAY formed fiber, infrared formed fiber, microwave formed fiber, vacuum formed fiber, structural fiber, sheet stock, recycled plastic or any other structural material that is biodegradable. In an embodiment, the outer shell may be coated with a wax or other coating that does not allow water to permeate provided that the coating is biodegradable. Biodegradable means the outer shell and the inner structure will be able to decompose naturally. In other words, the outer shell and inner structure may be made of substances that will decay relatively quickly as a result of the action of bacteria and break down into elements such as carbon that are recycled naturally. The resulting package may be capable of being broken down and naturally absorbed into the ecosystem. Biodegradable materials may degrade into simple stable compounds that are not harmful to the environment. Unlike traditional packages that may never biodegrade, the resulting package will naturally break down over time. The package including the outer shell and the inner structure may be recyclable, renewable, made of renewable materials, made from renewable materials, or a combination thereof. The outer shell and/or the inner structure may comprise of plant material, such as, for example, woody material, herbaceous material or product recovery material (fibrous material) and the like. Examples of wood material include and are not limited to, lumber waste, wood processing waste, thinned wood, forest remainder material, include pruned branches (trees, fruit trees), the application site, core, bark, leaves, roots, fruits or there is such a freshman branch of the growth in developing. The herbaceous raw materials include without limitation, for example, bamboo, bagasse, rice hulls, rice straw, wheat straw, grass, bamboo grass, pampas grass, reeds, stems, such as kudzu, leaves, roots, seeds and surrounding tissue, and growth in developing of bamboo shoots. Bamboo and pulp derived from Bamboo is preferred. It is understood that these are examples of plant raw materials and that they are not intended to be limiting. Materials may include any provided that raw materials include plant fibers such as cellulose fibers. As shown in the figures above, the package described above protects the single unit dose detergent while still being biodegradable. Without being bound by theory, it has been found that the package described above protects the single unit dose products by having one or more protuberances extending from the inner structure. The protuberances create a gap between the outer shell and the storage portion of the inner structure that houses the single unit dose products. The gap may comprise gas or other materials such as, for example, a desiccant. The use of protuberances protects the single unit dose(s) in the storage sections if a package is exposed to fluids by creating a gap between the outer shell and the storage section(s). The protuberances may be formed from between 5% to 70% of a surface portion of the inner structure. The protuberances extend from a planar line of the storage section, the planar line being in contact with the single unit dose housed in the storage section or divided section. Additionally, by using a biodegradable outer shell and inner structure. The package allows for vapor transmission through the package thereby allowing for breathability in the package. Single Unit Dose The single unit dose detergent may be a water-soluble unit dose article comprising a water-soluble fibrous structure and one or more particles. The water-soluble unit dose articles disclosed herein comprise a water-soluble fibrous structure and one or more particles. The water-soluble fibrous structure may comprise a plurality of fibrous elements, for example a plurality of filaments. The one or more particles, for example one or more active agent-containing particles, may be distributed throughout the structure. The water-soluble unit dose article may comprise a plurality of two or more and/or three or more fibrous elements that are inter-entangled or otherwise associated with one another to form a fibrous structure and one or more particles, which may be distributed throughout the fibrous structure. The fibrous water-soluble unit dose articles may exhibit a thickness of greater than 0.01 mm and/or greater than 0.05 mm and/or greater than 0.1 mm and/or to about 100 mm and/or to about 50 mm and/or to about 20 mm and/or to about 10 mm and/or to about 5 mm and/or to about 2 mm and/or to about 0.5 mm and/or to about 0.3 mm as measured by the Thickness Test Method described herein. The fibrous water-soluble unit dose articles may have basis weights of from about 500 grams/m2to about 5,000 grams/m2, or from about 1,000 grams/m2to about 4,000 grams/m2, or from about 1,500 grams/m2to about 3,500 grams/m2, or from about 2,000 grams/m2to about 3,000 grams/m2, as measured according to the Basis Weight Test Method described herein. The fibrous water-soluble unit dose article may comprise a water-soluble fibrous structure and a plurality of particles distributed throughout the structure, where the water-soluble fibrous structure comprises a plurality of identical or substantially identical, from a compositional perspective, fibrous elements. The water-soluble fibrous structure may comprise two or more different fibrous elements. Non-limiting examples of differences in the fibrous elements may be physical differences, such as differences in diameter, length, texture, shape, rigidness, elasticity, and the like; chemical differences, such as crosslinking level, solubility, melting point, Tg, active agent, filament-forming material, color, level of active agent, basis weight, level of filament-forming material, presence of any coating on fibrous element, biodegradable or not, hydrophobic or not, contact angle, and the like; differences in whether the fibrous element loses its physical structure when the fibrous element is exposed to conditions of intended use; differences in whether the fibrous element's morphology changes when the fibrous element is exposed to conditions of intended use; and differences in rate at which the fibrous element releases one or more of its active agents when the fibrous element is exposed to conditions of intended use. Two or more fibrous elements within the fibrous structure may comprise different active agents. This may be the case where the different active agents may be incompatible with one another, for example an anionic surfactant and a cationic polymer. When using different fibrous elements, the resulting structure may exhibit different wetting, imbibitions, and solubility characteristics. The fibrous water-soluble unit dose article may exhibit different regions, such as different regions of basis weight, density, caliper, and/or wetting characteristics. The fibrous water-soluble unit dose article may be compressed at the point of edge sealing. The fibrous water-soluble unit dose article may comprise texture on one or more of its surfaces. A surface of the fibrous water-soluble unit dose article may comprise a pattern, such as a non-random, repeating pattern. The fibrous water-soluble unit dose article may comprise apertures. The fibrous water-soluble unit dose article may comprise a fibrous structure having discrete regions of fibrous elements that differ from other regions of fibrous elements in the structure. The fibrous water-soluble unit dose article may be used as is or it may be coated with one or more active agents. The fibrous water-soluble unit dose article may comprise one or more plies. The fibrous water-soluble unit dose article may comprise at least two and/or at least three and/or at least four and/or at least five plies. The fibrous plies can be fibrous structures made from a “Filament-forming composition” and/or a “fibrous element-forming composition”. Each ply may comprise one or more layers, for example one or more fibrous element layers, one or more particle layers, and/or one or more fibrous element/particle mixture layers. The layer(s) may be sealed. In particular, particle layers and fibrous element/particle mixture layers may be sealed, such that the particles do not leak out. The water-soluble unit dose articles may comprise multiple plies, where each ply comprises two layers, where one layer is a fibrous element layer and one layer is a fibrous element/particle mixture layer, and where the multiple plies are sealed (e.g., at the edges) together. Sealing may inhibit the leakage of particles as well as help the unit dose article maintain its original structure. However, upon addition of the water-soluble unit dose article to water, the unit dose article dissolves and releases the particles into the wash liquor. The fibrous elements and/or particles may be arranged within the water-soluble unit dose article, in a single ply or in multiple plies, to provide the article with two or more regions that comprise different active agents. For example, one region of the article may comprise bleaching agents and/or surfactants and another region of the article may comprise softening agents. The fibrous water-soluble unit dose article can be viewed hierarchically starting from the form in which the consumer interacts with the water-soluble article and working backward to the raw materials from which the water-soluble article is made, e.g., plies, fibrous structures, and particles. The fibrous plies can be fibrous structures. The water-soluble unit dose article described herein may comprise a water-soluble fibrous structure and one or more rheology-modified particles comprising: (a) from about 10 wt % to about 80 wt % of an alkylalkoxylated sulfate; and (b) from about 0.5 wt % to about 20 wt % of a rheology modifier. The particles described herein may comprise one or more additional active agents (in addition to surfactant as described hereinabove). “Filament-forming composition” and/or “fibrous element-forming composition” as used herein means a composition that is suitable for making a fibrous element of the present invention such as by meltblowing and/or spunbonding. The filament-forming composition comprises one or more filament-forming materials that exhibit properties that make them suitable for spinning into a fibrous element. The filament-forming material may comprise a polymer. In addition to one or more filament-forming materials, the filament-forming composition may comprise one or more active agents, for example, a surfactant. In addition, the filament-forming composition may comprise one or more polar solvents, such as water, into which one or more, for example all, of the filament-forming materials and/or one or more, for example all, of the active agents are dissolved and/or dispersed prior to spinning a fibrous element, such as a filament from the filament-forming composition. The filament-forming composition may comprise two or more different filament-forming materials. Thus, the fibrous elements may be monocomponent (one type of filament-forming material) and/or multicomponent, such as bicomponent. The two or more different filament-forming materials may be randomly combined to form a fibrous element. The two or more different filament-forming materials may be orderly combined to form a fibrous element, such as a core and sheath bicomponent fibrous element, which is not considered a random mixture of different filament-forming materials for purposes of the present disclosure. Bicomponent fibrous elements may be in any form, such as side-by-side, core and sheath, islands-in-the-sea and the like. The fibrous elements may be substantially free of alkylalkoxylated sulfate. Each fibrous element may comprise from about 0%, or from about 0.1%, or from about 5%, or from about 10%, or from about 15%, or from about 20%, or from about 25%, or from about 30%, or from about 35%, or from about 40% to about 0.2%, or to about 1%, or to about 5%, or to about 10%, or to about 15%, or to about 20%, or to about 25%, or to about 30%, or to about 35% or to about 40%, or to about 50% by weight on a dry fibrous element basis of an alkylalkoxylated sulfate. The amount of alkylalkoxylated sulfate in each of the fibrous elements is sufficiently small so as not to affect the processing stability and film dissolution thereof. Alkylalkoxylated sulfates, when dissolved in water, may undergo a highly viscous hexagonal phase at certain concentration ranges, e.g., 30-60% by weight, resulting in a gel-like substance. Therefore, if incorporated into the fibrous elements in a significant amount, alkylalkoxylated sulfates may significantly slow down the dissolution of the water-soluble unit dose articles in water, and worse yet, result in undissolved solids afterwards. Correspondingly, most of such surfactants are formulated into the particles. The fibrous elements may each contain at least one filament-forming material and an active agent, preferably a surfactant. The surfactant may have a relatively low hydrophilicity, as such a surfactant is less likely to form a viscous, gel-like hexagonal phase when being diluted. By using such a surfactant in forming the filaments, gel-formation during wash may be effectively reduced, which in turn may result in faster dissolution and low or no residues in the wash. The surfactant can be selected, for example, from the group consisting of unalkoxylated C6-C20 linear or branched alkyl sulfates (AS), C6-C20 linear alkylbenzene sulfonates (LAS), and combinations thereof. The surfactant may be a C6-C20 linear alkylbenzene sulfonates (LAS). LAS surfactants are well known in the art and can be readily obtained by sulfonating commercially available linear alkylbenzenes. Exemplary C6-C20linear alkylbenzene sulfonates that can be used include alkali metal, alkaline earth metal or ammonium salts of C6-C20linear alkylbenzene sulfonic acids, such as the sodium, potassium, magnesium and/or ammonium salts of C11-C18or C11-C14linear alkylbenzene sulfonic acids. The sodium or potassium salts of C12linear alkylbenzene sulfonic acids, for example, the sodium salt of C12linear alkylbenzene sulfonic acid, i.e., sodium dodecylbenzene sulfonate, may be used as the first surfactant. The fibrous element may comprise at least about 5%, and/or at least about 10%, and/or at least about 15%, and/or at least about 20%, and/or less than about 80%, and/or less than about 75%, and/or less than about 65%, and/or less than about 60%, and/or less than about 55%, and/or less than about 50%, and/or less than about 45%, and/or less than about 40%, and/or less than about 35%, and/or less than about 30%, and/or less than about 25% by weight on a dry fibrous element basis and/or dry fibrous structure basis of the filament-forming material and greater than about 20%, and/or at least about 35%, and/or at least about 40%, and/or at least about 45%, and/or at least about 50%, and/or at least about 55%, and/or at least about 60%, and/or at least about 65%, and/or at least about 70%, and/or less than about 95%, and/or less than about 90%, and/or less than about 85%, and/or less than about 80%, and/or less than about 75% by weight on a dry fibrous element basis and/or dry fibrous structure basis of an active agent, preferably surfactant. The fibrous element may comprise greater than about 80% by weight on a dry fibrous element basis and/or dry fibrous structure basis of surfactant. Preferably, each fibrous element may be characterized by a sufficiently high total surfactant content, e.g., at least about 30%, or at least about 40%, or at least about 50%, or at least about 60%, or at least about 70%, by weight on a dry fibrous element basis and/or dry fibrous structure basis of the first surfactant. The total level of filament-forming materials present in the fibrous element may be from about 5% to less than about 80% by weight on a dry fibrous element basis and/or dry fibrous structure basis and the total level of surfactant present in the fibrous element may be greater than about 20% to about 95% by weight on a dry fibrous element basis and/or dry fibrous structure basis. One or more of the fibrous elements may comprise at least one additional surfactant selected from the group consisting of other anionic surfactants (i.e., other than AS and LAS), nonionic surfactants, zwitterionic surfactants, amphoteric surfactants, cationic surfactants, and combinations thereof. A water-soluble unit dose article40is shown inFIG.30. The water-soluble unit dose article40can comprise a water soluble fibrous first ply42and water soluble fibrous second ply44that are superposed relative to one another. The first ply42and second ply44are joined to one another to form a unitary water-soluble unit dose article40. The water-soluble unit dose article40can have a mass from about 50 mg to about 30 g, optionally about 100 mg to about 20 g, optionally about 1 g to about 20 g. The water-soluble unit dose article40can have a length and width from about 5 mm to about 20 cm, optionally from about 1 cm to about 10 cm, and a thickness from about 1 mm to about 2 cm, optionally about 2 mm to about 10 mm. The single unit dose may be a water-soluble unit dose article comprising a water-soluble film and a laundry detergent composition. The laundry detergent composition is described in more detail below. The water-soluble film is described in more detail below. The water-soluble unit dose article comprises the water-soluble film shaped such that the unit-dose article comprises at least one internal compartment surrounded by the water-soluble film. The unit dose article may comprise a first water-soluble film and a second water-soluble film sealed to one another such to define the internal compartment. The water-soluble unit dose article is constructed such that the detergent composition does not leak out of the compartment during storage. However, upon addition of the water-soluble unit dose article to water, the water-soluble film dissolves and releases the contents of the internal compartment into the wash liquor. The compartment should be understood as meaning a closed internal space within the unit dose article, which holds the detergent composition. During manufacture, a first water-soluble film may be shaped to comprise an open compartment into which the detergent composition is added. A second water-soluble film is then laid over the first film in such an orientation as to close the opening of the compartment. The first and second films are then sealed together along a seal region. The unit dose article may comprise more than one compartment, even at least two compartments, or even at least three compartments. The compartments may be arranged in superposed orientation, i.e. one positioned on top of the other. In such an orientation the unit dose article will comprise three films, top, middle and bottom. Alternatively, the compartments may be positioned in a side-by-side orientation, i.e. one orientated next to the other. The compartments may even be orientated in a ‘tyre and rim’ arrangement, i.e. a first compartment is positioned next to a second compartment, but the first compartment at least partially surrounds the second compartment, but does not completely enclose the second compartment. Alternatively one compartment may be completely enclosed within another compartment. Wherein the unit dose article comprises at least two compartments, one of the compartments may be smaller than the other compartment. Wherein the unit dose article comprises at least three compartments, two of the compartments may be smaller than the third compartment, and preferably the smaller compartments are superposed on the larger compartment. The superposed compartments preferably are orientated side-by-side. In a multi-compartment orientation, the detergent composition according to the present invention may be comprised in at least one of the compartments. It may for example be comprised in just one compartment, or may be comprised in two compartments, or even in three compartments. Each compartment may comprise the same or different compositions. The different compositions could all be in the same form, or they may be in different forms. The water-soluble unit dose article may comprise at least two internal compartments, wherein the laundry detergent composition is comprised in at least one of the compartments, preferably wherein the unit dose article comprises at least three compartments, wherein the detergent composition is comprised in at least one of the compartments. The water-soluble unit dose article may comprise at least two compartments, preferably at least three compartments, wherein the laundry detergent composition is comprised within at least one compartment. The laundry detergent composition may represent a culmination of ingredients located within all the compartments of the unit dose article. The water-soluble unit dose article comprises a first water-soluble film and a second water-soluble film which are sealed together at a seal region. The laundry detergent composition is comprised within the water-soluble soluble unit dose article. Laundry Detergent Composition The water-soluble unit dose article comprises a laundry detergent composition. The laundry detergent composition, may be a liquid, a solid or a mixture thereof. The term ‘solid laundry detergent composition’ refers to any laundry detergent composition that is solid. Solid can include, particles, compressed solids or a mixture thereof. The term ‘liquid laundry detergent composition’ refers to any laundry detergent composition comprising a liquid capable of wetting and treating a fabric, and includes, but is not limited to, liquids, gels, pastes, dispersions and the like. The liquid composition can include solids or gases in suitably subdivided form, but the liquid composition excludes forms which are non-fluid overall, such as tablets or granules. The detergent composition can be used in a fabric hand wash operation or may be used in an automatic machine fabric wash operation. The laundry detergent composition comprises a zwitterionic polyamine. The zwitterionic polyamine is described in more detail below. The water-soluble unit dose article may comprise between 0.01% to about 20%, preferably from 0.1% to 10%, more preferably from 0.5% to 7%, even more preferably from 1% to 5%, most preferably from 2% to 4% by weight of the laundry detergent composition of the zwitterionic polyamine. The laundry detergent composition comprises between 10% and 40%, preferably between 12% and 37%, more preferably between 15% and 35% by weight of the laundry detergent composition of a non-soap surfactant. The non-soap surfactant optionally comprises a non-ionic surfactant and wherein the laundry detergent composition preferably comprises between 0% and 10%, preferably between 0.01% and 8%, more preferably between 0.1% and 6%, most preferably between 0.15% and 4% by weight of the laundry detergent composition of a non-ionic surfactant. Preferably, the non-ionic surfactant is selected from alcohol alkoxylate, an oxo-synthesised alcohol alkoxylate, Guerbet alcohol alkoxylates, alkyl phenol alcohol alkoxylates or a mixture thereof. Suitable alcohol ethoxylate nonionic surfactants include the condensation products of aliphatic alcohols with from 1 to 25 moles of ethylene oxide. The alkyl chain of the aliphatic alcohol can either be straight or branched, guerbet, primary or secondary, and generally contains from 8 to 22 carbon atoms. The starting alcohol can be naturally derived, e.g. starting from natural oils, or synthetically derived, e.g. alcohols obtained from for example oxo-, modified oxo- or Fischer-Tropsch processes. Examples of oxo-process derived alcohols include the Lial and Isalchem alcohols ex Sasol company and Lutensol alcohols ex BASF company. Examples of modified-oxo process derived alcohols include the Neodol alcohols ex Shell company. Fischer-Tropsch derived alcohols include Safol alcohols ex Sasol company. The alkoxylate chain of alcohol ethoxylates is made up solely of ethoxylate groups. Preferably, the alcohol ethoxylate non-ionic surfactant comprises on average between 8 and 18, more preferably between 10 and 16 even more preferably between 12 and 15 carbon atoms in the alcohol carbon chain, and on average between 5 and 12, preferably between 6 and 10, more preferably between 7 and 8 ethoxy units in the ethoxylation chain. Preferably, the non-soap surfactant comprises linear alkylbenzene sulphonate and wherein the laundry detergent composition comprises between 5% and 20%, preferably between 10% and 17% by weight of the laundry detergent composition of the linear alkylbenzene sulphonate. Preferably, the non-soap surfactant comprises an alkyl sulphate, alkoxylated alkyl sulphate or a mixture thereof and wherein the laundry detergent composition comprises between 5% and 20%, preferably between 7% and 18%, more preferably between 10% and 17% by weight of the alkyl sulphate, alkoxylated alkyl sulphate or a mixture thereof. Preferably, the alkoxylated alkyl sulphate is an ethoxylated alkyl sulphate with an average degree of ethoxylation of between 0.5 and 7, preferably between 1 and 5, more preferably between 2 and 4, most preferably about 3. Alternatively, the non-soap surfactant comprises a mixture of one or more alkoxylated alkyl sulphates, preferably ethoxylated alkyl sulphates, and optionally an alkyl sulphate, the mixture having an average degree of ethoxylation of between 0.5 and 7, preferably between 1 and 5, more preferably between 2 and 4, most preferably about 3. Preferably, the weight ratio of alkoxylated alkyl sulphate to linear alkylbenzene sulphonate is from 2:1 to 1:8 preferably from 1:1 to 1:5 most preferably from 1:1.25 to 1:4. Preferably, the weight ratio of non-soap anionic surfactant to non-ionic surfactant is from 1:1 to 40:1, preferably from 1:1 to 20:1, more preferably from 1.3:1 to 15:1, even more preferably from 1.5:1 to 10:1. Preferably, the laundry detergent composition comprises between 10% and 60%, preferably between 12% and 50%, most preferably between 15% and 40% by weight of the laundry detergent composition of a non-aqueous solvent. Preferably, the non-aqueous solvent is selected from 1,2-Propanediol, glycerol, sorbitol, dipropylene glycol, tripropyleneglycol, polypropylene glycol or a mixture thereof. Preferably, the water-soluble unit dose article comprises 15% or less by weight of the unit dose article of water, preferably the unit dose article comprises between 0.1% and 15%, more preferably between 1% and 12.5% by weight of the unit dose article of water. The laundry detergent composition may comprise a polymer selected from amphiphilic graft copolymers, carboxymethyl cellulose, modified carboxymethylcellulose, polyester terephthalate polymers, hydroxyethylcellulose, modified hydroxyethylcellulose or a mixture thereof. Especially preferred are cationic modified hydroxyethylcellulose. Preferably, the laundry detergent composition comprises between 0.5% and 10%, preferably between 0.75% and 7%, more preferably between 1.5% and 5% by weight of the laundry detergent composition of the polymer. The laundry detergent composition may comprise between 0% and 10%, preferably between 0.1% and 7%, more preferably between 0.2% and 5% by weight of the laundry detergent composition of a fatty acid, a neutralised fatty acid soap or a mixture thereof. Preferably, the water-soluble unit dose article comprises less than 3%, preferably less than 2% by weight of the laundry detergent composition of ethoxylated polyethyleneimine or Zwitterionic polyamine. The laundry detergent composition may comprise essentially no ethoxylated polyethyleneimine or Zwitterionic polyamine. Alternatively, the laundry detergent composition may comprise low levels of an ethoxylated polyethyleneimine. The laundry detergent composition may comprise between 0.01% and 3%, preferably between 0.01% and 2% by weight of the laundry detergent composition of an ethoxylated polyethyleneimine. Water-Soluble Film The film of the present invention is soluble or dispersible in water. The water-soluble film preferably has a thickness of from 20 to 150 micron, preferably 35 to 125 micron, even more preferably 50 to 110 micron, most preferably about 76 micron. Preferably, the film has a water-solubility of at least 50%, preferably at least 75% or even at least 95%, as measured by the method set out here after using a glass-filter with a maximum pore size of 20 microns: 5 grams [Symbol] 0.1 gram of film material is added in a pre-weighed 3 L beaker and 2 L±5 ml of distilled water is added. This is stirred vigorously on a magnetic stirrer, Labline model No. 1250 or equivalent and 5 cm magnetic stirrer, set at 600 rpm, for 30 minutes at 30° C. Then, the mixture is filtered through a folded qualitative sintered-glass filter with a pore size as defined above (max. 20 micron). The water is dried off from the collected filtrate by any conventional method, and the weight of the remaining material is determined (which is the dissolved or dispersed fraction). Then, the percentage solubility or dispersability can be calculated. Preferred film materials are preferably polymeric materials. The film material can, for example, be obtained by casting, blow-moulding, extrusion or blown extrusion of the polymeric material, as known in the art. Preferred polymers, copolymers or derivatives thereof suitable for use as pouch material are selected from polyvinyl alcohols, polyvinyl pyrrolidone, polyalkylene oxides, acrylamide, acrylic acid, cellulose, cellulose ethers, cellulose esters, cellulose amides, polyvinyl acetates, polycarboxylic acids and salts, polyaminoacids or peptides, polyamides, polyacrylamide, copolymers of maleic/acrylic acids, polysaccharides including starch and gelatine, natural gums such as xanthum and carragum. More preferred polymers are selected from polyacrylates and water-soluble acrylate copolymers, methylcellulose, carboxymethylcellulose sodium, dextrin, ethyl cellulose, hydroxyethyl cellulose, hydroxypropyl methylcellulose, maltodextrin, polymethacrylates, and most preferably selected from polyvinyl alcohols, polyvinyl alcohol copolymers and hydroxypropyl methyl cellulose (HPMC), and combinations thereof. Preferably, the level of polymer in the pouch material, for example a PVA polymer, is at least 60%. The polymer can have any weight average molecular weight, preferably from about 1000 to 1,000,000, more preferably from about 10,000 to 300,000 yet more preferably from about 20,000 to 150,000. Mixtures of polymers and/or copolymers can also be used as the pouch material, especially mixtures of polyvinylalcohol polymers and/or copolymers, especially mixtures of polyvinylalcohol homopolymers and/or anionic polyvinylalcohol copolymers preferably selected from sulphonated and carboxylated anionic polyvinylalcohol copolymers especially carboxylated anionic polyvinylalcohol copolymers. Most preferably the water soluble film comprises a blend of a polyvinylalcohol homopolymer and a carboxylated anionic polyvinylalcohol copolymer. Preferred films exhibit good dissolution in cold water, meaning unheated distilled water. Preferably such films exhibit good dissolution at temperatures of 24° C., even more preferably at 10° C. By good dissolution it is meant that the film exhibits water-solubility of at least 50%, preferably at least 75% or even at least 95%, as measured by the method set out here after using a glass-filter with a maximum pore size of 20 microns, described above. Preferred films are those supplied by Monosol under the trade references M8630, M8900, M8779, M8310. The film may be opaque, transparent or translucent. The film may comprise a printed area. The area of print may be achieved using standard techniques, such as flexographic printing or inkjet printing. The film may comprise an aversive agent, for example a bittering agent. Suitable bittering agents include, but are not limited to, naringin, sucrose octaacetate, quinine hydrochloride, denatonium benzoate, or mixtures thereof. Any suitable level of aversive agent may be used in the film. Suitable levels include, but are not limited to, 1 to 5000 ppm, or even 100 to 2500 ppm, or even 250 to 2000 rpm. Package Examples A. A package for a single unit dose detergent, the package comprising a biodegradable outer shell and an inner structure, wherein the inner tray comprises of one or more protuberances, wherein the package further comprises a gap between the inner tray and the outer shell adjacent to the one or more protuberances.B. The package for a single unit dose detergent of paragraph A, wherein the outer shell is biodegradable.C. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the package inner tray is biodegradable.D. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the package inner tray protuberances are located on the lower surface of the tray.E. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the protuberances are hollow.F. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the protuberances are located one two or more surfaces of the inner structure.G. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the inner structure comprises of two or more storage sections.H. The package for a single unit dose detergent of paragraph G, wherein each of the two or more storage sections comprise a protuberance.I. The package for a single unit dose detergent of any of the preceding paragraphs, wherein a protuberance extending form the inner structure contacts the outer shell.J. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the outer shell comprises a lid and a bottom, wherein the lid is removable.K. The package for a single unit dose detergent of any of the preceding paragraphs, wherein the package inner tray is integral to the package outer shell. It should be understood from the foregoing that, while particular implementations have been illustrated and described, various modifications can be made thereto and are contemplated herein. It is also not intended that the claims be limited by the specific examples provided within the specification. Parts of one embodiment may be easily removed and added to another embodiment. While the claims have been described with reference to the aforementioned specification, the descriptions and illustrations of the preferable embodiments herein are not meant to be construed in a limiting sense. Furthermore, it shall be understood that all aspects of the claims are not limited to the specific depictions, configurations or relative proportions set forth herein which depend upon a variety of conditions and variables. The dimensions and values disclosed herein are not to be understood as being strictly limited to the exact numerical values recited. Instead, unless otherwise specified, each such dimension is intended to mean both the recited value and a functionally equivalent range surrounding that value. For example, a dimension disclosed as “40 mm” is intended to mean “about 40 mm.” Every document cited herein, including any cross referenced or related patent or application and any patent application or patent to which this application claims priority or benefit thereof, is hereby incorporated herein by reference in its entirety unless expressly excluded or otherwise limited. The citation of any document is not an admission that it is prior art with respect to any invention disclosed or claimed herein or that it alone, or in any combination with any other reference or references, teaches, suggests or discloses any such invention. Further, to the extent that any meaning or definition of a term in this document conflicts with any meaning or definition of the same term in a document incorporated by reference, the meaning or definition assigned to that term in this document shall govern. While particular embodiments of the present invention have been illustrated and described, it would be obvious to those skilled in the art that various other changes and modifications can be made without departing from the spirit and scope of the invention. It is therefore intended to cover in the appended claims all such changes and modifications that are within the scope of this invention. | 46,933 |
11859339 | DETAILED DESCRIPTION Reference now will be made in detail to embodiments of the invention, one or more examples of which are illustrated in the drawings. Each example is provided by way of explanation of the invention, not limitation of the invention. In fact, it will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the scope or spirit of the invention. For instance, features illustrated or described as part of one embodiment can be used with another embodiment to yield a still further embodiment. Thus, it is intended that the present invention covers such modifications and variations as come within the scope of the appended claims and their equivalents. As used herein, terms of approximation, such as “generally,” or “about” include values within ten percent greater or less than the stated value. When used in the context of an angle or direction, such terms include within ten degrees greater or less than the stated angle or direction. For example, “generally vertical” includes directions within ten degrees of vertical in any direction, e.g., clockwise or counter-clockwise. As used herein, the terms “clothing” or “clothes” includes but need not be limited to fabrics, textiles, garments, linens, papers, or other items from which the extraction of moisture is desirable. Furthermore, the term “load” or “laundry load” refers to the combination of clothing that may be washed together in a washing machine or dried together in a dryer appliance (e.g., clothes dryer) and may include a mixture of different or similar articles of clothing of different or similar types and kinds of fabrics, textiles, garments and linens within a particular laundering process. FIGS.1through3illustrate an exemplary embodiment of a vertical axis washing machine appliance100. InFIG.1, a lid or door130is shown in a closed position. InFIG.2, door130is shown in an open position. While described in the context of a specific embodiment of vertical axis washing machine appliance100, it will be understood that vertical axis washing machine appliance100is provided by way of example only. Other washing machine appliances having different configurations, different appearances, and/or different features may also be utilized with the present subject matter as well, e.g., horizontal axis washing machines. As illustrated, washing machine appliance100generally defines a vertical direction V, a lateral direction L, and a transverse direction T, each of which is mutually perpendicular, such that an orthogonal coordinate system is generally defined. Washing machine appliance100has a cabinet102that extends between a top end103and a bottom end104along the vertical direction V. The cabinet102extends along the transverse direction T from a rear panel142to a front panel140and along the lateral direction L from a left side panel144to a right side panel146. As used herein, terms such as “left” and “right” or “front” and “back” refer to directions from the perspective of a user facing the washing machine appliance100for accessing and/or operating the washing machine appliance100. For example, a user stands in front of the washing machine appliance100, e.g., at or near the front panel140, to access door or lid130and/or inputs112(the door130and inputs112are described in more detail below). A wash basket120(FIGS.2and3) is rotatably mounted within cabinet102. A motor94(FIG.3) is in mechanical communication with wash basket120in order to selectively rotate wash basket120(e.g., during an agitation or a rinse cycle of washing machine appliance100). Wash basket120defines a wash chamber121(FIG.2) that is configured for receipt of articles for washing. An agitator or impeller92(FIG.3) extends from wash basket120into wash chamber121. The impeller92assists agitation of articles disposed within wash chamber121during operation of washing machine appliance100. A top cover200is mounted on the cabinet102of washing machine appliance100, e.g., the top cover200may be mounted to the cabinet102at and/or above the top end103of the cabinet102. Top cover200defines an opening105(FIG.2) that permits user access to wash chamber121of wash basket120. Door130is rotatably mounted to top cover200. However, alternatively, door130may be mounted to cabinet102or any outer suitable support. Door130selectively rotates between the closed position shown inFIG.1and the open position shown inFIG.2. In the closed position, door130inhibits access to wash chamber121. Conversely, in the open position, a user can access wash chamber121. A window136in door130permits viewing of wash chamber121when door130is in the closed position, e.g., during operation of washing machine appliance100. Door130also includes a handle132that, e.g., a user may pull and/or lift when opening and closing door130. Top cover200defines at least one hole or opening201(FIG.2). The opening201is configured for receipt of a fluid additive, e.g., detergent, fabric softener, and/or bleach (usually only one particular additive at a time, although the additive storage and dispensing system described herein may be used with a wide variety of liquid additives). The opening201permits the desired liquid additive to pass through top cover200to a bulk tank210(e.g.,FIG.4) disposed below top cover200along the vertical direction V. Two openings201are depicted inFIG.2by way of example, and embodiments of the present disclosure may include only one opening. In embodiments where only one opening is provided, the one opening may be in either of the locations depicted inFIG.2. A control panel110with a plurality of input selectors112(FIG.1) is disposed on a backsplash111of the washing machine appliance100above top cover200. Control panel110and input selectors112collectively form a user interface input for operator selection of machine cycles and features. A display114of control panel110indicates selected features, a countdown timer, and/or other items of interest to appliance users. Operation of washing machine appliance100is controlled by a controller or processing device50that is operatively coupled to control panel110for user manipulation to select washing machine cycles and features. In response to user manipulation of control panel110, the controller operates the various components of washing machine appliance100to execute selected machine cycles and features. The control panel110may be positioned on the backsplash111of the washing machine appliance100, e.g., as in the illustrated exemplary embodiments, or may be positioned elsewhere on the washing machine appliance100, such as on the front panel140. FIG.3provides a front, cross-section view of washing machine appliance100. As may be seen inFIG.3, wash tub64includes a bottom wall66and a sidewall68. The wash basket120is rotatably mounted within wash tub64. In particular, wash basket120is rotatable about a vertical axis VA. Thus, washing machine appliance is generally referred to as a vertical axis washing machine appliance. Wash basket120defines a wash chamber121for receipt of articles for washing and extends, e.g., vertically, between a bottom portion79and a top portion80. Wash basket120includes a plurality of perforations71therein to facilitate fluid communication between an interior of wash basket120and wash tub64. An inlet72is configured for directing a flow of fluid into wash tub64and/or wash basket120, such as directly into the tub64or basket120and/or via a dispenser box218. The inlet72may be in fluid communication with a water supply (not shown) in order to direct fluid (e.g., clean water) into wash tub64and/or onto articles within wash chamber121of wash basket120. For example, in embodiments which include the dispenser box218, as will be described in more detail below, the water may flow to the dispenser box218from the inlet72, whereupon the water will mix with an additive in the dispenser box218, thereby creating a wash liquid comprising the water and the additive dissolved therein or intermixed therewith, and the wash liquid may then flow into the wash chamber121from the dispenser box218after a certain liquid volume or level within the dispenser box218has been reached. The inlet72may be coupled to one or more valves, such as a vale manifold comprising valves220,222,224, and226(see, e.g.,FIG.4). The valve(s) may direct and/or regulate the flow of fluid through the washing machine appliance. For example, the valve or each valve220,222,224, and/or226can selectively adjust to a closed position in order to terminate or obstruct the flow of fluid therethrough. For example, one or more valves may be coupled to a hot water supply, another one or more valves may be coupled to a cold water supply, and each valve may direct the water from the respective water supply to a specific part of the washing machine appliance100, such as directly into the tub64or basket120, or into the dispenser box218. For example, warm water may be supplied to the basket120by opening (or partially opening) two valves, one coupled to the hot water supply and the other coupled to the cold water supply, so that the water from the supplies intermixes, where both valves are upstream of the basket120, e.g., directly upstream of the basket120without passing through the dispenser box218. Similarly, water may be provided to the dispenser box218at a desired temperature by opening (or partially opening) one or more valves upstream of the dispenser box218, such as opening a cold water valve to direct cold water to the dispenser box218, opening a hot water valve to direct hot water to the dispenser box218, or opening both valves to direct warm water to the dispenser box218. A drain pump assembly90(shown schematically inFIG.3) is located beneath tub64and wash basket120for gravity assisted flow from wash tub64. Drain pump90may be positioned along or in operative communication with a drain line88which provides fluid communication from the wash chamber121of the basket120to an external conduit, such as a wastewater line (not shown). In some embodiments, the pump90may also or instead be positioned along or in operative communication with a recirculation line (not shown) which extends back to the tub64, e.g., in addition to the drain line88. An agitation element92, shown as an impeller inFIG.3, is disposed in wash basket120to impart an oscillatory motion to articles and liquid in wash chamber121of wash basket120. In various exemplary embodiments, agitation element92includes a single action element (i.e., oscillatory only), double action (oscillatory movement at one end, single direction rotation at the other end) or triple action (oscillatory movement plus single direction rotation at one end, single direction rotation at the other end). As illustrated inFIG.3, agitation element92is oriented to rotate about vertical axis VA. Wash basket120and agitation element92are driven by a pancake motor94. As motor output shaft98is rotated, wash basket120and agitation element92are operated for rotatable movement within wash tub64, e.g., about vertical axis VA. Washing machine appliance100may also include a brake assembly (not shown) selectively applied or released for respectively maintaining wash basket120in a stationary position within wash tub64or for allowing wash basket120to spin within wash tub64. Operation of washing machine appliance100is controlled by a processing device or controller50, that is operatively coupled to the user interface inputs112located on washing machine backsplash111for user manipulation to select washing machine cycles and features. In response to user manipulation of one or more of the user interface inputs112, controller50operates the various components of washing machine appliance100to execute selected machine cycles and features. Controller50may include a memory and microprocessor, such as a general or special purpose microprocessor operable to execute programming instructions or micro-control code associated with a cleaning cycle. The memory may represent random access memory such as DRAM, or read only memory such as ROM or FLASH. In one embodiment, the processor executes programming instructions stored in memory. The memory may be a separate component from the processor or may be included onboard within the processor. Alternatively, controller50may be constructed without using a microprocessor, e.g., using a combination of discrete analog and/or digital logic circuitry (such as switches, amplifiers, integrators, comparators, flip-flops, AND gates, and the like) to perform control functionality instead of relying upon software. Control panel110and other components of washing machine appliance100may be in communication with controller50via one or more signal lines or shared communication busses. It should be noted that controllers50as disclosed herein are capable of and may be operable to perform any methods and associated method steps as disclosed herein. In an illustrative embodiment, laundry items may be loaded into wash chamber121through opening105, and washing operation may be initiated through operator manipulation of input selectors112. Wash basket120and/or wash tub64may be filled with water and detergent to form a wash fluid. One or more valves (see, e.g.,FIGS.4and8) can be controlled by washing machine appliance100to provide for filling wash basket120and/or wash tub64to the appropriate level for the amount of articles being washed. Once wash basket120and/or wash tub64is properly filled with fluid, the contents of wash chamber121are agitated with agitation element92for cleansing of laundry items in wash basket120. More specifically, agitation element92may be moved back and forth in an oscillatory motion. The wash fluid may be recirculated through the washing machine appliance100at various points in the wash cycle, such as before or during the agitation phase (as well as one or more other portions of the wash cycle, separately or in addition to before and/or during the agitation phase). After the agitation phase of the wash cycle is completed, wash tub64may be drained. Laundry articles can then be rinsed by again adding fluid to wash basket120, and, depending on the particulars of the cleaning cycle selected by a user, the impeller92may again provide agitation within wash chamber121. One or more spin cycles may also be used. In particular, a spin cycle may be applied after the wash cycle and/or after the rinse cycle in order to wring wash fluid from the articles being washed. During a spin cycle, wash basket120is rotated at relatively high speeds. In various embodiments, the pump90may be activated to drain liquid from the washing machine appliance100during the entire drain phase (or the entirety of each drain phase, e.g., between the wash and rinse and/or between the rinse and the spin) and may be activated during one or more portions of the spin cycle. After articles disposed in wash basket120are cleaned and/or washed, the user can remove the articles from wash basket120, e.g., by reaching into wash chamber121through opening105. While described in the context of a specific embodiment of washing machine appliance100, using the teachings disclosed herein it will be understood that washing machine appliance100is provided by way of example only. Other washing machine appliances having different configurations (such as horizontal-axis washing machine appliances), different appearances, and/or different features may also be utilized with the present subject matter as well. Exemplary washing machine appliances100according to embodiments of the present invention include features for bulk storage and dispensing of fluid additives, e.g., liquid additives. As used herein, the terms “additive” or “fluid additive” generally refer to fluids other than water, such as detergent, bleach, fabric softener, perfumes, and/or other such laundry treatment chemicals. As mentioned above, the additive handling (e.g., storage and dispensing) system may include a bulk tank210. The bulk tank210may be mounted within the top cover200of the washing machine appliance100, such as below, e.g., underneath, and within the top cover200, and above the cabinet102. Thus, top cover200is illustrated in dashed lines, e.g., as if the top cover200were transparent, inFIGS.4,5, and7through10to more clearly depict the bulk tank210and other components of the additive handling system which will be described in more detail below. The bulk tank210may be positioned at the opening201of the top cover200, e.g., an inlet212(aperture or opening in the bulk tank210) of the bulk tank210may be aligned with and positioned below the opening201. As an example, a user can pour an additive such as detergent through the opening201such that the additive passes into bulk tank210(e.g., into an internal volume therein) via the opening201in the top cover200and the inlet212in the bulk tank210. Bulk tank210may be constructed of any suitable material. For example, bulk tank210may be constructed of a plastic, a metal, or a combination of materials. As illustrated in, e.g.,FIG.4, the bulk tank210may be mounted below the top cover200and above the left side panel144, the right side panel146, the rear panel142, and the front panel140of the cabinet102. The washing machine appliance100may also include a dispensing pump214in fluid communication with the bulk tank210, e.g., the dispensing pump214may be coupled to the bulk tank210such that the dispensing pump214is configured to and operable to draw a liquid additive from the bulk tank210. In some embodiments, the dispensing pump214may be spaced apart from the bulk tank210, e.g., the dispensing pump214may be positioned entirely outside the bulk tank210. Such embodiments advantageously provide increased additive storage volume inside the bulk tank210, e.g., as compared to systems where the pump is at least partially disposed in the bulk tank or the bulk tank otherwise has to carve out space for the pump. The washing machine appliance100may further include a dispenser box218. In some embodiments, e.g., as illustrated inFIGS.4and5, the dispenser box218may include a dispenser drawer slidably received therein. The dispenser box218may be downstream of the water inlet72(FIG.3) of the washing machine appliance100, e.g., that is connected to a household water supply or plumbing system or other source of water. Thus, the dispenser box218may receive a flow of water from the water source via the water inlet72of the washing machine appliance100. The dispenser box218may further be downstream of the dispensing pump214, such that the dispenser box218also receives a flow of additive from the bulk tank210when the dispensing pump214is activated such that the dispensing pump214thereby motivates the additive from the bulk tank210to the dispenser box218. The water and additive may then mix in the dispenser box218. For example, the dispenser box218may include compartment or chambers therein which receive each flow, e.g., as illustrated inFIG.10, an additive chamber244and a water chamber242, where each liquid is contained within the dispenser box218separately from the other liquid until a predetermined level of one of the liquids, e.g., the water, is reached, such that the one liquid, e.g., water, overflows its compartment and mixes with the other liquid. For example, the water may, once a predetermined volume of water based on the size of the water chamber242in the dispenser box218is reached, overflow the water chamber242, then enter into and flush out the additive chamber244of the dispenser box218, whereupon the water and additive mix in the dispenser box218to form a wash liquid or wash liquor. Further, the dispenser box218may be upstream of the wash tub64and/or the wash basket120and chamber121, such that the wash liquid formed in the dispenser box218as described is then directed to the wash tub64and/or basket120from the dispenser box218. As may be seen, e.g., inFIG.4andFIGS.8through10, the dispensing pump214may be connected to the dispenser box218by a conduit216. The conduit216may extend from the dispensing pump214to the dispenser box218. For example, the conduit216may be coupled to an outlet228of the dispensing pump214at an inlet230of the conduit216and coupled to an inlet232of the dispenser box218at an outlet234of the conduit216. In some embodiments, e.g., as illustrated inFIG.9, the inlet230of the conduit216may be press fit to the outlet228of the dispensing pump214. Also, in some embodiments, e.g., as illustrated inFIG.10, the outlet234of the conduit216may be press fit to the inlet232of the dispenser box218. As may be seen, e.g., inFIG.10, the inlet232of the dispenser box218may be defined directly above the additive chamber244, such that additive urged into and through the conduit216by the dispensing pump214flows directly into the additive chamber244, e.g., without entering or passing through the water chamber242. As illustrated inFIG.7, in some embodiments the bulk tank210may include a sump236defined at the lowest point in the bulk tank210. In such embodiments, liquid additive stored in the bulk tank210flows to the sump236by gravity. The sump236may be positioned in a back portion of the bulk tank210along the transverse direction T and may extend below the remainder of the bulk tank210along the vertical direction V. Further, as may be seen inFIG.6, the bulk tank210may slope towards the back of the washing machine appliance100, e.g., along the transverse direction T, and thus towards the sump236of the bulk tank210, in order to promote the gravity flow of liquid additive within the bulk tank210to the sump236. Returning again toFIG.7, the washing machine appliance100may further include an intake tube238extending from the sump236to an inlet240of the dispensing pump214. In some embodiments, e.g., as illustrated inFIG.7, the intake tube238may extend generally along the vertical direction V. Also as illustrated for example inFIG.7, the dispensing pump214may be positioned directly above the sump236of the bulk tank210. In particular, the dispensing pump214may be mounted above the bulk tank210, e.g., atop the top cover200and within the backsplash111of the washing machine appliance100, as may be seen inFIG.6. In particular, as may be seen, e.g., inFIGS.4,6,7, and8, the dispensing pump214does not intrude into or extend into the internal volume of the bulk tank210. For example, the portion of the bulk tank210directly below the dispensing pump214along the vertical direction V is flat and does not include any carve out, e.g., indentation, concave portion, etc., to accommodate the location of the dispensing pump214, other than where the inlet240connects to the intake tube238(e.g., as seen inFIG.7). Turning now toFIG.11, dispenser box218further includes a siphon tube246, wherein the siphon tube246defines an outlet248from the dispenser box218into the wash tub64, such as into the wash basket120therein. As may be seen inFIG.11, the siphon tube246extends from a siphon tube inlet250to the outlet248. A siphon cap252may be provided over and around, e.g., surrounding, the siphon tube246such that a siphon passage254is defined between an inner surface256of the siphon cap252and an outer surface258of the siphon tube246for siphoning liquid from the dispenser box218to the siphon tube inlet250. The siphon cap252may be positioned above and around the siphon tube246such that an inlet260of the siphon cap252is positioned below the siphon tube inlet250along the vertical direction V and a top wall262of the siphon cap252is positioned above the siphon tube inlet250along the vertical direction V. In this arrangement, siphon cap252and siphon tube246are juxtaposed along the vertical direction V such that they are partially overlapped vertically, and a narrow gap remains therebetween, e.g., defining the siphon passage254between the inner surface256of the siphon cap252and the outer surface258of the siphon tube246for siphoning liquid out of the additive chamber244from the inlet260of the siphon cap252to the inlet250of the siphon tube246. In some exemplary embodiments, siphon tube246and siphon cap252may be cylindrical and collectively define a longitudinal direction, a radial direction perpendicular to the longitudinal direction, and a circumferential direction that extends around a longitudinal axis of the cylindrical siphon tube246and siphon cap252. In such embodiments, the width of the siphon passage254defined between the inner surface2256of the siphon cap252and the outer surface258of the siphon tube246may lie along the radial direction. This written description uses examples to disclose the invention, including the best mode, and also to enable any person skilled in the art to practice the invention, including making and using any devices or systems and performing any incorporated methods. The patentable scope of the invention is defined by the claims, and may include other examples that occur to those skilled in the art. Such other examples are intended to be within the scope of the claims if they include structural elements that do not differ from the literal language of the claims, or if they include equivalent structural elements with insubstantial differences from the literal languages of the claims. | 25,302 |
11859340 | DETAILED DESCRIPTION Reference will now be made in detail to the preferred embodiments of the present disclosure, examples of which are illustrated in the accompanying drawings, in order to facilitate implementation of embodiments of the present disclosure by those skilled in the art to which the present disclosure pertains. Meanwhile, elements or control method of apparatuses which will be described below are only intended to describe the embodiments of the present disclosure and are not intended to restrict the scope of the present disclosure. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. In the present specification, duplicate descriptions of the same components are omitted. It will be understood that when an element is referred to as being “connected with” another element in the present specification, the element can be directly connected with the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly connected with” another element, there are no intervening elements present. In addition, the terms used in this specification are used only to describe specific embodiments, not to limit the present disclosure. In addition, a singular representation may include a plural representation unless it represents a definitely different meaning from the context. In the present application, terms such as “include” or “has” are used herein and should be understood that they are intended to indicate an existence of several components, functions or steps, disclosed in the specification, and it is also understood that greater or fewer components, functions, or steps may likewise be utilized. In addition, the terms ‘and/or’ in the present specification include a combination of multiple entries described herein or any of them. In the present specification, ‘A’ or ‘B’ may include ‘A’, ‘B’, or ‘both A and B’. FIG.1shows an inner structure of a laundry treatment apparatus1according to one embodiment of the present disclosure. The laundry treatment apparatus1may include a cabinet10, a tub20and a drum30. The cabinet10may be provided in any configuration that can receive the tub20therein, andFIG.1shows one example of a case that the cabinet1forms an exterior of the laundry treatment apparatus1. A laundry opening12for supplying laundry to the drum30or taking out laundry stored in the drum30may be formed in the cabinet10, and a laundry door13may be provided to open/close the laundry opening12. Referring toFIG.1, according to one embodiment of the present disclosure, the laundry opening12is formed in a cabinet top side11forming a top side of the cabinet10and the laundry door13to open/close the laundry opening12is provided to the cabinet top side11. Yet, positions of the laundry opening12and the laundry door13are non-limited to the cabinet top side11. The tub20is a means for storing water required for washing of laundry, and a tub opening22configured to communicate with the laundry opening12may be provided to the tub20. For example, the tub opening22may be formed in a manner that one side of the tub20is open, and the tub opening22may communicate with the laundry opening12in a manner that at least one portion of the tub opening22is located to confront the laundry opening12. FIG.1shows the laundry treatment apparatus1of the top-loading type according to one embodiment of the present disclosure, thereby showing that the tub opening22is formed in a manner of opening the top side of the tub20and that the tub opening22is located below the laundry opening12to communicate with the laundry opening12. The tub20is fixed to an inside of the cabinet10through a tub support part (not shown) that supports the tub20, and the tub support part may be configured to attenuate the vibration generated from the tub20. The tub20is supplied with water through a water supply part60. The water supply part60may include a water supply pipe connecting a water supply source and the tub20together and a valve opening/closing the water supply pipe. The laundry treatment apparatus1according to one embodiment of the present disclosure may include a detergent supply device configured to store a detergent therein and supply the detergent to the tub20. The water supply part60supplies water to the detergent supply device, whereby the water via the detergent supply device may be supplied to the tub20together with the detergent. The laundry treatment apparatus1according to one embodiment of the present disclosure may include a water spray device spraying water into the tub20through the tub opening22. The water supply part60may be connected to the water supply device, thereby directly supplying water into the tub20through the water spray device. The water stored in the tub20is discharged from the cabinet10through a drain part65, and the drain part65may include a drain pipe guiding the water in the tub30to an outside of the cabinet and a drain pump provided to the drain pipe. The drum30may be rotatably provided within the tub20. To be rotatably provided within the tub20, the drum30may be configured to have a circular cross section. For example, as shown inFIG.1, the drum30may be configured in a cylindrical shape. A drum opening31communicating with the entrance may be provided in a manner of being located below the tub opening22. As described in the following, one side of the drum opening31is open, thereby forming an open side. Namely, the open side may correspond to the drum opening31. A multitude of perforated holes of the drum30may be provided to an outer circumference of the drum30so that an inside and an outside of the drum, i.e., the inside of the drum30and an inside of the tub20partitioned by the drum30can communicate with each other. Hence, water supplied to the tub20may be supplied into the drum30, in which laundry is stored, through the perforated holes of the drum30. The drum30may be rotated by a drive part50. The drive part50may include a stator fixed to an outside of the tub20so as to form a rotating magnetic field by being supplied with current, a rotor rotated by the rotating magnetic field, and a rotating shaft40provided to perforate the tub20to connect the rotor to the drum30and the like. As shown inFIG.1, the rotating shaft40may be configured to form a vertical angle to a bottom side of the tub20. In this case, the laundry opening12may be provided to a cabinet top side11, the tub opening22may be provided to a top side of the tub20, and the drum opening31may be provided to a top side of the drum30. Meanwhile, when the drum30rotates in a state that laundry is concentrated on a predetermined area within the drum30, a dynamic balance broken state (i.e., an unbalanced state) occurs in the drum30. If the drum30in the unbalanced state rotates, the drum30vibrates and rotates by a centrifugal force applying to the laundry. The vibration of the drum30is transferred to the tub20or the cabinet10, whereby a noise-inducing problem may be caused. To prevent such a problem, the present disclosure may further include a balancer39controlling an unbalanced state of the drum30by generating a force of cancelling or reducing a centrifugal force working on laundry. Meanwhile, referring toFIG.1, the tub20may have a space formed inside to store water therein, and the drum30may be rotatably provided within the tub20. The drum30may include the drum opening31through which laundry is put in or taken out and a drum floor surface33located at an opposite side of the drum opening31. According to one embodiment of the present disclosure,FIG.1shows that a top side of the drum30corresponds to the drum opening31and a bottom side of the drum30corresponds to the drum floor surface33. As described above, the drum opening31may correspond to a side through which laundry inserted through the laundry opening12of the cabinet10and the tub opening22of the tub20passes. Meanwhile, the water supply part60may be configured to supply water into the tub20in a manner of being connected to such a means as a detergent supply device, a water spray device, etc. in some implementations, one embodiment of the present disclosure may include a controller70adjusting a water supply amount by controlling the water supply part60in a washing course and the like. The controller70is configured to adjust a water supply amount supplied to the tub20in a washing course, a rinsing course and the like, and the water supply amount may be adjusted through a manipulating part provided to the cabinet10and manipulated by a user, an amount of laundry, a load of the drive part50, etc. When a plurality of water supply amounts are preset, the controller70may be configured to control the water supply part60according to one of the preset water supply amounts based on a command selected by a user or the like in a washing course and the like. Meanwhile, as shown inFIG.1, one embodiment of the present disclosure may further include a rotator100. The rotator100may be installed to be rotatable on the drum floor surface33within the drum30. According to one embodiment of the present disclosure, each of the rum30and the rotator100may be configured rotatably. A water current is generated by rotations of the drum30and the rotator100and collision or friction with laundry occurs, whereby washing or rinsing of the laundry may be performed. FIG.2shows the rotating shaft40coupled to the drum30and the rotator100according to one embodiment of the present disclosure. Each of the drum30and the rotator100may be provided with a turning force by being connected to the drive part50via the rotating shaft40. According to one embodiment of the present disclosure, the drum30is rotates in a manner that a first rotating shaft41is coupled to the drum floor surface33and the rotator100may be rotated in a manner of being coupled to a second rotating shaft42perforating the drum floor surface33and rotated separately from the first rotating shaft41. The second rotating shaft42may be rotated in the same or opposite direction of the first rotating shaft41. The first rotating shaft41and the second rotating shaft42may be configured to receive power through a single drive part50, and the drive part50may be connected to a gear set45distributing power to the first rotating shaft41and the second rotating shaft42and adjusting a rotation direction. Namely, the drive shaft of the drive part50is connected to the gear set45to transfer power to the gear set45, and each of the first rotating shaft41and the second rotating shaft42may receive the power by being connected to the gear set45. The first rotating shaft41may include a hollow shaft and the second rotating shaft42may include a solid shaft disposed within the first rotating shaft41. Therefore, according to one embodiment of the present disclosure, power can be effectively provided to the first rotating shaft41and the second rotating shaft42, which are positioned side by side, via the single drive part50. InFIG.2, the gear set45of the sun & planet gear type is shown and each of the first rotating shaft41and the second rotating shaft42is coupled to the gear set45. The rotation relationship between the first rotating shaft41and the second rotating shaft42according to one embodiment of the present disclosure is described with reference toFIG.2as follows. The drive shaft of the drive part50may be connected to a sun gear at the center in the gear set45of the sun & planet gear type. As the drive shaft is rotated, the planet gear and the ring gear of the gear set5may be rotated by the rotation of the sun gear. The first rotating shaft41coupled to the drum floor surface33may be connected to the ring gear located at the most outer position of the gear set45. The second rotating shaft42coupled to the rotator100may be connected to the planet gear disposed between the sun gear and the ring gear in the gear set45. In some implementations, the gear set45may include a first clutch element46and a second clutch element47capable of restricting rotation of each rotating shaft40in necessary. The gear set45may further include a gear housing fixed to the tub20, and the first clutch element46may be configured to selectively restrict the rotation of the first rotating shaft41provided to the gear housing and connected to the ring gear. The second clutch element47may be configured to mutually restrict the rotations of the drive shaft and the ring gear or release the restriction. Namely, to rotation of the ring gear or the rotation of the first rotating shaft41may be synchronized or desynchronized with the drive shaft by the second clutch member47. According to one embodiment of the present disclosure, if the first clutch element46and the second clutch element47are in a released state, the first rotating shaft41and the second rotating shaft42rotate in opposite directions depending on the rotation relationship of the planet gear, respectively. Namely, the drum30and the rotator100rotate in opposite directions, respectively. Meanwhile, if the first clutch element46is in a restricted state, rotations of the ring gear and the first rotating shaft41are restricted but rotation of the second rotating shaft42is performed. Namely, the drum30is in a stopped state but the rotator100rotates only. In doing so, a rotation direction of the rotator100may be determined depending on the rotation direction of the drive part50. Meanwhile, if the second clutch element47is in a restricted state, rotations between the drive shaft and the first rotating shaft41are restricted mutually and rotations of the first rotating shaft41and the second rotating shaft42may be restricted mutually depending on the rotation relationship of the planet gear. Namely, the drum30and the rotator100rotate in the same direction. If the first clutch element46and the second clutch element47are simultaneously in a restricted state, the drive shaft, the first rotating shaft41and the second rotating shaft42are in the stopped state all. The controller70appropriately controls the drive part50, the first clutch element46, the second clutch element47and the like in the washing course, the ringing course and the like, thereby implementing a necessary driving state. FIG.3is a perspective diagram of the rotator100according to one embodiment of the present disclosure. The rotator100according to one embodiment of the present disclosure may include a bottom portion110, a pillar150and a blade170. The bottom portion110may be located on the drum floor surface33. As the bottom portion110is located side by side the drum floor surface33, it may be configured to be rotatable on the drum floor surface33. The aforementioned second rotating shaft42may be coupled to the bottom portion110. Namely, the first rotating shaft41may be coupled to the drum30, and the second rotating shaft42provided as a solid shaft within the rotating shaft41in a hollow shape may be coupled to the bottom portion110of the rotator100by perforating the drum floor surface33. The rotator100coupled to the second rotating shaft42may be rotated independently from the drum30. Namely, the rotator100may be rotated in the same or opposite direction of the drum30and such a rotation direction may be selected by the controller70or the like if necessary. The first rotating shaft41may be coupled to the center of the drum floor surface33. According to one embodiment of the present disclosure, as shown inFIG.1, the top side of the drum30is open to form the drum opening31and the bottom side of the drum30corresponds to the drum floor surface33. Namely, the laundry treatment apparatus1shown inFIG.1corresponds to a top loader, the drum30may have a lateral side, i.e., an outer circumference connecting the top side and the bottom side to each other, and a cross section of the drum30may have a circular shape for the balancing of rotation. A bottom portion110of the rotator100may have the second rotating shaft42coupled to its center. The second rotating shaft42may be coupled to one side of the bottom portion110facing the drum30, i.e., the bottom side of the bottom portion110, and the second rotating shaft42may be coupled to the bottom portion110by perforating the center of the drum30. The bottom portion110may have a circular cross section in consideration of the balancing of rotation. The bottom portion110may be rotated centering around the second rotating shaft42coupled to the center thereof, and the center of the bottom portion110may match the center of the drum30. The bottom portion110may have a disc shape basically, and, as described below, its specific shape may be determined in consideration of the connection relationship of a projection part130, a pillar150and the like. The bottom portion110may be configured to cover at least one portion of the drum30. The bottom portion110may be configured to facilitate rotation in a manner that a bottom surface and the drum30are spaced apart from each other. Yet, a spaced distance between the bottom portion110and the drum floor surface33may be set variously as necessary. Meanwhile, as shown inFIG.3, the pillar150may have a shape protruding from the bottom portion110toward the drum opening31. The pillar150may be formed with the bottom portion110as an integral part or coupled to the bottom portion110by being manufactured separately. The pillar150may be rotated with the bottom portion110. The pillar150may be extended from the center of the bottom portion110toward the drum opening31.FIG.1shows the pillar150projected and extended upward from the bottom portion110according to one embodiment of the present disclosure. The pillar150may have a cross section in a circular shape, and a projected height L1 from the bottom portion110may be set variously. A lateral side of the pillar150may be curved to form an outer circumferential surface162, the rotator100may include a blade170, and the blade170may be provided to the outer circumferential surface162of the pillar150. The blade170may be configured to be projected from the pillar150and extended along the pillar150so as to generate a water current within the drum on rotation of the pillar150. A plurality of the blades170may be provided. A plurality of the blades170may be disposed in a manner of being spaced apart from each other in a circumferential direction C and extended from a side of the bottom portion110toward the drum opening31along a direction inclined to a length direction L of the pillar150. Particularly, as shown inFIG.3, the blade170may be extended along the length direction L of the pillar150approximately. A plurality of the blades170may be provided, and the number of the blades170may be various as necessary.FIG.3shows that three blades170are provided to the outer circumferential surface162of the pillar150according to one embodiment of the present disclosure. The blades170may be equally disposed along the circumferential direction C of the pillar150. Namely, a spaced length L5 between the blades170may be identical. When the blades170are viewed from the drum opening31of the drum30, they may be disposed in a manner of being spaced apart from each other by forming an angle of 120 degrees mutually with reference to the center C1 of the pillar150. The blade170may be extended along a direction inclined to the length direction L or the circumferential direction C of the pillar150. The blade170may be extended in an inclined direction, thereby being extended on the outer circumferential surface162of the pillar150from the side of the bottom portion110toward the drum opening31. The extended length L3 of the blade170may be various as necessary. As the blade170is extended in the inclined direction, if the rotator100is rotated, an ascending or descending water current may be formed in the water in the drum30by the blade170of the pillar150. For example, when the blade170is inclined from the side of the bottom portion110toward one direction C1 in the circumferential direction C of the pillar150and extended toward the drum opening31, if the rotator100rotates in the one direction C1, a descending water current may be formed by the inclined shape of the blade170. If the rotator100is rotated in the other direction C2, an ascending water current may be formed by the blade170. According to one embodiment of the present disclosure, the one direction C1 and the other direction C2 for the circumferential direction C of the pillar150correspond to opposite directions to the outer circumferential surface162of the pillar150and may include a direction vertical to the length direction L of the pillar150. The one direction C1 and the other direction C2 for the circumferential direction C of the pillar150may correspond to a rotation direction of the rotator100. As the rotation direction of the rotator100and the circumferential direction C of the pillar150are in parallel with each other, the rotator100may be rotated in the one direction C1 or the other direction C2. According to one embodiment of the present disclosure, as a plurality of the blades170are provided and disposed in a manner of being spaced from each other, the water current may be formed evenly by the pillar. When the rotator100rotates, an ascending water current that water in a lower part of the drum30moves to an upper part or a descending water current that water in the upper part of the drum30moves to the lower part may be generated by the inclined and extended shape of the blade70instead of a water current of a simple rotation type. According to one embodiment of the present disclosure, a 3-dimensional water current can be formed by the rotting part100, whereby the washing efficiency on laundry in a washing course can be improved considerably. In addition, washing can be performed in various ways using ascending and descending water currents appropriately. The blade170of the present disclosure may correspond to a screw shape. Namely, a plurality of the blades170are provided and disposed along the circumferential direction C of pillar150in a manner of being spaced apart from each other and may be extended in a screw shape from one end portion171facing the bottom portion110to the other end portion173facing the drum opening31. So to speak, according to one embodiment of the present disclosure, the pillar150may be extended from one end portion152facing the bottom portion110to the other end portion154facing the drum opening31in a manner that a plurality of the blades170are wound on the outer circumferential surface162. In some implementations, referring toFIG.3, according to one embodiment of the present disclosure, the blade170may be inclined in one direction C1 of the circumferential direction C of the pillar150for the length direction L of the pillar150and extended from the one end portion171to the other end portion173. Namely, the blade170may be configured to be inclined in one direction C1 only in a manner that an inclined direction is not changed into the other direction C2. If the inclined direction of the blade170is changed into the other direction C2 in the course of extending the blade170, when the rotting part100rotates, one portion of the blade170may generate an ascending water current and the rest may generate a descending water current. In this case, since the ascending water current and the descending water current may be simultaneously generated on rotation in the one direction C1 of the rotator100, it may be difficult to maximize an ascending or descending effect of water. Therefore, according to one embodiment of the present disclosure, the blade170is extended in a manner of being inclined to the length direction L of the pillar150, and more particularly, to one direction C1 of the circumferential direction C of the pillar150, thereby maximizing the water current property for the rotations of the one direction C1 and the other direction C2 of the rotator100. The one direction C1 may include one of ‘clockwise’ and ‘counterclockwise’, and the other direction C2 may include the other. In some implementations, according to one embodiment of the present disclosure, as shown inFIG.3, the blade170may be continuously extended from the one end portion171to the other end portion173. Namely, the blade170may be extended continuously and seamlessly between the one end portion171and the other end portion173. In addition, the blade170may be extended from the one end portion171to the other end portion173in a manner of being inclined to the length direction L of the pillar150. Namely, the blade170may be configured in a manner of being inclined entirely without a portion side by side with the length direction L of the pillar150. In case that at least one portion of the blade170is parallel to the length direction L or the circumferential direction C of the pillar150, it may be disadvantageous for the ascending or descending water current formation according to the rotation of the pillar150. Therefore, according to one embodiment of the present disclosure, the blade170may be configured to be inclined to the length direction L of the pillar150in the entire length L2. FIGS.4A and4Bshow a laundry treatment apparatus having a spray device according to one embodiment of the present disclosure.FIG.4Ais a perspective diagram of a whole laundry treatment apparatus, andFIG.4Bis a longitudinal cross-sectional perspective diagram. Referring toFIGS.4A and4B, the laundry treatment apparatus1may include a cabinet10forming an exterior and including a laundry opening12for inserting or taking out laundry, a tub20provided within the cabinet10to store water therein and including a tub opening22communicating with the laundry opening12, a water supply part60connected to a water supply source to supply water to the tub20, a drum30rotatably installed in the tub20and including a drum opening31communicating with the tub opening22and a drum floor surface33located on an opposite side of the drum opening31, and a rotator100rotatably installed on the drum floor surface33within the drum30. The rotator100includes a bottom portion110located on the drum floor surface33, a pillar150projected from the bottom portion110toward the drum opening31, and a blade170provided to an outer circumferential surface of the pillar150. The laundry treatment apparatus1further includes a spray device80connected to the water supply part60to spray water supplied from the water supply part60into the drum30through the drum opening31by avoiding the pillar150and the blade170. The spray device80may spray the water supplied from the water supply source into the drum30. Generally, the water supplied from the water supply source is supplied into the tub20and the drum30through a detergent supply device15. Yet, according to one embodiment of the present disclosure, the water necessary for washing may be supplied through the detergent supply device15or the spray device80. The spray device80may spray water in various directions unlike that water simply falls from the detergent supply device toward the bottom of the drum30. The water sprayed by the spray device80may be sprayed in a pattern of an arc of a fan shape. As described above, in case of supplying water into the drum30, a sprayed area of water can be increased in comparison to supplying water by simply letting water fall. Thus, by spraying water to a wider area using the spray device80, the stuff stored in the drum30may be soaked more effectively. This may solve the problem that laundry received inside fails to be sufficiently soaked in a washing course. The spray device80sprays water at a high speed as well as soaks laundry in water effectively, thereby bringing an advantageous effect in a rinsing course. If the spray device80is not included, a step of generating a water current by applying a rotation force to water stored in the tub20and a step of rinsing laundry using the generated water current are performed in a laundry rinsing course. However, in case that foreign substances or detergent particles penetrate the space between the fibers, it may not be possible to remove them sufficiently using only the above water current. On the contrary, the spray device80may spray the water supplied through the water supply part60at a high speed in the rinsing course. The water sprayed from the spray device80may have a momentum higher than that of the water simply free-falling through the detergent supply device15. As described above, the laundry stored in the drum30may be beaten by the water with the high momentum. Through this, foreign substances or detergent particles remaining on the laundry can be removed effectively. In case that water is sprayed from the spray device80toward an inside of the drum30, it may beat the pillar150and the blade170that configure the rotator100. Thus, if the water sprayed from the spray device80fails to reach the laundry stored in the drum30but is interrupted by the pillar150or the blade170, it is unable to obtain the effect of improving the rinsing performance. Therefore, the spray device80may spray the water supplied through the water supply part60into the drum30in a manner of avoiding the pillar150and the blade170. In addition, the water sprayed from the spray device80may be sprayed at a speed over a predetermined level. Therefore, in case that the water sprayed from the spray device80beats the pillar150or the blade170, it may deform the pillar150or the blade170. If such impact continues, it may result in fatigue breakage of the blade170. To prevent such a problem from being caused, in the laundry treatment apparatus including the rotator100like the present disclosure, the water sprayed from the spray device80may be sprayed in directions for avoiding the pillar150and the blade170. When the spray device80sprays water into a space within the drum30except the pillar150and the blade170, the lifespans of the pillar150and the blade170can be increased and the washing and rinsing effects can be enhanced. The spray device80sprays the water supplied from the water supply part60into the drum30through the drum opening31. Hence, the spray device80may be provided to an outside of the tub20. The spray device80is supplied with the sprayed water by being connected to the water supply part60. Hence, if the spray device80is installed in the rotating configuration like the drum30, it may cause a problem of a physical connection to the water supply part60. Hence, the spray device80is preferably installed in a component that is not moving among the components that configure the laundry treatment apparatus1. Generally, the tub20stores water necessary for washing and the detergent supply device15connected to the water supply part60is installed outside the tub20to supply water. As the water supply part60supplies water by being connected to the detergent supply device15, the water supply part60may be coupled to the outside of the tub20as well. The spray device80according to the present disclosure supplies water necessary for washing and rinsing. Hence, the spray device80needs to be coupled to the water supply part60directly or indirectly. Hence, the spray device80may be provided to the outside of the tub20so as to be stably connected to the water supply part60. As described above, if the spray device80is installed outside the tub20, stability of the water supply part60and the spray device80can be enhanced. In case that the spray device80is provided to the outside of the tub20, the supply of the necessary water is facilitated by the water supply part60and user's accessibility is improved, thereby facilitating the design, manufacturing and assembly. As described above, if the spray device80is installed outside the tub20, it may mean that the spray device80is installed on one side of the cabinet10that is the configuration separated from the tub20. Since the spray device80needs to be supplied with water from the water supply part60together with the detergent supply device15, it may be installed near the detergent supply device15. Alternatively, the spray device80may be installed outside the tub20. The spray device80may be installed at various locations outside the tub20if such locations allow water to be sprayed into the drum30through the drum opening31. Meanwhile, the cabinet10may include a cabinet top side11forming a top side of the cabinet10. A laundry opening12for inserting or taking out laundry may be formed in the cabinet top side11. The laundry opening12may have a circular cross section or a cross section having one portion formed as a circumferential surface and another portion formed as a straight line. Since the laundry opening12physically has a thickness, it may be configured with a face that encloses the laundry opening12. Namely, the laundry opening12may be configured by the face that perforates the cabinet top side11. If a cross section of the laundry opening12is circular, the laundry opening12may be configured with an outer circumferential surface in a cylindrical shape. In the following of the specification, a face configuring an inner circumferential surface of the laundry opening12will be referred to as a laundry opening face. As described above, if the cross section of the laundry opening12has one portion configured with a straight line and another portion configured with a circumferential surface, prescribed surfaces of the laundry opening face14corresponding to the laundry opening12may be configured with a rectangle and a circumferential surface, respectively. The detergent supply device may include a detergent supply box16storing a detergent therein and a detergent supply housing17supporting the detergent supply box16. The detergent supply housing17may be configured in a manner of perforating the laundry opening face14. The detergent supply device15may be configured in a manner of inserting the detergent supply box16into the detergent supply housing17formed in a manner of perforating the laundry opening face14. The spray device80may be installed on the laundry opening face14together with the detergent supply housing17and the detergent supply box16. The spray device80may be inserted in a spray installation part formed by perforating the laundry opening face14. The spray device80is inserted in the spray installation part and coupled to the water supply part60inside the laundry opening face14so as to be supplied with water from the water supply source and an outside is installed to face the drum30, thereby spraying the water supplied by the water supply part60toward the drum30. The water discharged from the spray device80passes through the tub opening22and the drum opening31and may be then stored in the drum30and the tub20. The spray device80installed on the laundry opening face14sprays water into the drum30but may not spray water to the pillar150and the blade170. Generally, since the laundry opening face14is disposed closer to a center axis of the drum in a radial direction than the tub opening22or the drum opening31, water needs to be sprayed into the drum30by adjusting a spray angle of the spray device80so as to avoid the pillar150and the blade170. FIGS.5A to5Cshow a spray device according to one embodiment of the present disclosure.FIGS.5A to5Care a front view diagram, a bottom view diagram and a lateral cross-sectional diagram of the spray device, respectively. Referring toFIGS.5A to5C, the spray device80may include a nozzle supply pipe81connected to the water supply part60to be supplied with water from the water supply part60and a nozzle part87coupled to the nozzle supply pipe81to spray water supplied through the nozzle supply pipe81into the drum30. The nozzle supply pipe81may be coupled by passing through the laundry opening face14. The nozzle supply pipe81passes through the laundry opening face14so that one side of the nozzle supply pipe81may be connected to the water supply part60. The water supplied from the water supply part60may be supplied to the spray device80through the nozzle supply pipe81. Alternatively, the nozzle supply pipe81does not pass through the laundry opening face14but passes but the water supply part60passes through the laundry opening face14so as to be coupled to the nozzle supply pipe81. Various structures that the nozzle supply pipe81can be supplied with water of the water supply source through the water supply part60are applicable. The water supplied to the nozzle supply pipe81may flow to the nozzle part87along the nozzle supply pipe81. A nozzle branch part85may be formed at one end portion of the nozzle supply pipe81located in a direction of getting away from the water supply part60. The water passing through the nozzle branch part85may flow along the nozzle part87. By setting the nozzle branch part85as a starting point, the nozzle part87may include a first nozzle871and a second nozzle873separating the flow of water in different directions, respectively. From the nozzle branch part85as the starting point, a direction of water flowing along the nozzle supply pipe81may change rapidly. For example, the water flowing in the nozzle supply pipe81in a direction parallel to a ground may flow in a direction vertical to the ground as soon as passes through the nozzle branch part85. The above case is just exemplary and water may flow in various paths and directions. As the first nozzle871and the second nozzle873guide water in different directions, respectively, they may be formed in a manner of being spaced apart from each other. As the first nozzle871and the second nozzle873may be affected by an external force and vibration due to the fluid flow generated inside, the structural stability may be degraded. To improve such structural stability, a nozzle connecting part86connecting the first nozzle871and the second nozzle873together in front may be formed. The nozzle connecting part86may support the first nozzle871and the second nozzle873externally without affecting inner flow paths and fluid flow of the first nozzle871and the second nozzle873. A spray mount part82may be provided between the nozzle supply pipe81and the nozzle branch part85. The spray mount part82may be manufactured in a circular shape having a diameter greater than that of the flow path of the nozzle supply pipe81. The spray mount part82is extended from one end of the nozzle supply pipe81in a radial direction, thereby reinforcing the coupling strength with the cabinet top side11. By increasing a cross-sectional area, a friction surface with the cabinet top side11can be increased advantageously. The spray mount part82may increase a friction surface with the cabinet top side11and also provide one surface on which the different coupling structure is formed. An elastic projection configured to have an elastic force in front-rear direction of the spray mount part82may be provided to one side of the spray mount part82. The elastic projection84may be coupled to a coupling recess formed on the cabinet top side11. If the elastic projection84is fitted into the coupling recess and rotated to a coupling position, the spray mount part82may be solidly coupled to the cabinet top side11by the elastic force of the elastic projection84. A coupling projection83may be formed on a backside of the spray mount part82in a manner of being projected from the backside toward a rear direction. The coupling projection83may be configured to be inserted in a coupling recess formed on the cabinet top side11. In the coupling projection83, a portion extended from the backside of the spray mount part82is formed to have a small cross-sectional area and a projection portion may be formed to have a large cross-sectional area. In the coupling recess of the cabinet top side11, in which the coupling projection83is inserted, a recess is formed to have the coupling projection83inserted therein and a width of the recess may be decreased depending on a rotation direction of the coupling projection83. Hence, when the coupling projection83is initially inserted in the coupling recess, it may be easily inserted or pulled out. Yet, if the coupling projection83is inserted in the coupling recess and then rotated along the coupling recess, the coupling projection83may not be easily pulled out of the coupling recess due to a cross-sectional area difference between the coupling recess and the coupling projection83. In this manner, the spray mount part82may be coupled to the cabinet top side11. Namely, the spray device80can be coupled to the cabinet top side11more solidly. As described above, the spray device80may be solidly coupled to the cabinet top side11. Alternatively, the spray device80may be coupled to various positions capable of spraying water into the drum30as well as to the cabinet top side11. As a method of reinforcing the coupling, the elastic projection84or the coupling projection83is usable. Regarding the nozzle part87, the nozzle part87may include the first and second nozzles871and873spraying water in different directions, respectively. Alternatively, if it is requested to spray water in various directions, a plurality of nozzles may be configured as well as the first nozzle871and the second nozzle873. A first spray orifice872and a second spray orifice874, through which water is sprayed, may be formed in the first nozzle871and the second nozzle873, respectively. Water having passed through the first spray orifice872or the second spray orifice874may enter the drum30by inertia and gravity. The water sprayed through the first spray orifice872and the second spray orifice874may be sprayed in different directions. The water passing through the first spray orifice872or the second spray orifice874may be affected by various external forces such as gravity and the like as well as a spray force. Hence, the straightness of the sprayed water may be lowered. In addition, if the sprayed water leaves the spray orifice, the radial resistance disappears. Hence, if the water gets far away from the spray orifice, it expands in the radial direction. Therefore, when the sprayed water reaches an object, it may reach an area wider than the spray orifice. As described above, the first spray orifice872or the second spray orifice874may have different spray directions, respectively. Here, the spray direction may mean a direction of a speed at which fluid passes through the spray orifice. Namely, if a spray orifice is regarded as a plane, the spray direction may mean an average of vector values of speeds of water particles existing in the corresponding plane. In addition, if the first spray orifice872or the second spray orifice874is regarded as a plane, the spray direction may mean a normal direction of the plane. Here, ‘normal’ means a line vertical to a specific plane. In the present specification, as described above, the spray direction may mean a direction of a normal extended from a center of gravity of a spray orifice. As described above, the first nozzle871and the second nozzle873may spray the water supplied from the water supply part60through the nozzle supply pipe81in different spray directions, respectively. In addition, beyond simply spraying water in different directions, the water may be sprayed in different directions with reference to the pillar150installed inside the drum30. Looking in the direction of the pillar150from the spray device80, the first nozzle871may spray water toward the drum30located on the left side of the pillar150and the second nozzle873may spray water toward the drum30located on the right side of the pillar150. It is not simply limited to this, and the first nozzle871may spray water toward a right space of the pillar150and the second nozzle873may spray water toward a left space of the pillar150. The spray direction in which each nozzle sprays water may be properly selected. As described above, if the first nozzle871sprays water toward the left side of the pillar150, the sprayed water may reach the drum floor surface33or an inner circumferential surface of the drum30and then scatter. The scattering water may reach the right side of the above pillar150. However, ‘spraying water in a specific direction’ in this specification may mean a direction when the water passes through the spray orifice or a normal direction extended from the center of gravity of the spray orifice, and the location at which the sprayed water arrives may be understood separately from the spray direction. In other words, based on the pillar150, the first nozzle871and the second nozzle873may spray water into different spaces of the drum30, thereby spraying water more evenly into the drum30. If water is sprayed evenly into the drum30, the washing and rinsing efficiency can be prevented from decreasing due to the water concentrated in a specific position. FIGS.6A to6Cshow a spray pattern of water sprayed from a spray device according to one embodiment of the present disclosure.FIGS.6A to6Care front, side and top view diagrams of the spray pattern, respectively. Assuming a virtual plane51connecting the pillar150and the spray device80to each other with reference toFIG.6C, an inner space of the drum30may be classified into a first drum space R1 and a second drum space R2 divided by the virtual plane51. Thus, when the inside of the drum30is classified, the first nozzle871and the second nozzle873may spray water supplied through the nozzle supply pipe81toward the different spaces, respectively. As described above, the spray device80may spray water in various ways but prevent the water from being sprayed onto the pillar150and the blade170. The spray direction of the water sprayed from the nozzle part87may be designed not to head for the pillar150or the blade170, and the water having passed through the first spray orifice872and the second spray orifice874may be designed to reach the inside of the drum30without reaching the pillar150and the blade170. However, even in this case, the water sprayed from the injection device80may bounce on the inner circumferential surface of the drum30or the drum floor surface33and then reach the pillar150or the blade170. In the present specification, “to spray water by avoiding the column150or the blade170” may include all that the water primarily sprayed onto the components other than the column150and the blade170like the drum30is reflected and scattered to reach the column150or the blade170. Referring toFIG.6A, the first nozzle87), which configures the nozzle part87of the spray device80according to one embodiment of the present disclosure, may spray water supplied through the nozzle supply pipe81in the direction facing a circumferential surface of the drum30. Furthermore, the second nozzle873may spray water supplied through the nozzle supply pipe81in the direction facing the drum floor surface33. As described above, spraying water in the direction facing the surface of the circumferential surface may mean a direction of extending a straight line vertical to the first spray orifice872from the center of the first spray orifice872formed at the end portion of the first nozzle871. The direction toward the drum floor surface33, which is the direction of water sprayed from the second nozzle873, may also be understood in the same sense. FIGS.6A to6Cshow the drum30, the rotator100, the top side of the tub20and the spray device80only except other components of the laundry treatment apparatus1, and also show the spray pattern of the water sprayed from the spray device80. Referring toFIG.6A, we examine the spray pattern of water sprayed from the first nozzle871, which is formed on the left side of the spray device80. A centerline of the spray pattern is illustrated as facing the inner circumferential surface of the drum30. The centerline may mean the direction facing the inner circumferential surface of the drum. As confirmed inFIG.6A, the water sprayed in the direction toward the inner circumferential surface of the drum arrives not only at the inner circumferential surface of the drum30limitedly but at the drum floor surface33or the bottom portion110of the rotator100. However, depending on the above-described definition of the spray direction, the first nozzle may be understood to spray water supplied through the nozzle supply pipe81toward the inner circumferential surface of the drum30. Referring toFIG.6C, according to the spray pattern of the water sprayed from the first nozzle871and the second nozzle873, it can be confirmed that the water is sprayed into the drum by avoiding the pillar150and the blade170. In other words, the first nozzle871and the second nozzle873may spray water into the drum30and also spray water into the space except for the pillar150and the blade170. The space inside the drum30is defined by the drum floor surface33and the inner circumferential surface of the drum30, so the space except the pillar150and the blade170may be specified. Referring again toFIG.6A, it is confirmed that the water sprayed through the second nozzle873formed on the right side is sprayed toward the drum floor surface33. The direction of extending the virtual line vertical to the center of the second spray orifice874formed at the end portion of the second nozzle873may be understood as a direction toward the drum floor surface33. As can be found inFIG.6A, the water is sprayed from the second nozzle873in the direction of the drum floor surface33and the pattern of the water may not be sprayed directly onto the inner circumferential surface of the drum30. Furthermore, “spraying water in the direction facing the drum floor surface” can be understood as a concept including that water is initially sprayed from the second nozzle873toward the drum floor surface33and then sprayed into the inner circumferential surface of the drum30during a flow process of water. As described above, if the first nozzle871and the second nozzle873configuring the nozzle part87are designed to spray water in a direction facing the inner circumferential surface of the drum30and a direction facing the drum floor surface33, water can be supplied in a variety of directions to laundry received in the drum30in the course of using the laundry treatment apparatus. If water is sprayed in the direction of the inner circumferential surface of the drum30, the water can directly reach the relatively high position of the drum30. In addition, as water is sprayed on the inner circumferential surface of the drum30, even if the drum30is filled with laundry over a predetermined height, the water can be effectively supplied to the drum floor surface33along the inner wall of the drum30. In case of the second nozzle873, water is sprayed in two directions toward the drum floor surface33, so if laundry is stored over a predetermined height in the drum30, the sprayed water may not effectively reach the laundry stored adjacent to the drum floor surface33. Therefore, if a large amount of laundry is stored as above, spraying water toward the inner circumferential surface of the drum30may be effective for washing and rinsing. On the other hand, when a small amount of laundry is stored inside the drum30and located below a predetermined height, water may not be sprayed directly onto the stored laundry if water is sprayed onto the inner circumferential surface of the drum30. The water sprayed onto the inner circumferential surface of the drum30primarily reaches the inner wall of the drum30and loses momentum, which can reduce the effect of rinsing compared to direct spraying water onto laundry. In the above case, the second nozzle873, which sprays water directly toward the drum floor surface33, can perform the washing and rinsing courses more effectively. Namely, when the first nozzle871sprays water in the direction of the inner circumferential surface of the drum and the second nozzle873sprays water in the direction of the drum floor surface33, washing and rinsing courses can be performed properly even if a large amount of laundry is stored in the drum30as well as a small amount of laundry is stored in the drum30. In some implementations, an area of the first spray orifice872formed at the end portion of the first nozzle871may be formed greater than that of the second spray orifice874formed at the end portion of the second nozzle873. The water flowing by being branched from the nozzle branch part85may flow more through a side having a greater volume of a flow path of the first nozzle871or the second nozzle873. Hence, it may be designed to enable more water to be sprayed from the first nozzle871. According to the structural property of the spray device80, lengths of the first and second nozzles871and872may be similar to each other. Therefore, an amount of the sprayed water can be adjusted using an area difference between the first and second spray orifices872and874. To increase a flow amount of the first nozzle871, an area of the first spray orifice872may be increased. Furthermore, in case of designing the second nozzle873to spray more water, an area of the second spray orifice874may be formed greater than that of the first spray orifice872. In some implementations, a first angle a1 formed by a first spray direction D1, which is a direction of discharging the water from a center of the first spray orifice, and a reference direction, which is a direction of free fall of the water, may be formed greater than a second angle a2 that is an angle formed by a second spray direction D2, which is a direction of discharging the water from a center of the second spray orifice, and the reference direction. The reference direction G may be understood as a gravity direction. As described above, the first spray direction D1 and the second spray direction D2 may be defined as directions of water passing through the first spray orifice872and the second spray orifice874, respectively. If an angle formed with the reference direction meaning the gravity direction increases more, water can be sprayed onto a wider area. Namely, as the first angle a1 is designed greater than the second angle a2, the first nozzle871may spray water onto an area wider than the second nozzle873. However, it is not limited to this, and if necessary, the second angle a2 may spray water more than the first angle a1. In other words, a specific nozzle may be designed to spray water onto a wider area than other nozzles instead of designing that a plurality of nozzles spray water equally. As such, the spray device80can improve washing and rinsing performance by spraying water in various patterns. Referring toFIG.6C, a spray pattern of water sprayed from the spray device is viewed from a top side. An angle formed by a pattern of water sprayed from the first nozzle871located on the left side may be defined as a first spray angle a3, and an angle formed by a pattern of water sprayed from the second nozzle873located on the right side may be defined as a second spray angle a4. Depending on the first spray angle a3 and the second spray angle a4, the sprayed water may be sprayed in a manner of avoiding or reaching the pillar150and the blade170. The first spray angle a3 and the second spray angle a4 may be selected appropriately in a designing process. As the first spray angle a3 and the second spray angle a4 are increased more, water can be sprayed onto a wider area to enhance the washing and rinsing effects. Yet, if the first spray angle a3 and the second spray angle a4 are increased excessively, water is sprayed into a limited space only, thereby being sprayed to the pillar150and the blade170inevitably. Therefore, the first spray angle a3 and the second spray angle a4 may be adjusted appropriately depending on locations of the first nozzle871and the second nozzle873. In addition, a first spray amount, which is the amount of water sprayed from the first spray orifice for a reference time, may be greater than a second spray amount that is the amount of water sprayed from the second spray orifice for the reference time. The first spray amount may mean the volume of water sprayed per second, and units of use may include m3/s, cm3/s, mm3/s, etc. As described above, water is sprayed into the drum30in various patterns by setting different spray amounts of water sprayed from each nozzle, thereby improving washing and rinsing effects. FIG.7shows a structure that a spray device according to one embodiment of the present disclosure is connected to the water supply part. Referring toFIG.7, a detergent supply device15provided to the cabinet top side11to store a detergent therein and supply the detergent to the drum30or the tub20is further included. The water supply part60is connected to the detergent supply device15and the spray device80and may supply water into the tub20through at least one of the detergent supply device15and the spray device80. The water supply part60may include an external water supply flow path (not shown) guiding water supplied by being connected to the water supply source to an inside of the cabinet10, a water supply valve61connected to the external water supply flow path (not shown) to selectively open/close the external water supply flow path (not shown), an internal water supply flow path (not shown) connecting the water supply valve61and the detergent supply device15together to guide the supplied water to the detergent supply device15, and a spray water supply flow path62connecting the water supply valve61and the spray device80together to guide the supplied water to the spray device. The water supply valve61may receive cold water and hot water separately from the water supply source. One side of the water supply valve61may receive the cold water and supply the cold water to the detergent supply device15. The other side may receive the hot water and supply the hot water to the detergent supply device15. The water supply valve61may adjust the temperature of wash water by properly adjusting the inflow of the cold water and the hot water. As shown inFIG.7, the spray device80may be connected to a portion of the water supply valve61, which receives cold water. When connected as above, the spray device80may spray cold water into the drum30. However, it is not limited to this, and it will be possible to control the temperature of water sprayed from the spray device80by being supplied with the hot water. In some implementations, the cabinet top side11may include the laundry opening face14forming an inner circumferential surface of the laundry opening12, the spray device80may include the nozzle supply pipe81connected to the water supply part60by penetrating the laundry opening face14to be supplied with water from the water supply part60and the nozzle part81coupled to the nozzle supply pipe81to spray the water supplied through the nozzle supply pipe81into the drum, and the nozzle supply pipe81may be connected to the spray water supply flow path62. The spray water supply flow path62may be connected in a manner of enclosing an outer circumferential surface of the nozzle supply pipe81. The spray water supply flow path62is coupled to the outer circumferential surface of the nozzle supply pipe81and a fastening member externally fastening the spray water supply flow path62more firmly is coupled thereto, thereby preventing water from leaking out of the spray water supply flow path62. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the inventions. Thus, it is intended that the present invention covers the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents. | 59,741 |
11859341 | DETAILED DESCRIPTION For simplicity and clarity of illustration, elements in the figures are not necessarily drawn to scale. The same reference numbers in different figures denote the same or similar elements, and as such perform similar functionality. Furthermore, descriptions and details of well-known steps and elements are omitted for simplicity of the description. Furthermore, in the following detailed description of the present disclosure, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. However, it will be understood that the present disclosure may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail so as not to unnecessarily obscure aspects of the present disclosure. Examples of various embodiments are illustrated and described further below. It will be understood that the description herein is not intended to limit the claims to the specific embodiments described. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the present disclosure as defined by the appended claims. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the present disclosure. As used herein, the singular forms “a” and “an” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising”, “includes”, and “including” when used in this specification, specify the presence of the stated features, integers, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, operations, elements, components, and/or portions thereof. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expression such as “at least one of” when preceding a list of elements may modify the entire list of elements and may not modify the individual elements of the list. It will be understood that, although the terms “first”, “second”, “third”, and so on may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section described below could be termed a second element, component, region, layer or section, without departing from the spirit and scope of the present disclosure. In addition, it will also be understood that when a first element or layer is referred to as being present “on” a second element or layer, the first element may be disposed directly on the second element or may be disposed indirectly on the second element with a third element or layer being disposed between the first and second elements or layers. It will be understood that when an element or layer is referred to as being “connected to”, or “coupled to” another element or layer, it may be directly on, connected to, or coupled to the other element or layer, or one or more intervening elements or layers may be present. In addition, it will also be understood that when an element or layer is referred to as being “between” two elements or layers, it may be the only element or layer between the two elements or layers, or one or more intervening elements or layers may also be present. Further, as used herein, when a layer, film, region, plate, or the like is disposed “on” or “on a top” of another layer, film, region, plate, or the like, the former may directly contact the latter or still another layer, film, region, plate, or the like may be disposed between the former and the latter. As used herein, when a layer, film, region, plate, or the like is directly disposed “on” or “on a top” of another layer, film, region, plate, or the like, the former directly contacts the latter and still another layer, film, region, plate, or the like is not disposed between the former and the latter. Further, as used herein, when a layer, film, region, plate, or the like is disposed “below” or “under” another layer, film, region, plate, or the like, the former may directly contact the latter or still another layer, film, region, plate, or the like may be disposed between the former and the latter. As used herein, when a layer, film, region, plate, or the like is directly disposed “below” or “under” another layer, film, region, plate, or the like, the former directly contacts the latter and still another layer, film, region, plate, or the like is not disposed between the former and the latter. Unless otherwise defined, all terms including technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this inventive concept belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. Hereinafter, preferred embodiments of a laundry treating apparatus and a method for controlling the same will be described in detail with reference to the accompanying drawings. A configuration or a control method of the apparatus to be described below is only for describing an example of the laundry treating apparatus and the method for controlling the same, and is not intended to limit the scope of the invention. In addition, reference numerals used identically throughout the specification represent the same components. FIG.2shows basic features of a laundry treating apparatus of the present disclosure. As shown inFIG.2, a cabinet1includes a front panel11defining a front face of the laundry treating apparatus. The front panel has an inlet111defined therein to communicate with a drum2and a door11212pivotally coupled to the cabinet to open and close the inlet111. A control panel117is disposed on the front panel11. The control pane117may include an input unit118for receiving a control command from a user, and an display119for outputting information such as a control command selectable by the user. A main controller that controls a command for performing a drying process of the laundry treating apparatus may be installed. The input unit may be configured to include a power supply request unit for requesting power supply to the laundry treating apparatus, a course input unit for allowing the user to select a desired course among a plurality of courses, and an execution request unit for requesting start of a course selected by the user. The display119may be configured to include at least one of a display panel capable of outputting a text and a figure, and a speaker capable of outputting an audio signal and a sound. In one example, the laundry treating apparatus of the present disclosure may include a water storage7provided to separately store therein moisture generated in the process of drying the laundry. The water storage7may include a storage body72provided to be withdrawn from one side of the front panel11to the outside. The storage body72may be provided to collect condensate delivered from a washing pump to be described later. The user may withdraw the storage body72from the cabinet1to remove the condensate therefrom, and then, mount the storage body72in the cabinet1again. Therefore, the laundry treating apparatus of the present disclosure may be placed in any places where a sewer or the like is not installed. In one example, the laundry treating apparatus of the present disclosure may further include a steam supplier200capable of supplying steam to the laundry or into the cabinet. The steam supplier200may be provided to generate the steam by receiving fresh water rather than the condensate. The steam supplier200may be provided to generate the steam by heating the water, using ultrasonic waves, or vaporizing the water. Because the steam supplier200is provided to generate the steam by receiving a certain amount of water, the steam supplier200may occupy a certain volume. In this connection, the door and the control panel117are installed on the front panel11of the cabinet, and a duct that supplies or discharges air to/from the drum, a water supply, and the like may be installed on the rear panel12of the cabinet, so that the steam supplier200may be advantageously installed on the side panel14of the cabinet. In addition, the laundry treating apparatus of the present disclosure may include a steam controller800provided to separately control the steam supplier200. The steam controller800may be installed on the control panel117, but may be provided as a separate control panel to prevent overloading of the control panel117and to prevent increase a production cost. The steam controller800may be disposed adjacent to the steam supplier200. The steam controller800may be disposed on the side panel14on which the steam supplier200is installed to reduce a length of a control line or the like connected to the steam supplier200. Because the steam supplier200supplies the steam that may contact the laundry, it is preferable to generate the steam with the fresh water. Because the water collected in the water storage7is generated from the laundry, there is a high possibility that lint or foreign matters are contained in the water collected in the water storage7. Thus, the water collected in the water storage7may not be suitable for generating the steam. Accordingly, the laundry treating apparatus of the present disclosure may supply the water to the steam supplier200, but may include a water supplier300provided separately from the water storage7. The water supplier300may be provided to store the fresh water therein, or receive the fresh water from the outside and supply the fresh water to the steam supplier200. For example, the water supplier300may include an external water supplier500that may receive water from an external water supply source and deliver the water to the steam supplier200, and an internal water supplier400that may separately store the fresh water therein and supply the fresh water to the steam supplier200. The internal water supplier400may further include a water tank420that is provided separately from the water storage7to store the fresh water therein, a water pump430provided to supply the water in the water tank420to the steam supplier200, and a tank housing410that seats the water tank420and the water pump430inside the cabinet. The laundry treating apparatus of the present disclosure may also be provided such that the water tank420and the steam supplier200are installed at different vertical levels, so that the water in the water tank420is supplied to the steam supplier200by a self load. When the difference in the installation vertical level between the water tank420and the steam supplier200is not secured, it may be desirable to additionally install the water pump430. In addition, when the water pump430is additionally disposed, there is an advantage in that a space inside the cabinet1may be more densely utilized. The external water supplier500may include a direct water valve520connected to the external water supply source to receive the water. In addition, the laundry treating apparatus of the present disclosure may further include a determination unit700that determines whether to supply the water to the steam supplier200by preferentially using which of the external water supplier500and the internal water supplier400. The determination unit700may be structurally provided to determine which of the external water supplier500and the internal water supplier400is preferentially used. In one example, the water tank420may be provided to store the fresh water therein. It is preferable that the water tank420is provided to be exposed to the outside of the cabinet1to be frequently filled with the fresh water. However, because both the water tank420and the water storage7are provided to store the water therein, the user may be confused. To this end, the laundry treating apparatus of the present disclosure may be provided such that the water tank420and the water storage7are exposed from the cabinet in different directions and at different locations. For example, the water tank420may be provided to be exposed through the top panel13, and the water storage7may be provided to be exposed through the front panel11. Therefore, even when both the water tank420and the water storage7are arranged, the confusion of the user may be prevented. The water tank420may have a relatively smaller volume than the water storage7because the water tank420must store the fresh water therein and a freshness of the stored water must be maintained. Accordingly, the user may distinguish the water tank420and the water storage7from each other by the volume difference. Because the water tank420has the smaller volume than the water storage7, the water tank420may be easily withdrawn upward. Accordingly, the water tank420may be provided to be withdrawn upward from the top panel13. As a result, because the withdrawal directions of the water tank420and the water storage7are different from each other, the possibility of user confusion may be further reduced. The top panel13of the laundry treating apparatus of the present disclosure may include a tank withdrawal hole131defined therein provided such that the water tank420may be exposed to the outside or the water tank420may be withdrawn to the outside of the cabinet. The tank withdrawal hole131may have a cross-sectional area corresponding to or slightly larger than a cross-sectional area of the water tank420. The top panel13may further include a withdrawal cover132provided to shield the tank withdrawal hole131to prevent the water tank420from being arbitrarily withdrawn. The withdrawal cover132may include one or more panel coupling portions1321provided to be coupled to an outer peripheral surface of the tank withdrawal hole131. The panel coupling portion1321may extend from one side of the withdrawal cover132so as to pivotably couple the withdrawal cover132to the top panel13. The panel coupling portion1321and the top panel13may be coupled to each other in a hinge coupling scheme. In one example, the withdrawal cover132may have a panel handle1323that may be gripped by the user on a surface thereof, and the panel handle132may be defined as a groove that is concave downward from the withdrawal cover132. In addition, the withdrawal cover132may further include a panel fixing portion1332detachably coupled to an outer peripheral surface of the top panel13or the tank withdrawal hole131. The panel fixing portion1332may be coupled to the top panel13or the tank withdrawal hole131in a push button scheme. The laundry treating apparatus of the present disclosure may further include a filter capable of removing foreign matters from circulating air. The front panel11may have a filter mounting hole113defined therein through which the filter is withdrawn or inserted. FIG.3shows an internal configuration of a laundry treating apparatus of the present disclosure. As shown inFIG.3, the laundry treating apparatus100includes a cabinet1, a drum2rotatably disposed inside the cabinet to define therein a space for storing the laundry therein, and a circulating flow passage that defines a flow passage for re-supplying air discharged from the drum2to the drum2, and a heat-exchanger4that dehumidifies and heats the air introduced into the circulating flow passage3and then re-supplies the dehumidified and heated air to the drum2. When the drum2is provided as a cylindrical drum body21with an open front face and an open rear face, a first support17that rotatably supports the front face of the drum2to be rotatable, and a second support19that supports the rear face of the drum2to be rotatable may be arranged inside the cabinet1. The first support17may be configured to include a first fixed body171fixedly disposed inside the cabinet1, a drum inlet173provided to extend through the first fixed body and communicating the inlet112and the inside of the drum body21with each other, and a first support body155disposed on the first fixed body171and inserted into the front face (a first open face) of the drum body21. The first fixed body171may have any shape as long as the drum inlet173may be defined therein and the first support body175may be disposed thereon. The first support body175may be formed in a pipe shape protruding from the first fixed body171toward the drum body21. A diameter of the first support body175may be larger than a diameter of the drum inlet173and may be smaller than a front face diameter of the drum body21. In this case, the drum inlet173may be located inside a space defined by the first support body175. The first support17may be provided to further include a connection body177for connecting the inlet111and the drum inlet173with each other. The connection body177may be formed in a shape of a pipe extending from the drum inlet173to the inlet111. The connection body177may have an air discharge hole178defined therein that communicates with a duct3. As shown inFIG.3, the air discharge hole178is a flow passage along which air inside the drum body21may flow to the circulating flow passage3, which may be embodied as a through-hole provided to extend through the connection body177. The second support19may be provided to include a second fixed body191fixedly disposed inside the cabinet1, and a second support body195disposed on the second fixed body191and inserted into the rear face (a second open face) of the drum body21. An air inlet188is defined in the second support19and extends through the second fixed body191and communicates the inside of the drum body21with the inside of the cabinet1. In this case, the duct3may be provided to connect the air discharge hole178and the air inlet198to each other. The drum body21in a hollow cylindrical shape may be rotated by various types of drivers.FIG.3shows a case in which a driver28includes a motor23fixedly disposed inside the cabinet1, a pulley25rotated by the motor, and a belt27connecting a circumferential surface of the pulley25and a circumferential surface of the drum body21with each other as an example. In this case, the first support17may have a first roller179that supports a circumferential surface of the drum body21to be rotatable, and the second support19may have a second roller199that supports the circumferential surface of the drum body to be rotatable. The circulating flow passage3may include a duct along which the air inside the drum2may flow to the outside of the drum2. The circulating flow passage3may be configured to include an air discharge duct31connected to the air discharge hole178, an air supply duct33connected to the air inlet198, and a connection duct35connecting the air discharge duct and the air supply duct to each other. The heat-exchanger4may be embodied as various devices as long as the devices may sequentially perform dehumidification and heating of the air introduced into the circulating flow passage3. For example, the heat-exchanger4may be embodied as a heat pump system. The heat-exchanger4may include a fan49that moves air along the circulating flow passage3, a first heat-exchanger (heat-absorber)41that removes moisture from the air introduced into the circulating flow passage3, and a second heat-exchanger (heat-emitter)43which is disposed inside the circulating flow passage3and heats the air that has passed through the first heat-exchanger41. The fan49may be provided to include an impeller491disposed inside the circulating flow passage3and an impeller motor493rotating the impeller491(seeFIG.4). The impeller491may be disposed anywhere in the air discharge duct31, the connection duct35, and the air supply duct33.FIG.3shows as an example a case in which the impeller491is disposed in the air supply duct33(a case in which the impeller491is located at the rear of the heat-emitter). The heat-absorber41is embodied as a plurality of metal plates arranged along a width direction (a Y-axis direction) of the connection duct35or a plurality of metal plates arranged along a height direction (a Z-axis direction) of the connection duct. The heat-emitter43may be embodied as a plurality of metal plates arranged along a width direction of the connection duct or a height direction of the connection duct. The heat-absorber41and the heat-emitter43are sequentially arranged in the connection duct35in a direction from the air discharge duct31toward the air supply duct33, and are connected to each other via a refrigerant pipe48which defines a circulating flow passage of a refrigerant (seeFIG.4). The refrigerant moves along a refrigerant pipe48by a compressor45located outside the circulating flow passage3. The refrigerant pipe48is equipped with a pressure regulator47that controls a pressure of the refrigerant that has passed through the heat-emitter43. The heat-absorber41may refer to means for cooling the air and evaporating the refrigerant by delivering the heat of the air flowing into the air discharge duct31to the refrigerant. The heat-emitter43may refer to means for heating the air and condensing the refrigerant by delivering the heat of the refrigerant passing through the compressor45to the air. In this case, moisture contained in the air may be collected on a bottom face of the connection duct35along a surface of the heat-absorber41as the air passes through the heat-absorber41. A water collector is disposed in the laundry treating apparatus100to collect the water removed from the air passing through the heat-absorber41. The water collected in the water collector may be collected in the water storage7and then be collectively discharged later. The water storage7may include a storage body72detachably disposed in the cabinet1to define therein a space for storing the water therein, and an inlet722provided to penetrate the storage body72to introduce the water discharged from the water storage supply pipe633into the storage body72. The storage body72may be provided as a drawer-shaped tank extended from the cabinet1. In this case, the front panel11of the cabinet must have a water storage mounting hole defined therein into which the storage body72is inserted. A panel71is fixed to the front face of the storage body72, The panel71may be provided to be detachably coupled to the water storage mounting hole to form a portion of the front panel11. A groove711into which a user's hand is inserted may be further defined in the panel71. In this case, the panel71will also perform a function of a handle of extending the storage body72from the cabinet or retracting the storage body72into the cabinet. The inlet722may be defined to receive the water discharged from a nozzle722afixed to the cabinet1. The nozzle722amay be fixed to the top panel13of the cabinet to be positioned above the inlet722when the storage body72is inserted into the cabinet1. The water storage7having the above-described structure may discard the water inside the storage body72by overturning or inclining the storage body72in a direction in which the inlet722is located after the user extends the storage body72from the cabinet1. A communication hole721provided to penetrate a top face of the storage body72may be further defined such that the water inside the storage body72is easily discharged through the inlet722. The steam supplier200may be disposed to be spaced apart from the water storage7. As described above, the steam supplier200may be provided to be connected to the internal water supplier400and an external water supplier500to receive the water to form the steam. The external water supplier500may include a direct water valve520adjacent to the rear panel13or fixed to the rear panel13, and a direct water pipe510that supplies the water delivered from the direct water valve520to the steam supplier200. The direct water valve520may be provided to be coupled to the external water supply source. For example, the direct water valve520may be coupled with a water supply pipe extending to the rear face of the cabinet. Therefore, the steam supplier200may be provided to receive the water directly through the direct water valve520. Therefore, even when the internal water supplier400is omitted, or when the water is not stored in the internal water supplier400, the steam supplier200may receive the water through the direct water valve520whenever necessary. The direct water valve520may be directly controlled by the steam controller800. In one example, the steam supplier200may be disposed adjacent to the direct water valve520. Therefore, residual water may be prevented from remaining unnecessarily in the direct water pipe510, and the water may be immediately supplied when necessary. The internal water supplier400may include the water tank420for storing the water therein, the water pump430that may receive the water from the water tank420and supply the water to the steam supplier200, and a tank housing410that defined therein a space for mounting the water tank420and the water pump430therein. The water pump430and the water tank420may be arranged at a vertical level corresponding to that of the steam supplier200. The tank withdrawal hole131may be installed in a region of the top panel13corresponding to a portion where the water tank420is installed. Therefore, the water pump430may be prevented from being unnecessarily exposed through the tank withdrawal hole131as much as possible. The withdrawal cover132may be pivotably coupled to an outer circumferential surface of the tank withdrawal hole131to prevent the water tank420from being unnecessarily exposed to the outside. The steam supplier200may receive the water through the water supplier300to generate the steam and then supply the water to the drum2or the circulating flow passage3through the steam discharge pipe213. The steam discharge pipe213may directly communicate with the drum2to supply the steam into the drum2, and may communicate with the circulating flow passage3or the second support19to indirectly supply the steam into the drum2. The steam discharge pipe213may be in communication with the air supply duct33when being connected to the circulating flow passage3, and may be in communication with the air inlet198when being connected to the second support19. Therefore, the steam may be more smoothly introduced into the drum2using a power of the blower fan49. The steam supplier200may be controlled to generate the steam when a steam supply mode using the steam is performed during the drying process. The steam supply mode may correspond to a series of drying courses of sterilizing the laundry, increasing a temperature inside the drum during the drying process of the laundry, or removing wrinkles from the laundry at the end of the drying process of the laundry. The steam supplier200may be controlled to receive the water from the external water supplier500as well as the internal water supplier400as necessary to supply the steam into the drum2or the like. In one example, the heat-exchanger4is provided to condense the moisture of the air circulating in the heat-absorber41. Therefore, even when the air circulates in the drum2, because the moisture contained therein is removed by the heat-absorber41, the air may continuously dry the laundry inside the drum2. The moisture condensed in the heat-absorber41may be collected primarily in the water collector37and then secondly collected in the water storage7. The water collector37may be located inside the connection duct35and may be separately disposed in a space spaced apart from the connection duct35. FIGS.3and4show an embodiment in which the water collector37may be disposed inside the connection duct35, but this is for illustration only. The water collector37may be have any structure as long as the water collector37is able to collect the condensate. FIG.4shows detailed structures of the water collector37, the heat-exchanger4, the washer6, and the like. The water collector37may be embodied as a collector body371which is fixed to the bottom face of the connection duct35and communicates with the inside of the connection duct. To prevent the heat-absorber and the heat-emitter41and43from contacting the water (condensate) stored in the collector body371, a heat-exchanger support372may be further disposed inside the collector body371. The heat-exchanger support372may include a support plate373which the heat-absorber and the heat-emitter41and43contact, a spacer375that maintains a spacing between the support plate373and the bottom face of the collector body371, and a support plate through-hole376provided to pass through the support plate373. The support plate through-hole376may be defined only in a portion of the support plate373on which the heat-absorber41is supported, or may be defined in portions thereof on which the heat-absorber41is supported and the heat-emitter is supported, respectively. When the support plate through-hole376is defined under the heat-emitter43, water that has moved to the heat-emitter43along the support plate373may be discharged to the collector body371(thus, preventing decrease in heat transfer efficiency that occurs when the heat-emitter contacts the water). In order to minimize accumulation of foreign matters (lint) discharged from the drum body21on the heat-absorber41and the heat-emitter43, a filtration unit for filtering air may be further disposed in the laundry treating apparatus100.FIG.3shows a case in which the filtration unit is provided as a first filtration unit5disposed in the connection duct35and a second filtration unit8disposed in the air discharge duct31as an example. The second filtration unit8may be provided as means for filtering the air flowing into the air discharge duct31from the drum body21, and the first filtration unit5may be provided as means disposed between the second filtration unit8and the heat-absorber41to filter the air that has passed through the second filtration unit. A diameter of a filtration hole defined in the first filtration unit5may be set smaller than a diameter of a filtration hole defined in the second filtration unit8. The second filtration unit8may include a frame81detachably inserted into the air discharge duct31through the air discharge hole178, and a filter (a fourth filter)83disposed in the frame to filter the air The first filtration unit5may be detachably disposed in the connection duct35. In this case, the front panel11of the cabinet may have a filter mounting hole113(seeFIG.1) through which the first filtration unit5is withdrawn and a mounting hole door114that opens and closes the filter mounting hole, and a duct through-hole34(seeFIG.3) into which the first filtration unit5is inserted may be defined in the circulating flow passage3. Accordingly, the user may remove foreign matters remaining in the first filtration unit5and wash the first filtration unit after separating the first filtration unit5from the laundry treating apparatus when necessary. The first filtration unit5may be provided to include filtration unit body51,53,57, and58inserted into the filter mounting hole113and the duct through-hole34and positioned between the second filtration unit8and the heat-absorber41, and filters531,551, and571arranged in the filtration unit body to filter fluids (the air and the water) flowing to the heat-absorber41and collector body371. The filtration unit body may be in various shapes based on a shape of a cross-section (a Y-Z plane and a X-Z plane) of the connection duct35.FIG.1shows a case in which the filtration unit body is in a shape similar to a hexahedron. In this case, the filtration unit body may include a front face51in a shape capable of closing the duct through-hole34, a rear face53positioned between the front face and the heat-absorber41, a bottom face55provided to connect the front face with the rear face, and a first side face57and a second side face58respectively forming left and right faces of the filtration unit body. The front face51may have a lock513disposed thereon detachably coupled to a lock fastener16disposed on the cabinet. The lock513may be provided as a bar pivotably coupled to the front face51of the filtration unit body, and the lock fastener16may be provided to define a groove in which a free end of the bar is received. Preferably, each lock513is disposed on each of opposite sides of the front face51, and each lock fastener16is disposed on each of opposite sides of the filter mounting hole113. A handle511may be further disposed on the front face51to facilitate inserting the filtration unit body into the connection duct35or separating the filtration unit body from the connection duct35. A first filter531and a second filter551for filtering the fluids (the air and the water) introduced into the filtration unit body may be respectively arranged on the rear face53and the bottom face55. The rear face53has a rear face through-hole defined therein that communicates an interior of the filtration unit body with an internal space of the circulating flow passage3, and the first filter531is disposed in the rear face through-hole. The bottom face55has a bottom face through-hole defined therein that communicates the interior of the filtration unit body with the internal space of the circulating flow passage3, and the second filter551is disposed in the bottom face through-hole. Therefore, the first filter531becomes means for filtering the fluids (the air and the water) supplied to the heat-absorber41, and the second filter551becomes means for filtering the fluids supplied to the collector body371. The first side face57and the second side face58may be provided to connect the front face51, the rear face53, and the bottom face55with each other. The first filtration unit5having the above-described structure may be provided to communicate with the air discharge duct31through the top face or the second side face58of the filtration unit body. The first filtration unit5may be connected to the air discharge duct31through a top face through-hole provided to penetrate the top face of the filtration unit body and a side through-hole provided to penetrate the second side face58. The first filter531may be provided to be inclined at 90 degrees to 100 degrees toward a front face of the heat-absorber41with respect to the bottom face55of the filtration unit body. This is to allow foreign matters remaining in the first filter to easily move to the bottom face55when the water is sprayed on the first filter531through a washer6to be described later. The second filter551may be provided to be inclined downward by 10 to 20 degrees from the front face51toward the first filter531(The second filter may be provided to be inclined upward by 10 to 20 degrees in a direction in which the filter mounting hole is located from a bottom of the first filter). When the second filter551is provided to be inclined downward toward the first filter531, because a connection point of the first filter531and the second filter551will be the lowest point in the space defined in the first filtration unit, the foreign matters of the first filtration unit5may be concentrated at the connection point of the first filter531and the second filter551. When the foreign matters are concentrated at the connection point of the first filter531and the second filter551, the user will be able to more easily remove the foreign matters inside the first filtration unit5. However, when the foreign matters are concentrated at the connection point of the first filter531and the second filter551, the water sprayed through the washer6may be discharged to the collector body371, which requires a long time. In order to solve such problem, as shown inFIG.3, the first side face57may further include a bypass hole defined therein for communicating the interior of the first filtration unit5to the collector body371and a third filter571disposed in the bypass hole. As shown inFIG.3, the bypass hole and the third filter571may be located at a position higher than an uppermost end of the second filter551and lower than an uppermost end of the first filter531. Accordingly, the laundry treating apparatus may minimize a phenomenon that the water sprayed to the first filtration unit5is not able to be re-collected into the collector body371due to the foreign matters remaining in the first filtration unit5. In one example, the laundry treating apparatus100may further include the washer6that washes the first filtration unit5using the water stored in the collector body371. That is, the water stored in the collector body371may be separately collected into the water storage7or may be selectively flowed to the washer6. The washer6may be provided as means for washing at least one of the first filter531, the second filter551, the third filter571, and the heat-absorber41by spraying the water stored in the collector body371to the first filtration unit5. The washer6may be provided to include a sprayer65disposed in the duct3to supply the water to the first filtration unit5, and a washing pump61that flows the water stored in the collector body371to the sprayer65. The washing pump61may be connected to the collector body371through a first connection pipe611, and may be connected to the sprayer65through a second connection pipe613. When the laundry treating apparatus is provided to flow the water of the collector body371to the sprayer65and the water storage7with only one washing pump61, the laundry treating apparatus100may further include a flow passage switcher63. In this case, the flow passage switcher63may be provided to be connected to the washing pump61through the second connection pipe613, the sprayer65may be provided to be connected to the flow passage switcher63through a sprayer supply pipe631, and the water storage7may be provided to be connected to the flow passage switcher63through a water storage supply pipe633. In this case, the water storage supply pipe633must be provided to connect a nozzle722aand the flow passage switcher63with each other. The flow passage switcher63has a valve for controlling opening and closing of the sprayer supply pipe631and opening and closing of the water storage supply pipe633. Accordingly, the laundry treating apparatus100may supply the water stored in the collector body371to the sprayer65or to the water storage7by controlling the valve disposed on the flow passage switcher63. A case in which the sprayer65is provided to include a duct through-hole651defined therein to penetrate the connection duct35and connected to the sprayer supply pipe631, a first guide653that guides the water supplied from the duct through-hole to the first filter531, and a second guide655that guides at least a portion of the water supplied through the first guide653to the front face of the heat-absorber41is shown as an example. In this case, the second guide655may be provided as means for supplying the water to the front face of the heat-absorber41through the first filter531. That is, the first filter531may be provided to be positioned between the first guide653and the second guide655when the first filtration unit5is fixed to the connection duct35, and the second guide655may be provided as an inclined face inclined downward from a top face of the connection duct35toward the first filter531. A guide through-hole654may be further defined in the first guide653. The guide through-hole654is a hole provided to penetrate the first guide653. The water introduced into the duct through-hole651may be supplied to a front region of the heat-absorber41through the guide through-hole654. The front region of the heat-absorber refers to a region located in a direction facing toward the first filter531based on a vertical line passing through a center of the heat-absorber41. In one example, the laundry treating apparatus of the present disclosure is preferably provided to include a water collector water level sensor91that measures a level of the water in the collector body371and transmits the water level information to the controller. When the water collector water level sensor91is disposed, the laundry treating apparatus may determine a time point for flowing the water stored in the collector body371to the storage body72. Thus, the water in the collector body371may be prevented from flowing back to the connection duct35. The water collector water level sensor91may be configured as any device capable of sensing the level of the water inside the collector body371.FIG.3shows a sensor with multiple electrodes having different lengths (with multiple electrodes electrically connected with each other based on the water level) as an example. A dryness sensor may be disposed in the laundry treating apparatus100so as to determine a time point for stopping an operation of the heat-exchanger4by determining dryness of the laundry. The dryness sensor may be configured as at least one of an electrode sensor95configured to be in contact with the laundry to measure an amount of moisture contained in the laundry, and a humidity sensor that measures humidity of the air flowing from the drum2to the circulating flow passage3. The electrode sensor may be configured to include a first electrode951and a second electrode953which may be fixed to the first fixed body171and contact the laundry in the drum body21. Because the dryness increases, the amount of moisture contained in the laundry will decrease (an electrical resistance of the laundry increases), the laundry treating apparatus100may determine the dryness of the laundry by observing the electrical resistance measured when the two electrodes951and953are connected to each other through the laundry. In one example, as the dryness of the laundry increases, the amount of moisture contained in the air flowing into the circulating flow passage3will decrease, so that the laundry treating apparatus100may determine the dryness of the laundry by observing the humidity of the air introduced into the circulating flow passage3through the humidity sensor. In addition, the laundry treating apparatus100may further include a temperature sensor96that measures a temperature of the air flowed into the circulating flow passage3. The temperature sensor96may be provided to be fixed to a top face of the connection duct35and be positioned between the first filter531and the second filter551. FIGS.5A and5Bshow an embodiment including the connection duct35and the water collector37arranged on the bottom face of the laundry treating apparatus of the present disclosure. Referring toFIG.5B, the laundry treating apparatus of the present disclosure may further include a base39that defines the circulating flow passage3and on which the heat-exchanger4may be installed. A portion of the air discharge duct31may be installed on the base39, and the air discharge duct31and the air supply duct33may be respectively installed at both ends of the connection duct35. The heat-absorber41and the heat-emitter43may be installed in the connection duct35. The base39may include an apparatus installation portion392in which an apparatus such as a compressor and the like may be installed on one side of the connection duct35. The apparatus installation portion392may include a compressor installation portion393in which the compressor45may be installed, a fan installation portion391in which a blower fan may be seated, and a driver installation portion392ain which a driver may be installed In one example, the water collector37may be further disposed in the apparatus installation portion392. The water collector37may not be disposed beneath the connection duct35, but may be separated by a partition wall38and disposed at one side of the connection duct35. In one example, when the fan39is driven in an opposite direction and a flow direction of the air is changed, positions of the air discharge duct31and the air supply duct33may be interchanged and positions of the heat-emitter41and the heat-absorber43may be interchanged. Referring toFIG.5B, the connection duct35may include a heat-absorber mounting portion372in which the heat-absorber41may be installed, and a heat-emitter mounting portion523in which the heat-emitter may be mounted. As the drying process proceeds, when the heat-exchanger4is driven, the air passing through the heat-absorber41is cooled and the moisture contained therein is condensed. When the moisture is condensed, water may accumulate in the vicinity of the heat-absorber mounting portion372as shown. A vertical level of a bottom face of the connection duct35may decrease toward the water collector37. The partition wall38may be provided to block the air flowing along the connection duct35from flowing to the apparatus installation portion392, but may include a communication hole381defined therein to allow the condensed water to flow to the water collector37. The water condensed to the communication hole381may flow to the water collector37and be collected in the water collector37. The washing pump61may be installed in the water collector37. To this end, the water collector37may further include a pump fixing portion535in which the washing pump61is seated and fixed. The pump fixing portion535may be provided to space a bottom face of the washing pump61and the water collector37from each other by a predetermined distance. Therefore, when sufficient water is collected in the water collector37, the water may be removed by driving the washing pump61. The flow passage switcher63may be controlled to spray the supplied water to the sprayer65or to flow the supplied water to the water storage7. FIGS.6A to6Cshow an embodiment of the water supplier300of the laundry treating apparatus of the present disclosure. Referring toFIG.6A, the steam supplier200may be provided to be fixed to the side panel14for space utilization. That is, the steam supplier200may be disposed adjacent to an edge of the cabinet1. The internal water supplier400and the external water supplier500may be arranged adjacent to the steam supplier200. Therefore, a flow passage structure of the steam supplier200and the water supplier300may be shortened as much as possible. Typically, because the external water supplier500is disposed adjacent to the rear panel13or the second support19, the steam supplier200may also be disposed adjacent to the rear panel13. The internal water supplier400may include the water tank420that stores the water therein, the water pump430that provides the power to supply the water stored in the water tank420to the steam supplier200, and the tank housing410that defines therein the space in which the water pump430and the water tank420are installed. The tank housing410may be formed in a box shape with an open top face, and may extend in a front and rear direction of the cabinet such that the water pump430is disposed in a front portion of the cabinet1and the water pump430is disposed in a rear portion of the cabinet. The tank housing410may include a tank mounting portion411in which the water tank420is detachably mounted, and a pump mounting portion412in which the water pump430may be mounted. The tank mounting portion411and the pump mounting portion412are formed in a recess shape to prevent the water leaked from the water tank420or the water pump430from leaking to the drum2and the like. In addition, the tank housing410may further include a partition wall413that partitions the tank mounting portion411and the pump mounting portion412. Therefore, the water tank420may be easily mounted in and separated from the tank housing410. The partition wall413may also serve to collect residual water in the tank mounting portion411or residual water in the pump mounting portion412so as not to flow to other places. An extension pipe416for communicating the water tank420and the water pump430to each other may be installed on the partition wall413. Because a valve structure may be installed on the extension pipe416, even when the water tank420is separated from the tank mounting portion411, the leakage of water may be prevented. The extension pipe416may be provided to extend from the partition wall413toward the water pump430or the water tank420. In one example, the tank housing410may be formed such that the pump mounting portion412is disposed closer to the steam supplier200than the tank mounting portion411. Therefore, a flow passage from the water tank420to the steam supplier200may be simplified. The tank housing may be formed such that the tank mounting portion411and the pump mounting portion412are arranged along the front and rear direction of the cabinet. To this end, the tank housing may include a panel coupling portion417that is provided to extend from one side of the tank housing to be coupled to the side panel14. The panel coupling portion417may include a plurality of panel coupling portions extending from an outer peripheral surface of the tank housing410to be seated on the side panel14. The panel coupling portion417is a separate fastening member, which may be fixed on the side panel14. In one example, even when the tank housing410is fixed with the panel coupling portion417, the tank housing410needs to support not only the water tank420and the water pump430but also a load of the water collected in the water tank420. Therefore, the laundry treating apparatus of the present disclosure may further include a support bar440to fix the tank housing410to the cabinet. The support bar440may be formed in a rod shape having both ends respectively coupled to the front panel11and the rear panel12. Therefore, the support bar440may not only support the load of the tank housing410, but also fix the front panel11and the rear panel12. The support bar440may be spaced apart from the side panel14by a predetermined distance to be coupled to the front panel11and the rear panel12. The tank housing410may further include a support coupling portion415that extends from one face of the tank housing410toward the support bar440and coupled to the support bar440. The support coupling portion415may include a plurality of support coupling portions that are arranged to be spaced apart from each other along a longitudinal direction of the tank housing410and are in a rib shape. When the support coupling portion415extends from one face of the tank housing410, the panel coupling portion417may be provided to extend from a face opposite to one face of the tank housing410. That is, the support coupling portion415and the panel coupling portion417may have opposite extension directions. The support coupling part415may be seated on the support bar440and coupled with a separate fastening member. Therefore, even when vibration is transmitted to the tank housing410such as when the water pump430is driven, the tank housing410may be fixed inside the cabinet100. The support bar440may include a plurality of support holes441along a longitudinal direction such that the fastening member may be coupled to the support bar440. A coupling member705may be selectively coupled to the support hole441. Because of the support bar440, a width of the tank housing410may be prevented from being excessively extended, and the tank housing410may be installed adjacent to the steam supplier200. In one example, at least a portion of one end of the tank housing410may be seated on and supported by the steam supplier200. To this end, the steam supplier200may further include a support groove capable of supporting one edge of the tank housing410. The internal water supplier400may include a pump discharge pipe433that discharges the water from the pump housing430to the steam supplier200. The external water supplier500may include a direct water valve520mounted on the second support19or the rear panel12, and a direct water pipe510provided to supply the water from the direct water valve520to the steam supplier200. The direct water pipe510may extend from the rear panel12to the steam supplier200, and the direct water valve520may be provided to open and close the direct water pipe510. In addition, the direct water valve520may be provided to be seated on the rear panel12or the second support19and exposed to the outside, and the direct water pipe510may be provided to extend from the direct water valve520toward the steam supplier200. Therefore, the external water supplier500may supply the water to the steam supplier200in a direct water scheme from the external water supply source. The steam supplier200may be provided to receive the water from the external water supplier500and the internal water supplier400independently. However, when the steam supplier200is provided to receive the water through respective pipes, a separate shape of the steam supplier200must be manufactured, and the flow passage and the control method may become complicated. To this end, the laundry treating apparatus of the present disclosure may further include a combining portion600that couples the direct water pipe510and the pump discharge pipe433to be combined with each other. The combining portion600may be provided to collect both the water stored in the internal water supplier400and the water supplied from the external water supplier500in the direct water scheme. In addition, the combining portion600may be provided to deliver the supplied water to the steam supplier200. The combining portion600may be provided as a three-way valve, or may be provided in a shape of a combined pipe in which three pipes are combined. When the combining portion600is formed in the pipe shape, each of the external water supplier500and the internal water supplier400may have a check valve to prevent backflow. Specifically, a direct water check valve511provided to open the direct water pipe510in one direction may be installed on the direct water pipe510, and a discharge check valve434provided to open the pump discharge pipe433in one direction may be installed on the pump discharge pipe433. Therefore, the water supplied to the direct water pipe510may be prevented from flowing back to the water pump430, and the water supplied to the pump discharge pipe433may be prevented from flowing back to the direct water valve510. In one example, the combining portion600has a considerable self load when being provided as the valve or the combined pipe. In addition, when the water passes through the combining portion600, a considerable weight may be applied to the combining portion600. Accordingly, the combining portion600may be provided to be seated on the support bar440. The combining portion600and the support bar400are coupled with each other with a separate fixing member, so that the combining portion600may be prevented from being separated from the support bar400. Because the combining portion600is seated on the support bar400, positions of the direct water pipe510and the pump discharge pipe433may also be stably fixed. In one example, the steam supplier200may include a water guide pipe220provided to be connected to the combining portion600to receive the water from the water supplier300, a steam generator210that generates the steam by receiving the water from the water guide pipe220, and a steam guide pipe230that may guide the steam generated from the steam generator210to the drum2or the circulating flow passage3. The steam guide pipe230may be connected to the air inlet198by communicating with the second support. At least a portion of the steam guide pipe230is also supported by the support bar400to prevent the steam guide pipe230from contacting the rotating drum2. In one example, the steam controller800that controls the water supplier300and the steam supplier200may be installed on the side panel14. The steam controller800may be configured separately from the control panel117and prevent overloading of the main controller. In addition, because the steam controller800is separately configured, the steam supplier200and the water supplier300may be added to the laundry treating apparatus such as an existing dryer in a modular form. Therefore, the steam controller800may be designed to additionally supply the steam to the existing dryer. The steam controller800may include an installation panel810fixed to the side panel14, a control panel820that is seated on the installation panel810and controls at least one of the steam supplier200and the water supplier300. The control panel820may be provided to control both the direct water valve520and the water pump430, and may also be provided to control the steam generator210. In one example, the tank housing410may include a mounting sensor capable of sensing whether the water tank420is mounted. For example, the mounting sensor may be configured as a pressure sensor or the like. In addition, a water level sensor capable of sensing a water level of the water tank420may be further configured. For example, the water level sensor may be configured as a weight sensor. The mounting sensor or the water level sensor may also be controlled by the control panel820and may be configured to transmit a signal to the control panel820. In one example, the control panel820may indirectly identify the water level of the water tank420by temporarily driving the water pump430to sense a load applied to the water pump430. Referring toFIG.6B, the rear panel12or the second support19may include an installation portion121on which the direct water valve520is installed. The installation portion121may be formed in a shape of protruding concavely from one face of the rear panel12or the second support19. Therefore, the installation portion121may secure durability for the direct water valve520to be coupled thereto. The direct water valve520may include an exposed pipe521protruding or exposed to the outside of the rear panel12or the second support19, and a coupling bead524for coupling the exposed pipe521to the installation portion121. The installation portion121may include a water supply hole122through which the exposed pipe521may pass, and further include a coupling hole123to which the coupling bead524may be forcibly fitted or coupled. Accordingly, a load of the direct water valve520may be supported on the installation portion121. Referring toFIG.6C, the direct water valve520may include an opening and closing body522that selectively opens and closes the exposed pipe521, a body control line523that connects the opening and closing body522and the steam controller800with each other, and a receiver525to which the body control line523is coupled to transmit a signal to the opening and closing body. The opening and closing body522may include a plurality of opening and closing bodies. For example, the opening and closing body522may include a first opening and closing body522afor opening and closing the exposed pipe521, and a second opening and closing body522bfor selectively opening and closing the first opening and closing body522a. In addition, when the exposed pipe521includes a plurality of exposed pipes, the first opening and closing body522aand a third opening and closing body522bmay selectively open and close the exposed pipes, respectively. Therefore, hot and cold water may be delivered to the exposed pipes, respectively. In one example, the second support19may further include an exposed hole124to repair or replace the direct water valve520. The direct water valve520is spaced apart from the drum2, so that the direct water valve520is not interfered even when the drum2rotates. FIG.7shows a flow passage structure of internal water supplier400of the present disclosure. The water tank420may be provided with a discharge portion4214for discharging the water. The discharge portion4214may be connected to the water pump430through the extension pipe460, and the water pump430may supply the water to the steam supplier200through the water guide pipe220. The discharge portion4214may be provided to be detachable from the extension pipe460. The steam supplier200may include a steam housing211that generates the steam by receiving the water, a water inlet pipe212extending from the steam housing211to receive the water from the steam housing211, a steam discharge pipe213provided to extend to discharge the steam generated in the steam housing211to the outside and be coupled with the steam guide pipe230, a blocking valve260provided to adjust opening and closing of the steam guide pipe230, and a spray nozzle250disposed at a distal end of the steam guide pipe230to spray the steam. A water level sensor215and a temperature sensor216may be further arranged in the steam housing211. The steam controller800may receive signals from the water level sensor215and the temperature sensor216and control the water pump430or the blocking valve260. The water accommodated in the water tank420may pass through the water pump430and be supplied to the steam housing211. The water may be changed to the steam in the steam housing211in various ways, and may be selectively sprayed to the spray nozzle250by the opening and closing of the blocking valve260. In one example, the steam housing211may further include a pass pipe214capable of discharging the residual water in the steam housing211or discharging the water or the steam on trial. The pass pipe214may be provided to partially discharge the water or the steam inside the steam housing to discharge the water remaining in the steam housing211or inspect whether the steam housing operates smoothly, and may exist separately from the water inlet pipe212and the steam discharge pipe213. The pass pipe214may extend from a bottom of the steam housing211such that the water or the steam may be discharged by a self load thereof. However, the pass pipe214may be provided as any component and disposed at any position as long as the water or the steam is able to be discharged. FIG.8shows a structure of the steam supplier. The steam supplier200includes the steam generator210in which the water is accommodated, a heater217mounted inside the steam generator210, a water level sensor260that measures a water level of the steam generator210, and a temperature sensor270that measures a temperature of the steam generator210. The water level sensor260is usually composed of a common electrode262, a low water level electrode264, and a high water level electrode266to sense high and low water levels by whether the common electrode262and the high water level electrode264are electrically connected to each other or whether the common electrode262and the low water level electrode266are electrically connected to each other. The water guide pipe220for supplying the water may be connected to one side of the steam generator210, the steam guide pipe230for discharging the steam may be connected to the other side of the steam generator210, and the nozzle250in a predetermined shape may be disposed at the distal end of the steam guide pipe230. One end of the water guide pipe220may be connected to the combining portion600, and the nozzle250of the steam guide pipe230may be connected to the drum2or the circulating flow passage3. A barrel heating scheme in which a certain amount of water accommodated in the steam generator210of a predetermined size is heated with the heater217to generate the steam may be applied to the steam supplier200of the laundry treating apparatus of the present disclosure. However, the steam supplier200of the laundry treating apparatus of the present disclosure may use any apparatus capable of generating the steam as the steam generator. For example, a scheme of installing a heater directly around a water supply hose along which the water passes, that is, heating the water without accommodating the water in a predetermined space (hereinafter referred to as a “pipe heating scheme” for convenience) may be used, and an ultrasonic humidification scheme may also be applied. The pass pipe214may be provided to be usually shielded by a separate opening and closing member. Therefore, the water or the steam may be prevented from being discharged arbitrarily. FIG.9shows an embodiment in which the laundry treating apparatus of the present disclosure sterilizes the exterior of the drum. Referring toFIG.9, in the laundry treating apparatus of the present disclosure, the steam supplier200may be coupled to the side panel14, and the steam supplier200may be coupled to the installation panel810and fixed to the side panel14. The steam supplier200may be installed adjacent to the edge or a vertex of the cabinet, thereby maximizing space utilization. The second support19may be installed to be coupled to the rear panel12. Even when the second support19is spaced apart from the rear panel12, the second support19may be supported by the rear panel12and fixed. The second fixed body191of the second support may be disposed in parallel with a rear face of the drum to not only support the drum and but also shield the rear face of the drum. The second support body195is disposed on the second fixed body191in a shape corresponding to an outer circumferential surface of the drum2to not only seat the drum2inside the cabinet but also seal the drum2. A groove or the like that is recessed inward or outward may be installed in the second support body195in consideration of a flow or a noise of hot air. The air inlet198may be provided to pass through the second fixed body191to be in communication with the rear face of the drum2, and may be provided to be in communication with a distal end of the air supply duct33. The steam supply pipe230of the steam supplier200may be provided to be in communication with the air supply duct33or the air inlet198, thereby delivering the steam into the drum2. The roller199may include a plurality of rollers supporting the outer circumferential surface of the drum2to be rotatable, and spaced apart from each other. A distal end of the air discharge duct31may be connected to a front portion of the base39, the heat-exchanger4may be seated in the connection duct35connected to the air discharge duct31, and the washer6provided to shield the heat-exchanger4from the outside may be disposed. The washer6may form a top face of the connection duct35to partially define the flow passage along which the air introduced into the connection duct35passes. The first guide653seated on the connection duct35may be disposed, and the second guide655may be disposed in front of the first guide653. The duct through-hole651that passes through the first guide653to be in communication with the heat-exchanger may be defined on a top face of the first guide653. The sprayer supply pipe631may be fixed to the duct through-hole651. The duct through-hole651may be defined at a position corresponding to the heat-absorber41, and may include a plurality of duct through-holes arranged to be spaced apart from each other along a longitudinal direction of the heat-absorber41. The sprayer supply pipe631may also be branched into a plurality of sprayer supply pipes from the flow passage switcher63and the plurality of sprayer supply pipes may be respectively coupled to the plurality of duct through-holes651. The sprayer supply pipes631are controlled to be opened by the flow passage switcher63sequentially in an outward or inward direction to wash the heat-absorber41. In one example, the base39may be disposed on the side of the connection duct33to define a space in which apparatuses are installed. A compressor45that supplies a refrigerant to the heat-exchanger4and a driver28that provides power for directly rotating the drum may be installed on the side of the connection duct33. A cooling fan29that cools the compressor45or the driver28by injecting or discharging air may be installed in the driver28. The water collector may be installed on the bottom face of the base39, so that the condensate generated in the heat-exchanger4may be collected. The water collector may flow the water to the flow passage switcher63or the water storage7by the washing pump61. The washing pump61may be coupled to a top of the water collector to seal the water collector. The water collector water level sensor91may sense a water level inside the water collector or a water level of the washing pump61to provide information for determining whether the condensate of a water level equal to or higher than a set water level is collected. The laundry treating apparatus of the present disclosure may further include a sterilizer900provided to supply the water or the steam to the outside of the drum. The sterilizer900may be provided to supply the high-temperature water or the steam to the outside of the drum2. That is, the sterilizer900may not be provided to sterilize the interior of the drum, but may be provided to sterilize at least a portion of the space between the outer circumferential surface of the drum2and an inner peripheral surface of the cabinet1. The sterilizer900may be provided to supply the high-temperature steam or the high-temperature water (the hot water) to at least a portion of the space defined between the outer face of the drum and the inner face of the cabinet. The high temperature may correspond to a temperature required to eradicate bacteria. The high temperature may be a temperature corresponding to a sterilization temperature. The bacteria are known to be usually eradicated when existing in an environment of a temperature equal to or higher than 50 degrees for 10 minutes or longer. Therefore, the sterilization temperature may be set to be equal to or higher than 50 degrees Celsius or 60 degrees Celsius. The sterilizer900may be provided to receive the water from the water supplier300. In this connection, because the supplied water must be heated to the sterilization temperature, it is preferable that the sterilizer900receives the water from the steam supplier200. The sterilizer900may include a high-temperature pipe910that is in communication with the steam supplier200to directly receive the water heated by the steam supplier200. The high-temperature pipe910may extend from the steam supplier200, and have one end coupled to the pass pipe214. The pass pipe214may extend from the bottom of the steam generator210. Therefore, even when the steam is not supplied to the pass pipe214, the high-temperature water may be supplied to the pass pipe214. Accordingly, the sterilizer900may perform the sterilization using not only the steam but also the high-temperature water. The sterilizer900may further include a sterilization valve920that adjusts opening and closing of the high-temperature pipe. The sterilization valve920may be controlled to determine whether to supply the water or the steam to the high-temperature pipe910. The sterilization valve920may be disposed on the steam supplier200itself. However, the sterilization valve920may be separately disposed outside the steam supplier200such that a structure of the conventional steam supplier200may be used as it is. The sterilization valve920may be disposed anywhere as long as the sterilization valve920may be disposed outside the drum2. As shown, the sterilization valve920may be mounted to be seated on the washer6. Because the sterilization valve920must support a load of the supply pipe910and a load of the water or the steam flowing through the supply pipe910, it is preferable that the sterilization valve920is firmly fixed to an inner face of the washer6or the cabinet1. The cabinet1may include a gripping portion142capable of fixing a portion of the supply pipe910or being gripped. The gripping portion142may protrude from or be recessed into the side panel14to accommodate a portion of the supply pipe910. Therefore, even when the supply pipe910is extended to be relatively long, the supply pipe910may be stably maintained to be coupled to the pass pipe214. The sterilization valve920may include a coupling zone924fixed or coupled to the washer6or the cabinet1, and an opening and closing portion923supported by the coupling zone924to open and close the supply pipe910. The sterilizer900may further include a sensor unit that senses a state of an interior of the high-temperature pipe, the sterilization valve, or the sterilization pipe. The sensor unit may include at least one of a temperature sensor921capable of sensing a temperature of the water passing through the supply pipe910, and a pressure sensor922capable of sensing a pressure inside the supply pipe910. The temperature sensor921and the pressure sensor922may be connected to and controlled by the steam controller800, and the steam controller800may be provided to control the opening and closing portion923. Because the sterilization valve920is able to be controlled by the steam controller800, the temperature sensor921or the pressure sensor922may be installed on the sterilization valve920. The sterilization valve920may be provided to open the supply pipe910when the temperature of the water or the steam delivered to the supply pipe910is the sterilization temperature. The sterilization valve920may be controlled to close the supply pipe910when the temperature of the water or the steam supplied to the supply pipe910is equal to or lower than the sterilization temperature, and may wait until the water supplied from the steam supplier200to the supply pipe910is heated to have the temperature equal to or higher than the sterilization temperature. The sterilization valve920may be provided to be opened when the temperature of the water or the steam supplied to the supply pipe910is equal to or higher than the sterilization temperature. In one example, the sterilization valve920may be controlled to close the supply pipe910when a pressure of the supplied water or steam is equal to or lower than a reference pressure. The water or the steam may be heated by the steam supplier200until a pressure of water or steam at the supply pipe910becomes the reference pressure. The reference pressure may be a pressure when the temperature of the water is equal to or higher than the sterilization temperature. Alternatively, the reference pressure may be a pressure when the water is completely converted to the steam. In one example, the sterilizer900may include a sterilization pipe930that supplies the water from the sterilization valve920to the outside of the drum. The sterilization pipe930may be provided to supply the high-temperature water or steam to a region requiring the sterilization. For example, the sterilizer900may extend from the sterilization valve920to the washing pump61to deliver the high-temperature water or steam to the washing pump61. The high-temperature water or steam may be supplied to the washing pump61to sterilize the interior of the water collector. In addition, the washing pump61may deliver the high-temperature water or steam to the flow passage switcher63to supply the high-temperature water or steam to the heat-exchanger4. Therefore, the heat-exchanger4may also be sterilized. In one example, the sterilizer900may open the sterilization valve920so as to supply the high-temperature water or steam during the sterilization time. To this end, the steam supplier200may receive sufficient water to supply the high-temperature water or steam from the water supplier300to the sterilization pipe930during the sterilization time. In addition, the sterilization valve920may adjust an opening degree of the water supply pipe910so as to continuously supply the water during the sterilization time based on the water level of the steam supplier200. As a result, the sterilizer900may be provided such that the water supplied from the steam supplier200is supplied to the region between the outer circumferential surface of the drum2and the inner face of the cabinet1to sterilize the interior of the region. The high-temperature water and steam supplied to the region between the outer circumferential surface of the drum2and the cabinet1may be collected again into the water collector37after the sterilization is completed, and then collected in the water storage7through the washing pump61. In one example, because the sterilizer900extends from the steam supplier200, the supply pipe910is positioned above the drum2. In addition, because the sterilizer900mainly performs the sterilization at the washing pump61, the water collector37, and the heat-exchanger4, the sterilization pipe930extends downward than the drum2. Therefore, it may be important to fix the supply pipe910and the sterilization pipe930so as not to interfere with the rotating drum2. The sterilizer900of the laundry treating apparatus of the present disclosure may be disposed to be spaced apart from the outer face of the drum2to prevent the contact of the interference between the sterilizer900and the drum. The sterilizer900may be at least partially fixed to the cabinet or the circulating flow passage so as to be spaced apart from the drum. At least a portion of the high-temperature pipe190may be fixed to the cabinet to extend from the steam supplier200. In addition, the sterilization valve920may be coupled to the circulating flow passage3to be coupled to the inner face of the cabinet or may be as close as possible to the inner face of the cabinet1. The cabinet1may further include the gripping portion142that fixes the high-temperature pipe910to the cabinet1and separates the high-temperature pipe910from the drum. For example, it is preferable that the sterilization valve920is fixed on the side panel14and a portion of the supply pipe910is coupled to or attached to the side panel14. At least a portion of the sterilization pipe930may be disposed to be seated in the circulating flow passage3. The sterilization pipe930may extend to a sterilization region while at least partially being coupled or attached to the component of the base39such as the heat-exchanger4, the washer6, or the like. The supply pipe910may be attached to and extended along one face of the side panel14. The side panel14may have the gripping portion142to fix the supply pipe910, thereby blocking contact between the supply pipe910and the drum2. In one example, the sterilization valve920may be fixed to the circulating flow passage3or the washer6to prevent the sterilization pipe930from contacting the drum2in advance. In addition, the sterilization pipe930may extend along a surface of the circulating flow passage3or the washer6. Therefore, it is possible to prevent the sterilizer900from interfering with the rotating drum2in advance. In one example, when the heater is additionally operated in the steam supplier200during the drying process, an overload may occur. Accordingly, the sterilizer900may be operated when the drying process is terminated. In addition, the sterilizer900may be driven when the heat-exchanger4is not operated even during the drying process, and may be driven when the operation of the heat-exchanger4is completed during the drying process. In one example, the sterilizer900may be provided to supply only the water, not the steam, to the region requiring sterilization. A plurality of components are installed between the inner face of the cabinet1and the outer face of the drum2. The components may include a product made of metal, and may include an electronic product through which electricity may flow. Therefore, it may not be desirable to increase the humidity in the space between the inner face of the cabinet1and the outer face of the drum2. In addition, the water has greater thermal energy than the steam even at the same temperature, and has a superior contact force. Therefore, it may be more advantageous for the sterilization to contact the sterilization region with the high-temperature water than to spray the steam into the sterilization region. Furthermore, the steam may have a smaller specific gravity than the air inside the cabinet1. Therefore, even when the steam is sprayed into a desired space, the steam may be unintentionally lifted, so that an intended sterilization effect may not be achieved. In addition, the steam generated by the steam supplier200may be unintentionally lifted upward of the steam generator210. Furthermore, because the water must always be located below the steam supplier200, it may be difficult to supply the steam to the sterilization valve920located below the steam supplier200. As a result, the steam supplier200may heat the high-temperature water only until the high-temperature water becomes the steam and supply the steam to the sterilizer900, and the sterilizer900may be provided to supply only the high-temperature water. In one example, the sterilizer900may be provided to spray the vapor obtained by heating and vaporizing the high-temperature water or the steam into the sterilization space as needed. In this case, the steam supplier200may heat the water to generate the vapor. In addition, when it is difficult for the steam supplier200to directly supply the steam to the sterilizer900, the sterilization valve920may be provided as an expansion valve. Accordingly, the sterilization valve920may be provided to expand the high-temperature water and change the high-temperature water into relatively low-temperature steam or vapor. FIG.10shows a specific region that may be sterilized by the sterilizer900. The sterilizer900may be provided to supply the heated water or the steam to at least one of the water collector37and the water storage7. In addition, the sterilizer900may be provided to supply the heated water or the steam to the washer6. The sterilizer900may be provided to supply the heated water or the steam to at least one of the washing pump61, the flow passage switcher63, and the sprayer65. The sterilization pipe930may be provided to extend from the sterilization valve920to one or more of the water collector37, the flow passage switcher63, and the sprayer65to supply the heated water or the steam. The present disclosure sterilization pipe930of the sterilizer may include a pump sterilization pipe931provided to directly supply the high-temperature water or the steam to the washing pump61. The pump sterilization pipe931may be provided to extend from the sterilization valve920to the washing pump61. The pump sterilization pipe931may receive the high-temperature water or the steam through the sterilization valve920and directly supply the high-temperature water or the steam to the washing pump61. Therefore, the washing pump61, which is usually exposed to the condensate, may be primarily sterilized and washed immediately. The high-temperature water or the steam supplied to the washing pump61is delivered to the water collector37as it is. Therefore, the water collector37may also be sterilized and washed secondary. In one example, when the high-temperature water is supplied to the washing pump61, the sterilization valve920may be controlled to be opened. In addition, when the water in the water collector37is supplied from the washing pump61to the flow passage switcher63, the sterilization valve920may be controlled to be closed. The sterilizer900may indirectly sterilize the flow passage switcher63, the sprayer65, and the heat-exchanger4by supplying the high-temperature water or the steam to the washing pump61. In addition, the water storage7may also be sterilized by supplying the high-temperature water or the steam to the water storage7under the control of the flow passage switcher63. Accordingly, the sterilizer900may sterilize an entirety of the flow passage through which the condensate is supplied. However, the sterilizer900may be provided to intensively sterilize a specific region with a small amount of water or steam. For example, the sterilization pipe930may include a heat sterilization pipe932provided to supply the high-temperature water or the steam directly to the heat-exchanger4. The heat sterilization pipe932may be provided to extend from the sterilization valve920to the washer6. Specifically, the heat sterilization pipe932may be provided to communicate with the washer6positioned at a corresponding portion of the heat-exchanger4. For example, the heat sterilization pipe932may be provided to extend to the guide through-hole654. The heat sterilization pipe932may be provided to extend to a corresponding position of the heat-absorber41. This is because the heat-absorber41is a component that firstly comes into contact with the air discharged from the drum2, and a component that is easily exposed to moisture as the water is firstly condensed in the air. Therefore, the heat-absorber41may be immediately sterilized with the high-temperature water or the steam supplied from the heat sterilization pipe932. In addition, when sufficient high-temperature water or steam is supplied from the heat sterilization pipe932, the high-temperature fluid may sterilize the connection duct35and the washing pump61together. In one example, the heat sterilization pipe932may be provided to supply the high-temperature water or the steam to one of the components of the sprayer65as well as the guide through-hole. Because the sprayer65receives the condensate through the flow passage switcher63, the sprayer65is always easy to be exposed to the moisture. Accordingly, the heat sterilization pipe932may be in communication with the sprayer65itself so as to sterilize the sprayer65first to supply the high-temperature water or the steam. As a result, the heat sterilization pipe932may directly supply the high-temperature water or the steam to the heat-exchanger4to not only wash, but also sterilize the heat-exchanger4, and may secondarily sterilize the washer6. The sterilization pipe930may include a switch sterilization pipe933provided to supply the high-temperature water or the steam to the flow passage switcher63. The high-temperature water or the steam may be supplied to perform the sterilization starting from the flow passage switcher63. In addition, the high-temperature water or the steam may be supplied to the water storage7under the control of the flow passage switcher63to sterilize the water storage7, and may be supplied to the sprayer65to sterilize at least one of the sprayer65, the heat-exchanger4, and the washing pump61. The sterilization pipe930may include one or more or all of the pump sterilization pipe931, the heat sterilization pipe932, and the switch sterilization pipe933. When the sterilization pipe930includes two or more of the pump sterilization pipe931, the heat sterilization pipe932, and the switch sterilization pipe933, the sterilization valve920may be provided to open only one of the pump sterilization pipe931, the heat sterilization pipe932, and the switch sterilization pipe933. For example, the sterilization valve920may be formed as a three-way, a four-way valve, or the like. In one example, the high-temperature water rather than the high-temperature steam may be supplied to the pump sterilization pipe931, the heat sterilization pipe932, and the switch sterilization pipe933. Because the water supplied to the pump sterilization pipe931, the heat sterilization pipe932, and the switch sterilization pipe933may be cooled while passing through many regions, the high-temperature water may be more beneficial for the sterilization than the steam. In particular, when the condensate is collected in the water collector37, because the steam may be cooled or condensed as soon as the steam contacts the condensate, it is preferable that the high-temperature water is supplied to the sterilization pipe930. To this end, the steam supplier200may heat the water supplied from the water supplier300such that water of a temperature equal to or higher than 50 degrees and lower than 100 degrees is supplied to the sterilization pipe930. In one example, the sterilization pipe930may further include an internal sterilization pipe934provided to sterilize the space between the outer face of the drum2and the inner face of the cabinet1. The internal sterilization pipe932may be exposed to the outside of the drum2and extend from the sterilization valve920. As long as the internal sterilization pipe932does not come into contact with the rotating drum2, the internal sterilization pipe932may extend anywhere into the cabinet1. The internal sterilization pipe932may supply the high-temperature water or the steam to the interior of the cabinet1to sterilize an entirety of the interior of the cabinet1. In this connection, because there are the plurality of electronic products such as the compressor, the driver, and the like inside the cabinet1, it is preferable that the high-temperature steam is supplied to the internal sterilization pipe932. The steam supplied to the internal sterilization pipe932may be used to remove the bacteria or fungi that may propagate or be generated inside the cabinet1. FIG.11shows an embodiment of a method for controlling the sterilizer900. When a sterilization mode for heating the sterilizer900is performed, a heating operation G2of heating the water in the steam supplier200may be performed. In order to perform the heating operation G2, a water supply operation G1of supplying the water from the water supplier300to the steam supplier200may be preceded. When the water is able to be supplied from the external water supplier500, the water supply operation G1may be controlled to supply the water from the external water supplier500to the steam supplier200. This is because the water supplied from the external water supplier500is relatively fresher than the water from the internal water supplier400and is suitable for the sterilization. In one example, because the water contained in the internal water supplier400is also heated in the steam supplier200, a sterilization effect may be guaranteed. Accordingly, the water in the internal water supplier400may be supplied to the steam supplier200. In one example, the water supply operation G1may supply the water of an amount greater than an amount required for generating, by the steam supplier200, the steam to the steam supplier200. For example, the water may be supplied to fill the steam supplier200to a maximum water level. This is because the amount of the water required for the sterilization may be greater than an amount of the steam required for the drying process, and the high-temperature water, not the steam, is required to be supplied to the sterilizer900. When a sufficient amount of water required for the sterilization is supplied from the steam supplier200and heated in the sterilizer900, a first sensing operation G3of sensing whether the temperature of the water is equal to or higher than the sterilization temperature (a first temperature) may be performed. The first sensing operation G3may be an operation of sensing whether the temperature inside the steam supplier200is equal to or higher than the sterilization temperature, or sensing whether a temperature inside the sterilization valve920or the temperature of the water delivered into the supply pipe910or the sterilization valve920is equal to or higher than the sterilization temperature. When the temperature is equal to or higher than the sterilization temperature, an opening operation G4in which the sterilization valve920opens the supply pipe910may be performed. The sterilizer900may be controlled to supply the water or the steam only when the temperature of the heated water is equal to or higher than the sterilization temperature. The sterilization valve920or the steam supplier200may be controlled by the steam controller800. A termination determination operation G5of sensing whether a time ensuring the sterilization has elapsed after the sterilization valve920is opened or whether the sterilization mode is terminated may be performed. When a sterilization time (e.g., 10 minutes) for securing the sterilization elapses or the sterilization mode is terminated in the termination determination operation G5, a termination operation of closing the sterilization valve920and terminating the driving of the steam supplier200may be performed. Therefore, the high-temperature water or the steam may be discharged to the sterilization pipe930to sterilize at least a portion of the region outside the drum. Therefore, not only the propagation of the bacteria or the fungi inside the cabinet1may be prevented, but also a possibility of an occurrence of odor and contamination of the laundry may be prevented. FIG.12shows another method for controlling the sterilizer900. The sterilizer900supplies the high-temperature water or the steam at the sterilization temperature (or the first temperature). However, as described above, when sterilizing one of the water collector37, the washing pump61, the heat-exchanger4, and the water storage7, the high-temperature water may be more suitable than the steam. Accordingly, the sterilizer900may be controlled such that the water with the temperature higher than the sterilization temperature but lower than a vaporization temperature (or a second temperature) may be supplied to the sterilizer930. When the sterilization mode of heating the sterilizer900is performed, a heating operation G2of heating the water in the steam supplier200may be performed. In order to perform the heating operation G2, the water supply operation G1of supplying the water from the water supplier300to the steam supplier200may be preceded. When the water is able to be supplied from the external water supplier500, the water supply operation G1may be controlled to supply water from an external water supplier500to the steam supplier200. This is because the water supplied from the external water supplier500is relatively fresher than the water from the internal water supplier400and is suitable for the sterilization. In one example, because the water contained in the internal water supplier400is also heated in the steam supplier200, a sterilization effect may be guaranteed. Accordingly, the water in the internal water supplier400may be supplied to the steam supplier200. In one example, the water supply operation G1may supply the water of an amount greater than an amount required for generating, by the steam supplier200, the steam to the steam supplier200. For example, the water may be supplied to fill the steam supplier200to a maximum water level. This is because the amount of the water required for the sterilization may be greater than an amount of the steam required for the drying process, and the high-temperature water, not the steam, is required to be supplied to the sterilizer900. When a sufficient amount of water required for the sterilization is supplied from the steam supplier200and heated in the sterilizer900, the first sensing operation G3of sensing whether the temperature of the water is equal to or higher than the sterilization temperature (the first temperature) may be performed. When the temperature of the water is equal to or higher than the sterilization temperature, a second sensing operation G3-1of sensing whether the temperature of the water is equal to or higher than the vaporization temperature (the second temperature) may be performed. In the second sensing operation G3-1, when the temperature of the water is equal to or lower than the vaporization temperature, the opening operation G4of opening the sterilization valve may be performed. That is, the opening operation G4may be understood as an operation in which the sterilization valve920is opened when the water heated by the steam supplier200or supplied to the supply pipe910is equal to or higher than the sterilization temperature and is equal to or lower than the vaporization temperature. For example, the opening operation G4may be controlled to open the sterilization valve920when the temperature of the water is equal to or higher than 55 degrees and lower than 100 degrees. The sterilizer900may be controlled to supply the water or the steam when the temperature of the heated water is equal to or higher than the sterilization temperature and equal to or lower than the vaporization temperature at which the water is vaporized. In one example, in the second sensing operation G3-1, when the temperature of the water is equal to or higher than the vaporization temperature, a heating stopping operation G3-2of stopping driving of the steam supplier200may be performed. The heating stopping operation G3-2may be performed until the temperature of the water decreases to be equal to or lower than the vaporization temperature. For example, it may be understood that the first sensing operation G3and the second sensing operation G3-1are performed again. When the temperature of the supplied water or the steam is sensed to be equal to or higher than the vaporization temperature, the sterilizer900may be controlled to stop supplying the water or the steam and the steam supplier200may be controlled to stop heating the water. In one example, when the sterilization valve G4is opened, the high-temperature water is supplied to the sterilization region through the sterilization pipe930. Even at this time, the heating in the steam supplier200may be continued. Accordingly, while the sterilization valve G4is opened, a third sensing operation G4-1of sensing whether the temperature of the water flowing through the supply pipe910is equal to or higher than the vaporization temperature may be performed. In one example, the termination determination operation G5of sensing whether a time ensuring the sterilization has elapsed after the sterilization valve920is opened while the temperature of the water discharged to the sterilization pipe930or the water supplied to the supply pipe910is equal to or lower than the vaporization temperature during the third sensing operation G4-1or whether the sterilization mode is terminated may be performed. When the sterilization time (e.g., 10 minutes) for securing the sterilization elapses in the termination determination operation G5, the termination operation of closing the sterilization valve920and terminating the driving of the steam supplier200may be performed. The sterilizer900may be controlled to stop the supply of the water or the steam when the supply of the water or the steam continues for the sterilization time, and the steam supplier200may be controlled to stop the heating of the water when the sterilization time elapses. In one example, when the temperature of the supply pipe910or the sterilization pipe930rises to be equal to or higher than the vaporization temperature before the termination determination operation G5, a locking operation G4-2of closing the sterilization valve920may be performed. Therefore, unintentional supply of the steam or the vapor to the outside of the drum2may be blocked. When the locking operation G4-2is performed, the heating stopping operation G3-2of stopping the driving of the steam supplier200may be performed. When the temperature of the water or the steam is equal to or lower than the vaporization temperature, the sterilizer900may re-supply the water or the steam and the steam supplier200may re-heat the water. Therefore, only the high-temperature water that may perform the sterilization may be supplied to the region located outside the drum2. For example, the high-temperature water may be supplied to one of the washing pump61, the water collector37, the washer6, and the heat-exchanger4to perform the sterilization. FIG.13shows another structure of the sterilizer900of the laundry treating apparatus of the present disclosure. At least a portion of the sterilizer900may be disposed in front or at the rear of the drum2. Therefore, the effect that the sterilizer900may receive from the rotation of the drum2may be minimized. In the laundry treating apparatus of the present disclosure, the air supply duct33may be connected to the second support19. The air supply duct33is provided to extend from the connection duct35to the air inlet198. Because the air inlet198is disposed at a position corresponding to the rear face of the drum2, the air supply duct33extends upward from the base39. Accordingly, the air supply duct33may be disposed closest to the steam supplier200, but may not be affected by the rotating drum2. That is, the position of the air supply duct33may not be changed even when the drum2rotates. Accordingly, the sterilizer900may be provided to extend along the air supply duct33to the circulating flow passage3. Specifically, the supply pipe910may be provided to extend into the circulating flow passage3along an extending direction of the air supply duct33. In addition, the supply pipe910may be introduced into the air supply duct33and extended to the duct3. In this case, a distal end of the supply pipe910or the sterilization pipe920may protrude to the outside of the air supply duct33. In addition, the sterilizer900may extend to the duct3along a rear face of the second support19. Because the rear face of the second support19and the inner face of the rear panel12are not affected by the rotating drum2, an optimum space in which the sterilizer900may be installed may be defined. The high-temperature pipe910may be disposed between the air supply duct33and the cabinet1. The supply pipe910may extend along a rear face of the air supply duct33to extend to the duct3. The sterilization valve920may be seated at the rear of the connection duct35. The sterilization valve920may be disposed adjacent to the rear panel12than the front panel11, and may be fixed at a position at the rear of the duct3. The sterilization pipe930may be disposed at the rear of the duct3or at the rear panel12to extend to a space spaced downwardly apart from the drum Therefore, the sterilizer900may be prevented from interfering with the drum2. FIG.14shows the control panel117of the laundry treating apparatus of the present disclosure. The control panel117may include the input unit118for inputting the command for performing the drying process to the main controller, and the display119for externally displaying a state of the laundry treating apparatus. The main controller may be built in the control panel117. The main controller may be configured to control the heat-exchanger4and the driver28, and may be configured to transmit a compatible signal to the steam controller800. In the main controller, an arbitrary course or option capable of performing the drying process may be pre-stored. The arbitrary course or option may be selected by an input from the input unit118. The input unit118may be configured as any component as long as the input unit118is configured to receive an input for the main controller to perform one of the arbitrary course and option. The input unit118may be in a form of a knop, or may be in a form of a plurality of buttons. The input unit118may include a course input unit118A for selecting a course for performing a plurality of drying processes, a hygiene course input unit118B capable of performing the sterilization of the laundry and the like, a specialized course input unit118ccapable of performing a refresh of the laundry, and additional course input unit configured to receive a special command, and may include a button or a knop118G corresponding to the courses. A component that receives a command for controlling the heat-exchanger or the driver, like the course input unit, the hygiene course input unit, and the specialized course input unit, may be collectively referred to as a process input unit. The course for performing the plurality of drying processes may include a standard course for drying an average amount of laundry of an average material, a towel course for drying a towel with a high moisture content, a strong course for drying laundry with a high moisture content or a large amount of laundry, a shirt course for drying a small amount of laundry, a wool course for drying soft laundry, a blanket course for drying the large amount of laundry or a blanket, and a functional course for drying laundry of a waterproof material or the like. In addition, the hygiene course may include a bedding dusting course, a steam sterilization course, or the like for supplying the high-temperature hot air and the steam into the drum2while rotating the drum2. In addition, the specialized course may include various refresh courses for removing odor of the laundry and dusting the foreign matters such as fine dust by rotating the drum while supplying the steam and the hot air to the laundry. In addition, the additional course may be configured to receive a command for commanding a separate course or an update course provided by a server or the like. The input unit118may include a power supply unit118E configured to supply power to the main controller or supply power to the control panel117, and an operation unit118F for executing the arbitrary course or option when the arbitrary course or option is selected, or stopping the executed course or option. In one example, the display119may be configured as a display panel to externally display the state of the laundry treating apparatus. The display119may include an icon unit1191that may intuitively display a communication state or an operation state of the laundry treating apparatus, a time unit1192that may display a remaining time of the course being performed, and an option display1193that may display a detailed option history such as an intensity of the course being performed, the number of repetitions, or the like. The control panel117may further include a separate input unit configured for the laundry treating apparatus to receive an additional command input. The display119may be configured as a touch panel and may also serve as the separate input unit. In addition, the separate input unit may be configured as a button capable of receiving a separate command on an outer peripheral surface of the display panel. For example, the separate input unit may include a remote control unit119A for performing a communication connection to remotely control the steam supplier180that commands the supply of the steam in the arbitrary course, and the laundry treating apparatus with an external terminal, a condenser care unit119B for cleaning the heat-exchanger4, and an option selection unit119C configured to receive one or more of commands capable of turning on lighting installed on the drum or the door so as to identify a power usage, a dryness, a course execution time, or the interior of the drum in the set course. In addition, the control panel117may further include a sterilization input unit190capable of operating the sterilizer900. Therefore, the laundry treating apparatus of the present disclosure may be provided such that the user may arbitrarily sterilize the region outside the drum2through the input of the sterilization input unit190regardless of whether the course or the option is performed. FIG.15illustrates a control method for a scheme for operating the sterilizer900of the present disclosure. The laundry treating apparatus of the present disclosure may be provided to sterilize the region outside the drum2with the sterilizer900by the input of the sterilization input unit190. That is, when the sterilization input unit190receives the input, the water may be immediately supplied to the steam supplier200regardless of whether the laundry treating apparatus has performed the drying process, so that the region outside of the drum2may be sterilized. Therefore, even when the moisture remains in the water collector37or the like for a long time and the bacteria propagate after the drying process is terminated, the interior of the cabinet may be sterilized with the sterilizer900. Therefore, when performing the drying process, contamination of the laundry by the bacteria, the odors, or the like may be prevented. Accordingly, the laundry treating apparatus of the present disclosure may perform a sterilization operation H1for sensing whether the input of the sterilization input unit190is sensed. When the input of the sterilization input unit190is sensed in the sterilization operation H1, the water may be supplied to the steam supplier200, so that the high-temperature water or the steam may be discharged to the sterilizer900. In this connection, a pump sterilization operation H4in which the sterilizer900sterilizes the washing pump61or the water collector37, not the heat-exchanger4, may be performed. In general, when the sterilization input unit190receives the input, the drying process is not being performed. Because the water condensed in the heat-exchanger4is collected in the water collector37, it may not be necessary to forcefully sterilize the heat-exchanger4. Therefore, when the sterilization input unit190receives the input, it is preferable to omit the sterilization of the heat-exchanger4, and immediately supply the high-temperature water or the steam to the washing pump61or the water collector37. Therefore, the washing pump61and the water collector37may be sterilized with a small amount of water without heat loss. The sterilizer900may supply the water to the pump sterilization pipe391. In one example, when the input of the sterilization input unit190is not sensed in the sterilization operation H1, after the drying process is performed, a termination sensing operation H2for sensing whether the drying process is terminated may be performed. The termination detection operation H2may be performed when the drying process starts. That is, the termination detection operation H2may be an operation of sensing the termination of the drying process in a situation in which the drying process is being performed, rather than sensing a state in which the drying process is terminated itself. The termination detection operation H2may be performed after the administration input unit receives the input. That is, when the administration input unit receives the input, the termination detection operation H2may sense when the driving of the fan, the driver, the heat-exchanger, or the like is terminated. When the drying process is terminated and the driving of the fan, the driver, the heat-exchanger, or the like is terminated in the termination detection operation H2, the water may be supplied to the steam supplier200, so that the high-temperature water or the steam may be discharged to the sterilizer900. In this connection, the heat-exchanger sterilization operation H3in which the sterilizer900sterilizes the heat-exchanger4may be performed. When the drying process is terminated after being performed, not only the moisture discharged from the laundry but also the condensed water may remain in the heat-exchanger. Accordingly, the heat-exchanger sterilization operation H3may prevent the bacterial propagation in the water collector37and the water storage7by the sterilization starting from the heat-exchanger itself. The sterilizer900may sterilize the heat-exchanger4by directly supplying the high-temperature water or the steam to the switch sterilization pipe933or the heat sterilization pipe932. When the heat-exchanger sterilization operation H3is terminated, the laundry treating apparatus may perform the pump sterilization operation H4thereafter to also complete the sterilization of the water collector37. In one example, in the heat-exchanger sterilization operation H3, the sterilizer900may supply the high-temperature water or the steam to the pump sterilization pipe931, and the washing pump61may be driven to flow the high-temperature water or the steam to the flow passage switcher63to sterilize the heat-exchanger4. That is, the sterilizer900may be controlled to primarily sterilize the heat-exchanger4using the washing pump61and the flow passage switcher63. When the heat-exchanger sterilization operation H3is terminated, the pump sterilization operation H4may be performed. In one example, when the pump sterilization operation H4is terminated, the washing pump61and the flow passage switcher63are controlled to supply the high-temperature water or the steam to the water storage7. Therefore, the sterilization may be completed up to the water storage7. As a result, the sterilizer900may be provided to sterilize all of the duct3, the heat-exchanger4, the water collector37, and the washer6through the washing pump61. In one example, the sterilizer900may be provided to sterilize one of the washing pump61, the heat-exchanger4, the duct3, the washer6, and the water collector37. The sterilizer900may be controlled to sterilize the washing pump61and the washer6or the water collector37after first sterilizing the heat-exchanger4, and may be controlled to sterilize the washing pump61and the water collector37while omitting the sterilization of the heat-exchanger4when the heat-exchanger4does not operate. As a result, the sterilizer900may be controlled to supply the heated water or the steam to the water collector37or the water storage7when the supply of the heated water or the steam to the washer6is terminated. In addition, the sterilizer900may be configured to supply the heated water or the steam to the water collector37or the water storage7when the driving of the fan and the heat-exchanger is terminated when the input is received from the process input unit, so that the heat-exchanger4may be controlled to be washed first through the washing pump61. In addition, the sterilizer900may be controlled to supply the heated water or the steam to the water collector37or the water storage7when the input is received from the sterilization input unit190. In this connection, the sterilizer900may be controlled to block the supply of the heated water or the steam to the heat-exchanger4when the input is received from the sterilization input unit190. The laundry treating apparatus and the control method of the laundry treating apparatus as above-described may be modified and implemented in various forms, and the scope of the disclosure is not limited to the above-described embodiments. | 114,184 |
11859342 | DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. The present disclosure may be subjected to various changes and may have various embodiments, and specific embodiments will be described in detail with reference to the accompanying drawings. This is not intended to limit the present disclosure to the specific embodiments, and should be construed as including all changes, equivalents, and substitutes provided they come within the scope of the appended claims and their equivalents. Terms including ordinal numbers such as first, second, etc. may be used to explain various constituents, but the constituents may not be limited thereto. These terms are used only for the purpose of distinguishing one constituent from another. For example, without departing from the scope of the present disclosure, a first component may be referred to as a second component, and similarly, a second component may be referred to as a first component. The term “and/or” may include a combination of a plurality of related described items or any of a plurality of related described items. When one constituent is mentioned as being “connected” or “linked” to another constituent, it may be understood that this means the one constituent may be directly connected or linked to the other constituent or another constituent may be interposed between the constituents. On the other hand, when one constituent is mentioned as being “directly connected” or “directly linked” to another constituent, it may be understood that this means no other constituent is interposed between the constituents. Terms used in this specification are merely adopted to explain specific embodiments, and are not intended to limit the present disclosure. A singular expression may include a plural expression unless the two expressions are contextually different from each other. In this specification, a term “include” or “have” is intended to indicate that characteristics, figures, operations, operations, constituents, and components disclosed in the specification or combinations thereof exist. The term “include” or “have” may be understood as not precluding existence or addition of one or more other characteristics, figures, operations, operations, constituents, components, or combinations thereof. Unless defined otherwise, all terms, including technical and scientific terms, used in this specification may have the same meaning as commonly understood by a person having ordinary skill in the art to which the present disclosure pertains. It will be further understood that terms, such as those defined in commonly used dictionaries, may be interpreted as having a meaning that is consistent with their meaning in the context of the related art and the present disclosure, and may not be interpreted in an idealized or overly formal sense unless expressly so defined herein. The embodiments below are provided to enable those of ordinary skill in the art to more fully understand the present disclosure. It will be appreciated that for simplicity and clarity of illustration, the dimensions or shapes of some of the elements may be exaggerated. FIG.1is a view illustrating an outer appearance of a laundry dryer according to an embodiment of the present disclosure, andFIG.2is a cross-sectional view illustrating an internal structure of the laundry dryer according to the embodiment of the present disclosure. As shown inFIGS.1and2, a cabinet10defining an outer body of a laundry dryer1includes a front panel11constituting a front surface of the laundry dryer1, a rear panel12constituting a rear surface of the laundry dryer1, a pair of side panels14constituting a side surface of the laundry dryer1, and a top panel13constituting a top surface of the laundry dryer1. The front panel11may include an inlet111provided to communicate with a drum20, which will be described later, and a door112rotatably coupled to the cabinet10to open and close the inlet111. A control panel117may be provided on the front panel11. The control panel117may be provided with an input unit118configured to receive a control command from a user, a display119configured to output information such as a control command selectable by the user, and a main controller (not shown) configured to control a command for performing an operation of the laundry dryer1. The input unit118may include a power supply requester configured to make a request for supply of power to the laundry dryer, a course input unit allowing the user to select a desired course among multiple courses, and an execution requester configured to request start of the course selected by the user. The display119may include at least one of a display panel capable of outputting characters and/or figures, or a speaker capable of outputting a voice signal and a sound. The user may easily identify the status of the current operation and the remaining time through the information output through the display119. The cabinet10is provided therein with a drum20rotatably arranged and configured to provide a space to accommodate clothes (objects to be dried), a duct part30defining a flow path to resupply air discharged from the drum20back to the drum20, and a heat exchanger40configured to dehumidify and heat air introduced into the duct part30and then resupply the air to the drum20. That is, the duct part30may circulate the air inside the drum20. The heat exchanger40may be disposed inside the duct part30to dehumidify and heat the air circulating through the duct part30by heat exchange with the circulating air. The drum20may include a cylindrical drum body21with an open front surface. The cabinet10may be provided therein with a first support part22rotatably supporting the front surface of the drum body21, and a second support part23rotatably supporting the rear surface of the drum body21. The first support part22may include a first fixed body22afixed to an inside of the cabinet10, a drum inlet22bformed through the first fixed body22ain a penetrating manner to allow the inlet111communicate with the inside of the drum body21, and a first support body22cprovided to the first fixed body22aand inserted into the front surface of the drum body21. The first support part22may further include a connection body22dconnecting the inlet111and the drum inlet22b. As shown in the figures, the connection body22dmay be formed in a pipe shape extending from the drum inlet22btoward the inlet111. In addition, the connection body22dmay be provided with an air outlet22ecommunicating with the duct part30. As shown inFIG.2, the air outlet22eis a passage that allows the inside the drum body21to move to the duct part30therethrough, and may be provided as a through hole formed through the connection body22din a penetrating manner. The second support part23includes a second fixed body23afixed to the inside of the cabinet10, and a second support body23bprovided to the second fixed body23aand inserted into the rear surface of the drum body21. The second support part23is provided with an air inlet23cformed through the second fixed body23ain a penetrating manner to allow the inside of the drum body21to communicate with the inside of the cabinet10therethrough. In this case, the duct part30is configured to connect the air outlet22eand the air inlet23c. The cylindrical drum body21may rotate through various types of driving units50. For example, in the embodiment shown inFIG.2, the driving unit50includes a drum motor51fixed inside the cabinet10, a pulley52rotated by the drum motor51, and a belt53connecting a circumferential surface of the pulley52and a circumferential surface of the drum body21. In this case, the first support part22may be provided with a first roller R1rotatably supporting the circumferential surface of the drum body21, and the second support part23may be provided with a second roller R2rotatably supporting the circumferential surface of the drum body21. However, the present disclosure is not limited thereto. A direct drive type driving unit in which the drum motor51is directly connected to the drum to rotate the drum without a pulley and belt may be employed, which also falls within the scope of the present disclosure. For simplicity, the following description will be made based on the illustrated embodiment of the driving unit50. The duct part30includes an exhaust duct31connected to the air outlet22e, a supply duct32connected to the air inlet23c, and a connection duct33connecting the exhaust duct31and the supply duct32. The heat exchanger40is installed in the connection duct33. Various devices capable of sequentially performing dehumidification and heating of the air introduced into the duct unit30may be provided as the heat exchanger40. For example, a heat pump system may be provided as the heat exchanger40. As the heat pump system is employed, the heat exchanger40may include a circulation fan43configured to move air along the duct part30, a first heat exchanger (heat absorber)41configured to perform a dehumidification function by lowering the humidity of the air introduced into the duct part30, and a second heat exchanger (heat generator)42provided inside the duct part30to heat the air passed through the first heat exchanger41. The circulation fan43includes an impeller43aarranged inside the duct part30and an impeller motor43bconfigured to rotate the impeller43a. The circulation fan43provides flow power to air moving along the duct part30. This is because suction force for air movement may be generated through rotation of the impeller43a. The impeller (43a) may be installed at any position among the exhaust duct31, the connection duct33, and the supply duct32. WhileFIG.2illustrate that the impeller43ais arranged in the connection duct32, the present disclosure is not limited thereto. For simplicity, it will be assumed in the following description that the impeller43ais arranged in the connection duct32. The heat exchanger40may perform heat exchange with air circulated along the duct part30. The heat absorber41and the heat generating part42, which are inside the connection duct33, are sequentially arranged in a direction from the exhaust duct31to the supply duct32, and are connected to each other through a refrigerant pipe44defining a circulation passage of a refrigerant. The heat absorber41is a means to cool the air and evaporate the refrigerant by transferring heat of the air introduced into the exhaust duct31to the refrigerant. The heat generator42is a means to heat the air and condense the refrigerant by transferring heat of the refrigerant passed through the compressor45to the air. The compressor45compresses the refrigerant performing heat exchange with the air circulated along the duct30, through rotational power provided by a compressor motor45a. In this case, when the moisture contained in the air passes through the heat absorber41, it moves along the surface of the heat absorber41and is collected on the bottom surface of the connection duct33. A configuration already known in the art may be applied as a configuration of the above-described heat exchanger40of the heat pump system type including the heat absorber41and the heat generator42, and a description of details thereof will be omitted. In order to collect water condensed from the air passing through the heat absorber41and formed on the bottom surface of the connection duct33, the laundry dryer1according to the present disclosure includes a water collector60. The condensed water formed through the heat absorber41may be first collected in the water collector60and then secondly collected in a water reservoir70. The water collector60may be disposed inside the connection duct33as shown in the figure, or may be separately provided in a space spaced apart from the connection duct33. The condensed water first collected through the water collector60is supplied to the water reservoir70through a condensed water supply pipe61. Here, the condensed water supply pipe61is provided with a condensed water pump62for smooth discharge of the condensed water. The water reservoir70includes a water storage tank72arranged to be withdrawn from one side of the front panel11to the outside. The water storage tank72is configured to collect the condensed water transferred from the water collector60, which will be described later. The user may withdraw the water storage tank72from the cabinet10to remove the condensed water, and then mount the same in the cabinet10again. Accordingly, the laundry dryer according to the present disclosure may be disposed even at a place where a sewer or the like is not installed. More specifically, the water reservoir70may include a water storage tank72detachably provided in the cabinet10to provide a space for storing water, and an inlet72aformed in the water storage tank72in a penetrating manner to introduce water discharged from the condensed water supply pipe61into the water storage tank72. The water storage tank72may be provided as a drawer-type tank configured to be withdrawn from the cabinet10. In this case, the front panel11of the cabinet is provided with a water reservoir mounting hole into which the water storage tank72is inserted. A panel71may be fixed to the front surface of the water storage tank72. The panel71may be detachably coupled to the water reservoir mounting hole so as to form a part of the front panel11. The panel71may include a groove71ainto which the user's hand is inserted to grip the panel. In this case, the panel71also serves as a handle for withdrawing the water storage tank72from the cabinet or inserting the same into the cabinet. The inlet72ais formed to receive the condensed water discharged from a condensed water nozzle63, which is fixed to the cabinet10. The condensed water nozzle63may be fixed to the top panel13of the cabinet10such that the water storage tank72is positioned above the inlet72awhen the water storage tank72is inserted into the cabinet10. The user may dispose of water inside the water storage tank72by turning or tilting the water storage tank72toward the position of the inlet72aafter withdrawing the water storage tank72from the cabinet10. A communication hole72bmay be further provided in the top surface of the water storage tank72in a penetrating manner such that the water inside the water storage tank72may be easily discharged through the inlet72a. The laundry dryer1according to the present disclosure includes a first filter F1and a second filter F2as means to remove foreign substances such as lint and dust produced in the operation of drying laundry such as clothes. The first filter F1is provided in the exhaust duct31to primarily filter out foreign substances contained in the air discharged from the drum20. The second filter F2is disposed downstream of the first filter F1in the flow direction of air to secondarily filter out foreign substances contained in the air reaching through the first filter F1. More specifically, as shown in the figure, the second filter F2may be disposed upstream of the first heat exchanger41inside the connection duct33. This is intended to prevent foreign substances contained in the air from accumulating in the first heat exchanger41, which operates as a heat absorber, and contaminating the first heat exchanger41or causing performance degradation. As for the detailed configuration of the first filter F1and the second filter F2, any means known in the art may be applied, and thus a description of the detailed configuration will be omitted. The laundry dryer1according to the present disclosure further includes a water supplier80including an internal water supplier81and an external water supplier82, and a steam part90configured to generate steam from water supplied thereto. The steam part90may be configured to generate steam from fresh water supplied thereto instead of condensed water. The steam part90may be configured to generate steam by heating, ultrasonic waves, or evaporation. The steam part90may be controlled to receive water through the external water supplier82as well as the internal water supplier81as needed and to supply the steam into the drum body21. The external water supplier82may include a direct water valve82aadjacent to the rear panel13or fixed to the rear panel13, and a direct water pipe82bfor supplying water delivered through the direct water valve82ato the steam part90. The direct water valve82amay be coupled to an external water supply source. For example, the direct water valve82amay be coupled to a water supply pipe (not shown) extending to the rear surface of the cabinet. Accordingly, the steam part90may receive water directly through the direct water valve82a. Accordingly, even when the internal water supplier81is omitted or there is no water stored in the internal water supplier81, water for steam generation may be supplied to the steam part90through the direct water valve82awhen necessary. The direct water valve82amay be directly controlled by a controller100. The controller100may be installed on the control panel117, or may be provided as a separate control panel, as shown inFIG.1, to prevent the control panel117from being overloaded and avoid increasing manufacturing cost. In this case, the controller100may be arranged adjacent to the steam part90. The controller100may be arranged on the side panel14, on which the steam part90is installed, thereby reducing the length of a control line connected to the steam part90. The steam part90may be arranged adjacent to the direct water valve82a. Accordingly, water may be prevented from unnecessarily remaining in the direct water pipe82b, and may be immediately supplied when necessary. The controller100is configured to control the operation of the laundry dryer1based on a user's input provided through the input unit118. The controller100may include a printed circuit board and elements mounted on the printed circuit board. When the user selects a clothes treatment procedure through the input unit118and inputs a control command for operation of the laundry dryer1or the like, the controller100may control the operation of the laundry dryer1according to a preset algorithm. In the present disclosure, details of the control operation of the controller100will be described later. FIG.3is a block diagram illustrating a control configuration in the laundry dryer according to the embodiment of the present disclosure. Referring toFIGS.1to3, the laundry dryer1according to the embodiment of the present disclosure may include at least one of the input unit118, an output unit119, a communicator115, a sensor116, and motors51,43b, and45a, the steam part90, or the controller100. The input unit118may receive a control command related to operation of the laundry dryer1from a user. The input unit118may include multiple buttons or include a touch screen. Specifically, the input unit118may be configured in a form capable of receiving a selection of an operation procedure of the laundry treatment apparatus or receiving a control input related to execution of the selected operation procedure. The output unit119may output information related to the operation of the laundry dryer1. The output unit119may include at least one display. The information output by the output unit119may include information related to the operation status of the laundry dryer1. That is, the output unit119may output information related to at least one of a selected operation procedure, a failure status, an operation completion time, or the amount of laundry accommodated in the drum20. For example, the output unit119may be a touch screen integrated with the input unit118. The communicator115may communicate with an external network. The communicator115may receive a control command related to operation of the laundry treatment apparatus over the external network. For example, the communicator115may receive an operation control command for the laundry dryer sent from an external terminal over the external network. Thereby, the user may remotely control the laundry dryer. In addition, the communicator115may transmit information related to a result of operation the laundry treatment apparatus to a predetermined server over the external network. The communicator115may also communicate with other electronic devices in order to establish an Internet of Things (IOT) environment. The sensor116may sense information related to the operation of the laundry dryer. Specifically, the sensor116may include at least one of a current sensor, a voltage sensor, a vibration sensor, a noise sensor, an ultrasonic sensor, a pressure sensor, an infrared sensor, a visual sensor (camera sensor), an electrode sensor, or a temperature sensor. As an example, the current sensor of the sensor116may sense electric current flowing through a point in the control circuit of the laundry dryer1. As another example, the temperature sensor of the sensor116may sense the temperature inside the duct part30, and may sense the temperature inside the drum20according to an embodiment. As another example, the electrode sensor of the sensor116may sense moisture inside the drum20. The sensor116may include one or more temperature sensors configured to sense the temperature of the heat exchanger40and transmit the sensing result to the controller100. As an example, the sensor116may include one or more temperature sensors to sense at least one of temperatures of air and a refrigerant circulating in each of the first heat exchanger41and the second heat exchanger42. As another example, the sensor116may include one or more temperature sensors to sense the temperature of the refrigerant circulating in the compressor45. In order to sense the temperature of the compressor45, a thermistor may be installed at a portion of the compressor from which the refrigerant is discharged. Thereby, the discharge temperature of the compressor may be measured. The sensor116may further include multiple temperature sensors configured to sense the temperature of air flowing into or out of the drum20. The sensor116including the multiple temperature sensors may include a temperature sensing module provided to the heat exchanger40, and a sensing module provided to the controller100to receive sensing results from the multiple temperature sensors to sense temperatures. As described above, the sensor116may include at least one of various types of sensors, and the types of sensors included in the laundry dryer1are not limited. In addition, the number or installation locations of the sensors may be designed differently according to the purpose. The motors51,43b, and45ainclude a drum motor51, an impeller motor43b, and a compressor motor45a, and may change at least one of power, current, voltage, or speed according to a control command (instruction) of the controller100. For example, the drum motor51may change the rotational speed (revolutions per minute (rpm)) of the drum20according to a control command from the controller100. As another example, the impeller motor43bmay change the rotational speed (rpm) of the circulation fan43according to a control command from the controller100. As another example, the compressor motor45amay change the frequency (in Hz) of the compressor45according to a control command from the controller100. The steam part90may be controlled to receive water through the external water supplier82as well as the internal water supplier81as needed to supply steam into the drum body21. The steam part90may include a steam generator91configured to generate steam by heating supplied water, a steam pipe92allowing the generated steam to flow therethrough, and a steam nozzle93configured to spray the steam into the drum body21. As an example, the steam generator91is described as generating steam by heating a specific amount of water accommodated therein with a heater (not shown) (hereinafter, this method will be referred to as “whole heating” for simplicity), but is not limited thereto. The controller100may control components included in the laundry dryer1. First, the controller100may generate at least one of a power command value, a current command value, a voltage command value, or a speed command value in order to control rotation of the drum motor51, the impeller motor43b, and the compressor motor45a. In the present disclosure, the controller100may control each of the drum motor51, the impeller motor43b, and the compressor motor45aindividually. Accordingly, the controller100may control the operation of at least one of the drum20, the circulation fan43, or the heat exchanger40based on the control input that is input through the input unit118. That is, the controller100may control the rotational speed and rotation pattern of the drum20based on a control input that is input by the user through the input unit118. The controller100may also control the rotational speed or operation timing of the circulation fan43based on a control input that is input by the user through the input unit118. In addition, the controller100may control the heat exchanger40to adjust the temperature inside the drum20based on a control input that is input by the user through the input unit118. For example, the controller100may control a driving (operation) frequency (in Hz) of the compressor45based on a control input that is input by the user through the input unit118. In addition, the controller100may generate at least one of a power command value, a current command value, or a voltage command value in order to control the operation of the steam generator91. That is, the controller100may control the heating time of the steam generator91based on a control input that is input by the user through the input unit118. In this case, the controller100may adjust the heating time of the steam generator91based on information such as an external temperature or the amount of laundry. In the case of a conventional laundry dryer, the drum and the circulation fan are connected to one motor. Thus, the drum and circulation fan are rotated at the same time and stopped at the same time. In this case, when steam is sprayed into the laundry dryer, the rotation of the circulation fan needs to be stopped to sufficiently supply the sprayed steam to objects to be dried, and the rotation of the drum is also stopped to stop the circulation fan. However, once the rotation of the drum is stopped, the objects to be dried cannot be turned over. Even when steam is supplied to the objects to be dried, the steam is supplied only to objects placed on the side facing the sprayed steam. Accordingly, the conventional laundry dryer has limitation in evenly supplying steam to the entire objects. In order to address this issue, the drum motor51and the impeller motor43bare separately provided in the laundry dryer1according to the embodiment of the present disclosure. In addition, the controller100may control each of the drum motor51, the impeller motor43b, and the compressor motor45aindividually. Accordingly, when steam is sprayed from the steam part90, the controller100according to the embodiment of the present disclosure may stop the rotation of the circulation fan43while maintaining the rotation of the drum20. In addition, the controller100of the present disclosure may stop driving of the compressor45in operating the steam part90in order to prevent power supply from being cut off due to an instantaneous increase in power consumption of the entire laundry dryer1. Specifically, the controller100may stop the rotation of the compressor motor45awhen it operates the steam generator91to preheat water or generate steam. That is, the controller100may drive the compressor45to increase the internal temperature of the drum20. After the temperature of the compressor45is increased to a preset drying temperature Td, the controller100may stop driving the compressor45, and operate the steam part90to supply steam into the drum20. In addition, after supplying steam into the drum20by operating the steam part90, the controller100may stop operating the steam part90and re-drive the compressor45to dry the objects to be dried again. The control of the controller100over time will be described later with reference toFIGS.4to5B. FIG.4is a flowchart illustrating a procedure according to a control method of the laundry dryer1according to one embodiment of the present disclosure, andFIGS.5A and5Billustrate an example of a first steam drying procedure and a second steam drying procedure according to a specific application example of a steam drying method related to one embodiment of the present disclosure. Referring toFIGS.1to5B, a control method of the laundry dryer1according to one embodiment of the present disclosure is configured as follows. The control method of the laundry dryer1according to the embodiment of the present disclosure includes a procedure inputting operation S10, a steam drying procedure laundry amount sensing operation S20, a steam drying procedure drying operation S30, a steam drying procedure steam supply operation S40, a re-drying operation S50, and a steam drying procedure cooling operation S60. In the procedure inputting operation S10, a control input for performing a steam drying procedure that prevents damage to objects to be dried and enhances sterilization of the objects is input. Here, a procedure represents a program set in the clothes treatment apparatus. When a user selects one procedure, the controller may perform several operations of controlling respective components to perform the selected procedure. Thus, an operation refers a part of the program by which the operation status of a component may be distinguished to perform the procedure. Thus, one procedure may include multiple operations. For example, the clothes treatment apparatus may have a steam drying procedure (or drying procedure) for drying, and/or a sterilization procedure for sterilization. Specifically, in the procedure inputting operation S10, a control input for the first steam drying procedure or the second steam drying procedure may be input according to the material of an object to be dried (or clothing). That is, when the laundry dryer1of the present disclosure is turned on, the user may input a control input through the input unit118by selecting a desired procedure. In this case, the user may input the steam drying procedure to prevent damage to the objects to be dried and enhance sterilization of the objects to be dried. Specifically, to dry a thick or hydrophilic material with a relatively high moisture content among the materials (or laundry materials) of the objects to be dried, a first steam drying procedure (which may be called, for example, a “standard+steam procedure” or a “towel+steam procedure”). To dry a thin or hydrophobic material with a relatively low moisture content, a second steam drying procedure (which may be called a “shirt+steam procedure”) may be selected. In the present disclosure, the control of the steam drying procedure steam supply operation S40and the re-drying operation S50, which will be described later, may vary according to a control input for the first steam drying procedure or the second steam drying procedure in the procedure inputting operation S10. In addition, in the procedure inputting operation S10, the control of each operation may also vary according to a control input for a sterilization procedure. In the steam drying procedure laundry amount sensing operation S20, the laundry amount of cloth to the objects to be dried may be detected through rotation of the drum20. Generally, the laundry amount of wet clothing after dewatering will be sensed. That is, the controller100may sense the load of the objects to be dried by rotating the drum20and sense the laundry amount of the objects to be dried through the sensed load (S21). At this time, the controller100does not drive the compressor45(S22). In addition, the controller100does not rotate the circulation fan43(S23). In the present disclosure, the controller100may supply water for generation of steam to the steam part90(S24). That is, the controller100may cause water to be supplied from the water supplier80to the steam part90. According to an embodiment, the controller100may operate a water supply pump provided in the internal water supplier81to supply water into the steam generator91, and may open the direct water valve82aprovided in the external water supplier82to supply water into the steam generator91. For example, in the operation S24of supplying water for generation of steam, water more than or equal to 150 cc and less than or equal to 250 cc may be supplied from the water supplier80to the steam generator91, and the time required to supply water from the water supplier80to the steam generator91may be longer than or equal to 30 seconds and shorter than or equal to 1. While it is described in the present embodiment that the operation S21of sensing the laundry amount of the objects to be dried and the operation S24of supplying water to the steam part90are performed simultaneously, embodiments are not limited thereto. The operation S24of supplying water to the steam part90may be performed during the steam drying procedure drying operation S30, which will be described later. In the steam drying procedure drying operation S30, the internal temperature of the drum20may be increased to dry the objects to be dried. In the steam drying procedure drying operation S30, the controller100may set a time required to perform the steam drying procedure drying operation S30based on the laundry amount of the objects to be dried sensed in the steam drying procedure drying operation S20. In the present disclosure, the time required to perform the steam drying procedure drying operation S30may be updated or shortened based on the amount of moisture sensed during the drying. The steam drying procedure drying operation S30may include an operation S31of rotating the drum20at a pre-input reference speed Wr by the controller100. For example, the controller100may continuously rotate the drum20at a rotational speed greater than or equal to 45 rpm and less than or equal to 55. In addition, the steam drying procedure drying operation S30may include an operation S32of driving (rotating) the compressor45at a preset operating frequency f by the controller100. For example, the controller100may drive the compressor45at a frequency greater than or equal to 85 Hz and less than or equal to 105 Hz. In this operation, the controller100may generate a control command to increase output power for driving of the compressor45up to the operating frequency f at one time, or may generate a control command to increase the rotational speed of the compressor motor45ain multiple stages in order to prevent the compressor motor45afrom being overloaded to be broken. As an example, the controller100may first generate a control command for driving the compressor45at a frequency greater than or equal to 55 Hz and less than or equal to 65 Hz, and then generate a control command for driving the compressor45at a frequency greater than or equal to 75 Hz and less than or equal to 85 Hz. Then, the controller100may finally generate a control command for driving the compressor45at the operating frequency f. The steam drying procedure drying operation S30may include an operation S33of rotating the circulation fan43by the controller100. Specifically, the steam drying procedure drying operation S30may include a first drying operation S33aof driving the circulation fan43at a preset first drying speed V1, a second drying operation S33bof driving the circulation fan43by increasing the rotational speed of the circulation fan43from the first drying speed V1to a preset second drying speed V2, and a third drying operation S33cof driving the circulation fan43by increasing the rotational speed of the circulation fan43from the second drying speed V2to a preset third drying speed V3. In the first drying operation S33a, the controller100may drive the circulation fan43at the first drying speed V1for a predetermined drying time tc. For example, in the first drying operation S33a, the controller100may drive (rotate) the circulation fan43at a speed greater than or equal to 2700 rpm and less than or equal to 3100 rpm for a time longer than or equal to 3 minutes and shorter than or equal to 5 minutes. In the second drying operation S33b, the controller100may accelerate the circulation fan43to the second drying speed V2when the drying time tc has elapsed. For example, in the second drying operation S33b, the controller100may drive (rotate) the circulation fan43at a speed greater than 3100 rpm and less than or equal to 3500 rpm. In the second drying operation S33b, when the discharge temperature of the compressor45(which may mean the temperature of the refrigerant discharged after being compressed by the compressor) is higher than or equal to a preset drying temperature Td, It the controller100may enter the third drying operation S33c. The discharge temperature may be measured through a temperature sensor (not shown) arranged adjacent to a discharge port of the compressor45. That is, in the third drying operation S33c, when the discharge temperature T of the compressor45is higher than or equal to the drying temperature Td (T≥Td), the control over 100 may rotate (drive) the circulation fan45by accelerating the rotational speed of the circulation fan45from the second drying speed V2to the preset third drying speed V3. For example, in the third drying operation S33c, when the discharge temperature of the compressor45is over a temperature range of 75° C. to 85° C., the controller100may accelerate the circulation fan45to drive (rotate) the circulation fan45at a speed greater than or equal to 3700 rpm and less than or equal to 4100 rpm. In the steam drying procedure drying operation S30, the controller100may skip operating the steam part90(S34). That is, after water for steam generation is supplied to the steam part90in the steam drying procedure laundry amount sensing operation S20, the controller100may rotate the circulation fan43(S33) and drive the compressor45(S32) in the steam drying procedure drying operation S30. Accordingly, in the steam drying procedure drying operation30, the controller100may drive the drum20, the compressor45, and the circulation fan43simultaneously, and skip operating the steam part90. In the steam drying procedure steam supply operation S40following the steam drying procedure drying operation S30, the controller100may control the steam part90to supply steam into the drum20. The steam drying procedure steam supply operation S40may include an operation S41of rotating the drum20at a pre-input reference speed Wr by the controller100. As an example, the controller100may continuously rotate the drum20while maintaining the drum20at a rotational speed greater than or equal to 45 rpm and less than or equal to 55 rpm. In the steam drying procedure steam supply operation S40, the controller100may stop driving the compressor45in order to prevent an instantaneous increase in power consumption of the laundry dryer1(S42). In addition, in the steam drying procedure steam supply operation S40, the controller100may continuously rotate (drive) the circulation fan43at a rotational speed equal to the third drying speed V3while the steam part90performs preheating to spray steam after the third drying operation S33c(S43a). Then, when the steam part90sprays steam, the controller100may stop rotating the circulation fan43(S43b). The steam drying procedure steam supply operation S40may include a steam drying procedure steam preheating operation S44aand a steam drying procedure steam spraying operation S44b. In the steam drying procedure steam preheating operation S44a, the controller100may apply power to the steam part90to heat water supplied for steam generation for a preset preheating time th. Specifically, in the steam drying procedure steam preheating operation S44a, the controller100may heat water supplied to the steam generator91by applying power to a heater (not shown) provided in the steam generator91. In this operation, the controller100may apply power to the heater for the preheating time th. The preheating time th may be set to be greater than or equal to a time required for the water to reach a boiling point. For example, in the steam drying procedure steam preheating operation S44a, the controller100may generate a control command to apply power to the steam part90for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 seconds. In the steam drying procedure steam spraying operation S44bafter the steam drying procedure steam preheating operation S44a, the controller100may spray the steam generated from the steam part90into the drum20by a preset spray amount. Specifically, in the steam drying procedure steam spraying operation S44b, the controller100may generate a control command for the steam generator91such that water that is heated by the steam generator91and starts boiling flows through the steam pipe92and is sprayed into the drum body21through the steam nozzle93. In the steam drying procedure steam spraying operation S44b, the controller100may control the spray time of the steam according to whether the first steam drying procedure or the second steam drying procedure is input in the procedure inputting operation S10. Specifically, when a control input for the first steam drying procedure is input in the procedure inputting operation S10, the controller100may cause the amount of water supplied in the operation S24of supplying water for steam generation to be sprayed in the steam drying procedure steam spraying operation S44b. For example, in the steam drying procedure steam spraying operation S44b, the controller100may cause water whose amount is greater than or equal to 150 cc and less than or equal to 250 cc to be sprayed from the steam generator91into the drum20. In this case, the time required to spray the steam may be longer than or equal to 6 minutes 30 seconds and shorter than or equal to 7 minutes 30 seconds. In contrast, when a control input for the second steam drying procedure is input in the procedure inputting operation S10, the controller100may cause a smaller amount of steam than the steam sprayed in the first steam drying procedure to be sprayed in the steam drying procedure steam spraying operation S44b. Specifically, when the control input for the second steam drying procedure is input in the procedure inputting operation S10, the controller100may cause water whose amount is less than or equal to half the amount supplied in the water supply operation S24for steam generation to be sprayed in the steam drying procedure steam spraying operation S44b. For example, in the steam drying procedure steam spraying operation S44b, the controller100may cause water whose amount is greater than or equal to 60 cc and less than or equal to 120 cc to be sprayed from the steam generator91into the drum20. In this case, the time required to spray the steam may be longer than or equal to 2 minutes 30 seconds and shorter than or equal to 3 minutes 30. Accordingly, according to the present disclosure, after removing moisture from the objects to be dried in the steam drying procedure drying operation S30, the steam drying procedure steam supply operation S40may be performed. Thereby, the amount of heat inside the drum20may be increased by supply of high-temperature steam to remove bacteria that may be present in the objects to be dried. Accordingly, sanitization of the objects to be dried may be enhanced. In addition, friction that may cause damage to the objects over-dried in the steam drying procedure drying operation S30may be prevented by supplying moisture in the steam drying procedure steam supplying operation S40. Further, when steam is being sprayed onto the objects to be dried, the drum20rotates at a constant speed, but the circulation fan43is not operated. Accordingly, steam may be evenly supplied to the objects to be dried. Accordingly, as the steam is evenly supplied to the entire the objects to be dried, the entire objects to be dried may be sterilized as a whole and sanitization thereof may be enhanced. In the re-drying operation S50after the steam drying procedure steam supply operation S40, the controller100may generate a control command to supply hot air into the drum20. In the re-drying operation S50, the controller100may control the execution time of the re-drying operation S50according to whether the first steam drying procedure or the second steam drying procedure is input in the procedure inputting operation S10. That is, when the control input for the first steam drying procedure is input in the procedure inputting operation S10, the controller100may execute the re-drying operation S50for a preset first re-drying time tr1. For example, in the re-drying operation S50, when the control input for the first steam drying procedure has been input, the controller100may perform the re-drying operation S50for a time longer than or equal to 20 minutes and shorter than or equal to 30 minutes. When the control input for the second steam drying procedure is input in the procedure inputting operation S10, the controller100may execute the re-drying operation S50for a preset second re-drying time tr2. For example, in the re-drying operation S50, when the control input for the second steam drying procedure has been input, the controller100may perform the re-drying operation S50for a time longer than or equal to 10 minutes and shorter than or equal to 20 minutes. That is, in the re-drying operation S50, when the second steam drying procedure is input, the time tr2required to perform the re-drying operation S50may be shorter than the time tr1required to perform the re-drying operation S50in the first steam drying procedure (tr2<tr1). The re-drying operation S50may include an operation S51of rotating the drum20at a pre-input reference speed Wr by the controller100. For example, the controller100may continuously rotate the drum20while maintaining the drum20at a rotational speed that is greater than or equal to 45 rpm or less than or equal to 55 rpm. The re-drying operation S50may include an operation S52of driving (rotating) the compressor45again by the controller100. For example, the controller100may drive the compressor45while increasing the frequency to a frequency higher than or equal to 80 Hz and lower than or equal to 100 Hz. In this case, the controller100may generate a control command to increase the rotational speed of the compressor motor45ain multiple stages in order to prevent the compressor motor45afrom being overloaded to be broken. As an example, the controller100may first generate a control command for driving the compressor45at a frequency greater than or equal to 55 Hz and less than or equal to 65 Hz, and then generate a control command for driving the compressor45at a frequency greater than or equal to 75 Hz and less than or equal to 85 Hz. In the present embodiment, when the discharge temperature of the compressor45is not sufficient to sterilize the objects to be dried due to an influence of an external temperature, the controller100may finally generate a control command to drive the compressor45at a frequency higher than or equal to 90 Hz or lower than or equal to 100 Hz. In the re-drying operation S50, the controller100may rotate (drive) the circulation fan43while maintaining the third drying speed V3as the rotational speed of the circulation fan43(S53). In the re-drying operation S50, since sufficient moisture has been supplied to the objects to be dried, the controller100skip (stop) operation of the steam part90(S54). In the steam drying procedure cooling operation S60after the re-drying operation S50, the controller100may perform a control operation to blow hot air inside the drum20for a pre-input blowing time to cool the objects to be dried. For example, in the steam drying procedure cooling operation S60, the controller100may cool the objects to be dried by blowing hot air inside the drum20for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 seconds. The steam drying procedure cooling operation S60may include an operation S61of rotating the drum20at a pre-input reference speed Wr by the controller100. For example, the controller100may continuously rotate the drum20while maintaining the drum20at a rotational speed higher than or equal to 45 rpm or lower than or equal to 55 rpm. Then, in the steam drying procedure cooling operation S60, the controller100may terminate the driving of the compressor45to lower the temperature of the dried objects (S62). In addition, in the steam drying procedure cooling operation S60, the controller100may rotate (drive) the circulation fan43at the third drying speed V3as the rotational speed of the circulation fan43in order to blow the heated air inside the drum20(S63). In the steam drying procedure cooling operation S60, since sufficient moisture has been supplied to the objects to be dried, the controller100may skip (stop) operating the steam part90(S64). FIGS.6A to6Cexemplarily depict changes in temperature of a duct part and a compressor according to a control method of the laundry dryer according to one embodiment of the present disclosure, andFIGS.7A to7Cexemplarily depict the principle of high-temperature sterilization of objects to be dried according to the control method of the laundry dryer according to one embodiment of the present disclosure.FIG.8is an exemplary diagram illustrating a moisture balance in objects to be dried according to the control method of the laundry dryer according to one embodiment of the present disclosure, andFIG.9is a graph depicting an increase in enthalpy according to the control method of the laundry dryer according to one embodiment of the present disclosure. The damage prevention effect for objects to be dried and the sterilization (sanitization) effect for the objects to be dried according to the present disclosure will be described with reference toFIGS.1to9. In the control method of the laundry dryer1according to one embodiment of the present disclosure, the drum20of the present disclosure is rotated in the steam drying procedure laundry amount sensing operation S20to sense the load (S21), and is controlled to rotate at a constant speed in the steam drying procedure S30, the steam drying procedure steam supply operation S40, the re-drying operation S50, and the steam drying procedure cooling operation S60(S31, S41, S51, S61). That is, the drum20continues to rotate after the steam drying procedure laundry amount sensing operation S20. Accordingly, in the present disclosure, the drum20serves to turn over and mix the objects to be dried to evenly supply hot air and steam are to the objects to be dried. Accordingly, in the present disclosure, the continuous rotation of the drum20may evenly dry the objects to be dried and prevent hot air from being concentrated on a portion of the objects to cause damage thereto. In addition, since steam is evenly supplied to the objects to be dried by the rotation of the drum20, the entire objects to be dried may be evenly sterilized (sanitized). The compressor45of the present disclosure is driven in the steam drying procedure drying operation S30to increase the temperature inside the drum20(S32), and then the driving of the compressor45is stopped in the steam drying procedure steam supply operation S40(S42). The compressor45is driven again in the re-drying operation S50to dry the objects to be dried (S52). The compressor45serves to heat air flowing inside the duct part30to provide hot air (heat) to be supplied into the drum20. Accordingly, moisture may be evaporated from the objects to be dried through the driving of the compressor45, and the sterilization (sanitization) effect may be obtained by the heat supplied from the compressor45. The circulation fan43of the present disclosure starts to rotate in the steam drying procedure drying operation S30and is rotated by gradually increasing the rotational speed thereof according to a preset condition (S33a, S33b, and S33c). In the steam drying procedure steam supply operation S40, the rotation of the circulation fan43is stopped when steam is sprayed (S43b). Then, the circulation fan30is rotated again in the re-drying operation S50and the steam drying procedure cooling operation S60(S53and S63). The circulation fan43of the present disclosure, which is controlled irrespective of the rotation of the drum20, is rotated when cooling is required after heated air is moved by driving the compressor45or drying is completed. Rotation of the circulation fan43is stopped when steam is sprayed, which does not require flow of air. Accordingly, with the circulation fan43of the present disclosure, the supply efficiency of steam may be improved, and the sterilization (sanitization) efficiency for the objects to be dried may be improved. In addition, the rotational speed of the circulation fan43of the present disclosure may be changed independently of the rotational speed of the drum. Accordingly, the rotational speed of the circulation fan43may be changed in response to the temperature of the objects to be dried, the temperature of the drum20, or the temperature of the refrigerant discharged from the compressor45during the steam drying procedure drying operation S30. Thereby, the circulation efficiency of hot air may be improved. The steam part90of the present disclosure receives water for generation of steam in the steam drying procedure laundry amount sensing operation S20(S24), and is operated for preheating S44afor steam generation and steam spray S44bin the steam drying procedure steam supply operation S40. After the steam drying procedure drying operation S30is finished, the steam part90may supply steam to the objects to be dried to mitigate the moisture imbalance that may occur between the objects to be dried and increase the enthalpy inside the drum20. Thereby, the sterilization (sanitization) effect may be enhanced. First, the moisture imbalance mitigation effect according to the present disclosure will be described in detail. When multiple objects to be dried are simultaneously dried, the moisture evaporation rate may depend on the thickness of the objects to be dried and the characteristics of the material of the objects. In other words, moisture may remain in an object formed of a thick or hydrophilic material even after the steam drying procedure drying operation S30. Little moisture may remain in an object formed of a thin or hydrophobic material after the steam drying procedure drying operation S30. At this time, when moisture is supplied to the objects to be dried through the steam drying procedure steam supply operation S40, moisture is reabsorbed by the object formed of the thin or hydrophobic material, while the object formed of a thick or hydrophilic material undergoes an increase in the evaporation amount along with an increase in humidity. Thereby, the overall moisture content is balanced among the objects to be dried. Therefore, according to the present disclosure, the overall degree of drying of the objects to be dried may become uniform through the steam drying procedure steam supply operation S40and the re-drying operation S50(seeFIG.8). In addition, even when the objects to be dried are over-dried in the steam drying procedure drying operation S30, moisture may be replenished through the steam drying procedure steam supply operation S40. Accordingly, damage to the objects may be prevented. Next, the sterilization (sanitization) effect according to the present disclosure will be described in detail. In the steam drying procedure drying operation S30, when hot air is supplied to the objects to be dried, moisture is first removed from the objects to be dried. Thereafter, when the steam drying procedure drying operation S30continues, the temperature of the inside of the drum20or the objects to be dried reaches a reference temperature required for sterilization (sanitization) (seeFIGS.6A to7C). At this time, when high-temperature steam is sprayed from the steam part90of the present disclosure onto the objects to be dried, the humidity of the objects to be dried rises instantaneously (seeFIGS.7A to7C). The microorganisms present in the objects to be dried are exposed to the high thermal energy of the high-temperature steam, and thus the cells thereof may be destroyed. Thus, the microorganisms are killed. In contrast, in the absence of the steam drying procedure steam supply operation S40of the present disclosure, the amount of heat generated by driving the compressor45in the steam drying procedure drying operation S30is used to remove moisture from the objects to be dried. Even when the temperature of the objects to be dried rises to reach a standard temperature (e.g., 60° C.) required for sterilization, there is a limit to providing sufficient heat for sterilization because most moisture has already been removed from the objects or the drum20. The temperature of the drum20may be further increased for additional supply of heat. However, when only hot air is further supplied, the objects to be dried may dry out and may be damaged due to friction. According to the present disclosure, both prevention of damage to the objects to be dried and sterilization of the objects may be obtained through the steam drying procedure steam supply operation S40and the re-drying operation S50. FIG.10is a flowchart illustrating a control method of the laundry dryer1according to another embodiment of the present disclosure, andFIGS.11A and11Billustrate a specific application example of a steam drying method related to the other embodiment of the present disclosure. A control method of the laundry dryer1according to another embodiment of the present disclosure will be described with a reference toFIGS.1to3,10,11A, and11B. The control method of the laundry dryer1according to the other embodiment of the present disclosure may include a procedure inputting operation S100, a sterilization steam heating operation S200, a sterilization drying operation S300, a steam re-sterilization operation S400, a temperature maintenance operation S500, and a sterilization cooling operation S600. In the procedure inputting operation S10, a control input for execution of a steam sterilization procedure for sterilizing microorganisms that may be present in objects to be dried including clothes, towels, and bedding is input. That is, when the laundry dryer1of the present disclosure is turned on, the user may input a control input through the input unit118. The user may input the steam sterilization procedure to sterilize microorganisms that may be present in the objects to be dried. Here, the microorganisms may includeStaphylococcus aureus, Pseudomonas aeruginosa, Escherichia coli, and dust mites. In the sterilization steam heating operation S200, the controller100may supply steam into the drum20. Here, in the sterilization steam heating operation S200, the controller100may sense the laundry amount of the objects to be dried through the rotation of the drum20(S210a). After sensing the laundry amount, the controller100may continuously rotate the drum20at a constant speed (S210b). That is, in the sterilization laundry amount sensing operation S210aof sensing the laundry amount, the controller100may sense the load of the objects to be dried by rotating the drum20, and sense the laundry amount of the objects to be dried based on the sensed load. In the operation S210bof rotating the drum at the constant speed, the controller100may rotate the drum20at a pre-input reference speed Wr. For example, the controller100may continuously rotate the drum20at a rotational speed higher than or equal to 45 rpm and lower than or equal to 55 rpm. At this time, the controller100skips driving the compressor45to prevent an instantaneous increase in power consumption of the laundry dryer1(S220). In the sterilization steam heating operation S200, the controller100may control the rotation of the circulation fan43in connection with the operation control of the steam part90, which will be described later (S230). In the present disclosure, the controller100may control the steam part90to supply steam into the drum20(S240). The sterilizing steam heating operation S200may further include a sterilizing steam supply operation S240a, a sterilizing steam preheating operation S240b, and a sterilizing steam spraying operation S240c. In the sterilization steam water supply operation S240a, the controller100may supply water from the water supplier80to the steam part90. According to an embodiment, the controller100operate a water supply pump provided in the internal water supplier81to supply water into the steam generator91, and may open the direct water valve82aprovided in the external water supplier82to supply water into the steam generator91. For example, in the sterilization steam water supply operation S240a, water more than or equal to 150 cc and less than or equal to 250 cc may be supplied from the water supplier80to the steam generator91, and the time required to supply water from the water supplier80to the steam generator91may be longer than or equal to 30 seconds and shorter than or equal to 1. In the sterilization steam supplying operation S240a, the controller100skips rotating the circulation fan43(S230a). In the sterilization steam preheating operation S240b, the controller100may apply power to the steam part90to heat water supplied for steam generation for a preset preheating time th. Specifically, in the sterilization steam preheating operation S240b, the controller100may heat water supplied to the steam generator91by applying power to a heater (not shown) provided in the steam generator91. In this operation, the controller100may apply power to the heater for the preheating time th. The preheating time th may be set to be greater than or equal to a time required for the water to reach a boiling point. For example, in the sterilization steam preheating operation S240b, the controller100may generate a control command to apply power to the steam part90for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 seconds. In the sterilization steam preheating operation S240b, the controller100may drive the circulation fan43at a preset first circulation speed Vs1for a preset circulation time tcs. For example, in the sterilization steam preheating operation S240b, the controller100may drive (rotate) the circulation fan43at a speed greater than or equal to 2500 rpm and less than or equal to 3500 for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 (S230b). In the sterilization steam spraying operation S240cafter the sterilization steam preheating operation S240b, the controller100may spray the steam generated from the steam part90into the drum20by a preset spray amount. Specifically, in the sterilization steam spraying operation S240c, the controller100may generate a control command for the steam generator91such that water that is heated by the steam generator91and starts boiling flows through the steam pipe92and is sprayed into the drum body21through the steam nozzle93. For example, in the sterilization steam spraying operation S240c, the controller100may cause water whose amount is greater than or equal to 150 cc and less than or equal to 250 cc to be sprayed from the steam generator91into the drum20. In this case, the time required to spray the steam may be longer than or equal to 6 minutes 30 seconds and shorter than or equal to 7 minutes 30 seconds. In the sterilization steam spraying operation S240c, after the circulation time tcs has elapsed, the controller100may stop rotating the circulation fan43in order to sufficiently supply steam to the objects to be dried (S230c). Accordingly, in the sterilization steam heating operation S200, the controller100may operate the steam generator91and the drum20. The controller100may rotate and the circulation fan43during steam preheating. During steam spray, the controller100may stop rotating the circulation fan43and skip driving the compressor45. Accordingly, as the high-temperature steam is absorbed into the objects to be dried by the sterilization steam heating operation S200, the temperature of the objects to be dried may rise, and hot air heating in the sterilization drying operation S300, which will be described later, may be prevented from causing damage to the objects. In the sterilization drying operation S300, the internal temperature of the drum20to which steam is supplied may be increased. When the internal temperature of the drum20rises to a preset sterilization temperature Ts in the sterilization drying operation S300, the controller100may enter the steam re-sterilization operation S400, which will be described later. The sterilization drying operation S300may include an operation S310of rotating the drum20at a pre-input reference speed Wr input by the controller100. For example, the controller100may continuously rotate the drum20at a rotational speed greater than or equal to 45 rpm and less than or equal to 55. In addition, the sterilization drying operation S300may include an operation S320of driving (rotating) the compressor45by the controller100. In this case, the controller100may control the operating frequency f of the compressor45within a preset maximum frequency fmax range. For example, the controller100may drive the compressor45by raising or lowering the operating frequency f within a maximum frequency fmax range of 85 Hz to 105 Hz. When entering the sterilization drying operation S300, the controller100may drive the compressor45at the operating frequency f equal to the maximum frequency fmax in order to quickly increase the internal temperature of the drum20(S320a). In this operation, the controller100may generate a control command to increase output power for driving of the compressor45up to the maximum frequency fmax at one time, or may generate a control command to increase the rotational speed of the compressor motor45ain multiple stages in order to prevent the compressor motor45afrom being overloaded to be broken. As an example, the controller100may first generate a control command for driving the compressor45at a frequency greater than or equal to 55 Hz and less than or equal to 65 Hz, and then generate a control command for driving the compressor45at a frequency greater than or equal to 75 Hz and less than or equal to 85 Hz. Then, the controller100may finally generate a control command for driving the compressor45at the operating frequency f. After driving the compressor45at the operating frequency f equal to the maximum frequency fmax, the controller100may sense the temperature inside the drum20for energy efficiency and failure prevention, and drive the compressor45while maintaining the operating frequency f to be lower than the maximum frequency fmax (S320b). At this time, the controller45may sense (measure) the temperature inside the drum20through the sensor116installed in the duct part30. In the sterilization drying operation S300, as the circulation fan43continues to rotate as described later, the air inside the drum20continues to circulate while flowing inside the duct part30. Accordingly, the controller100may measure the temperature inside the drum20through the sensor116installed in the duct part30. The sensor116installed in the duct part30may be a temperature sensor. When the internal temperature T of the drum20rises to a preset sterilization temperature Ts (T≥Ts) in the sterilization drying operation S300, the controller100may stop driving the compressor45, and enter the steam re-sterilization operation S400, which will be described later (S320c). Specifically, when the temperature T measured through the sensor116installed in the duct part30is higher than or equal to 60° C., the controller100may stop driving the compressor45. The sterilization drying operation S300may include an operation S330of rotating the circulation fan43by the controller100. Specifically, in the sterilization drying operation S300, the controller100may drive the circulation fan43at a preset second circulation speed Vs2while the compressor45is being driven. For example, in the sterilization drying operation S300, the controller100may drive (rotate) the circulation fan43at a speed greater than or equal to 3500 rpm and less than or equal to 4500 rpm while the compressor45is being driven. In the sterilization drying operation S300, the controller100may skip operating the steam part90(S340). That is, in the sterilization drying operation S300, the controller100may drive the drum20, the circulation fan43, and the compressor45. Accordingly, according to the sterilization drying operation S300, heat exchange may occur between the air flowing through the drum20and the duct part30and the refrigerant of the heat exchanger40by driving of the compressor45, the temperature inside the drum20and the duct part30may increase, and the temperatures inside the drum20and the duct part30may increase to a temperature Ts required for sterilization of the objects to be dried. In the steam re-sterilization operation S400, the controller100may supply steam into the drum20. In the steam re-sterilization operation S400, the controller100may continuously rotate the drum20at the pre-input reference speed Wr (S410). For example, the controller100may continuously rotate the drum20at a rotational speed greater than or equal to 45 rpm and less than or equal to 55. In the steam re-sterilization operation S400, the controller100stops driving the compressor45to prevent an instantaneous increase in power consumption of the laundry dryer1(S420). In the steam re-sterilization operation S400, the controller100stops the rotation of the circulation fan43in order to reduce the flow of steam to supply sufficient steam to the drum20(S430). In the steam re-sterilization operation S400, the controller100may control the steam part90to supply steam into the drum20(S440). The steam re-sterilization operation S400may include a steam water resupply operation S440a, a steam re-preheating operation S440b, and a steam re-spraying operation S440c. In the steam water resupply operation S440a, the controller100may supply water from the water supplier80to the steam part90. According to an embodiment, the controller100may operate a water supply pump provided in the internal water supplier81to supply water into the steam generator91, and may open the direct water valve82aprovided in the external water supplier82to supply water into the steam generator91. For example, in the steam water resupply operation S440a, water more than or equal to 150 cc and less than or equal to 250 cc may be supplied from the water supplier80to the steam generator91, and the time required to supply water from the water supplier80to the steam generator91may be longer than or equal to 30 seconds and shorter than or equal to 1. In the steam re-heating operation S440b, the controller100may apply power to the steam part90to heat the water supplied for steam generation for a preset preheating time th. Specifically, in the steam reheating operation S440b, the controller100may heat water supplied to the steam generator91by applying power to a heater (not shown) provided in the steam generator91. In this operation, the controller100may apply power to the heater for the preheating time th. The preheating time th may be set to be greater than or equal to a time required for the water to reach a boiling point. For example, in the steam reheating operation S440b, the controller100may generate a control command to apply power to the steam part90for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 seconds. In the steam re-spraying operation S440cafter the steam re-preheating operation S440b, the controller100may spray the steam generated from the steam part90into the drum20by a preset spray amount. Specifically, in the steam re-spraying operation S440c, the controller100may generate a control command for the steam generator91such that water that is heated by the steam generator91and starts boiling flows through the steam pipe92and is sprayed into the drum body21through the steam nozzle93. For example, in the steam re-spraying operation S440c, the controller100may cause water whose amount is greater than or equal to 150 cc and less than or equal to 250 cc to be sprayed from the steam generator91into the drum20. In this case, the time required to spray the steam may be longer than or equal to 6 minutes 30 seconds and shorter than or equal to 7 minutes 30 seconds. Therefore, according to the steam re-sterilization operation S400, the controller100may supply high-temperature moisture into the drum20through the steam part90, thereby increasing the enthalpy inside the drum20and improving the sterilization (sanitization) effect. In the temperature maintenance operation S500after the steam re-sterilization operation S400, the controller100may maintain the internal temperature of the drum20for a preset maintenance time. In the temperature maintenance operation S500, the controller100may continuously rotate the drum20at the pre-input reference speed Wr (S510). For example, the controller100may continuously rotate the drum20at a rotational speed higher than or equal to 45 rpm and lower than or equal to 55 rpm. The temperature maintenance operation S500may include a reheating operation S520aand a heating control operation S520b. The reheating operation S520amay be performed when the controller enters the temperature maintenance operation S500after the steam re-sterilization operation S400. In the reheating operation S520a, the controller100may drive the compressor100at a preset safety frequency fs. For example, in the reheating operation S520a, the controller100may drive the compressor100at a frequency greater than or equal to 25 Hz and less than or equal to 35 Hz. That is, the controller100may supply steam into the drum20by re-operating the steam part90and then drive the compressor45at the safety frequency fs. Accordingly, according to the present disclosure, a situation in which a malfunction occurs in the laundry dryer1or a situation in which the power supplied to the laundry dryer1is suddenly cut off may be prevented. More specifically, when the temperature maintenance operation S500is entered after the steam re-sterilization operation S400, the power supply applied to the steam generator91and the power supply starting to be applied to the compressor45may instantaneously overlap with each other. As a result, the total power consumption of the laundry dryer may increase rapidly, which may cause a malfunction in the laundry dryer1or the power supplied to the laundry dryer may be suddenly cut off. In order to address this issue, in the present disclosure, when entering the temperature maintenance operation S500, the controller100drives the compressor45by setting the operating frequency f to the safety frequency fs. In this case, since the power supplied to the compressor45is relatively low, a sudden increase in total power consumption may be prevented. In the heating control operation S520bafter the reheating operation S510a, the controller100may measure the temperature inside the duct part30, and perform a control operation to change the operating frequency f of the compressor45according to the measured temperature inside the duct part30to maintain the temperature inside the duct part30. Specifically, in the heating control operation S520b, when the operation of the steam generator91is terminated and the overall power consumption is stabilized through the reheating operation S510a, the controller100measures the temperature inside the duct part30, and changes the operating frequency f in order to maintain the temperature T inside the duct part30above the sterilization temperature Ts. That is, when the temperature T inside the duct part30continues to increase beyond the sterilization temperature Ts, the controller100generates a control command to reduce the operating frequency f to drive the compressor45. In addition, when the temperature T inside the duct part30starts to decrease from above the sterilization temperature Ts, the controller100generates a control command to increase the operating frequency f to drive the compressor45. Therefore, according to the present disclosure, in the heating control operation S520b, the controller100may continuously maintain the sterilization temperature Ts. In the heating control operation S520b, the controller100may maintain the temperature T inside the duct part30above the sterilization temperature Ts for a preset temperature maintenance time tm, and then terminate driving of the compressor45. For example, in the heating control operation S520b, the controller100may maintain the temperature T inside the duct part30at 60° C. or higher for a time longer than or equal to 70 minutes and shorter than or equal to 80 minutes (preferably for 75 minutes), and then terminate the driving of the compressor45. In the temperature maintenance operation S500, the controller100may rotate (drive) the circulation fan43while maintaining the second circulation speed Vs2as the rotational speed of the circulation fan43(S530). In the temperature maintenance operation S500, since sufficient moisture has been supplied to the objects to be dried, the controller100may skip (stop) operating the steam part90(S540). Accordingly, in the temperature maintenance operation S500, the controller100may operate the drum20, the compressor45, and the circulation fan43. In particular, the controller100may maintain the temperature T inside the duct part30above the sterilization temperature Ts while changing the operating frequency of the compressor45. Therefore, the objects to be dried may be maintained at a temperature higher than or equal to the sterilization temperature Ts by the temperature maintenance operation S500for a time longer than or equal to a reference time required for sterilization. In the sterilization cooling operation S600after the temperature maintenance operation S500, the controller100may perform a control operation to blow hot air inside the drum20for a pre-input blowing time to cool the objects to be dried. For example, in the sterilization cooling operation S600, the controller100may cool the objects to be dried by blowing hot air inside the drum20for a time longer than or equal to 3 minutes 30 seconds and shorter than or equal to 4 minutes 30 seconds. The sterilization cooling operation S600may include an operation S610of rotating the drum20at a pre-input reference speed Wr by the controller100. For example, the controller100may continuously rotate the drum20while maintaining the drum20at a rotational speed higher than or equal to 45 rpm or lower than or equal to 55 rpm. In the sterilization cooling operation S600, the controller100may terminate the driving of the compressor45to lower the temperature of the dried objects (S620). In addition, in the sterilization cooling operation S600, the controller100may rotate (drive) the circulation fan43at the second circulation speed Vs2as the rotational speed of the circulation fan43in order to blow the heated air inside the drum20(S630). In the sterilization cooling operation S600, since sufficient moisture has been supplied to the objects to be dried, the controller100may skip (stop) operating the steam part90(S640). Accordingly, in the sterilization cooling operation S600, the controller100may lower the temperature of the objects to be dried by rotating the drum20and the circulation fan43. FIG.12exemplarily depicts a change in temperature of objects to be dried according to the control method of the laundry dryer according to the other embodiment of the present disclosure, andFIG.13exemplarily depicts a change in humidity of objects to be dried according to the control method of the laundry dryer according to the other embodiment of the present disclosure.FIG.14is a table for explaining sterilization conditions of objects to be dried according to the control method of the laundry dryer according to the other embodiment of the present disclosure. The damage prevention effect for objects to be dried and the sterilization (sanitization) effect for the objects to be dried according to the present disclosure will be described with reference toFIGS.10to14. According to the control method of the laundry dryer1according to the other embodiment of the present disclosure, the drum20of the present disclosure is rotated in the sterilization steam heating operation S200to sense the load (S210), and is controlled to rotate at a constant speed in the sterilization drying operation S300, the steam re-sterilization operation S400, the temperature maintenance operation S500, and the sterilization cooling operation S600(S310, S410, S510, S610). That is, the drum20continues to rotate after the sterilization steam heating operation S200. Accordingly, in the present disclosure, the drum20serves to turn over and mix the objects to be dried to evenly supply hot air and steam are to the objects to be dried. Accordingly, in the present disclosure, the continuous rotation of the drum20may evenly dry the objects to be dried and prevent hot air from being concentrated on a portion of the objects to cause damage thereto. In addition, since steam is evenly supplied to the objects to be dried by the rotation of the drum20, the entire objects to be dried may be evenly sterilized. The compressor45of the present disclosure starts to be driven in the sterilization drying operation S300to increase the temperature inside the drum20(S320), and then the driving of the compressor45is stopped in the steam re-sterilization operation S400(S420). The compressor45is driven again in the temperature maintenance operation S500to sterilize the objects to be dried (S520). The compressor45serves to heat air flowing inside the duct part30to provide hot air (heat) to be supplied into the drum20. Accordingly, moisture may be evaporated from the objects to be dried through the driving of the compressor45, and the sterilization (sanitization) effect may be obtained by the heat supplied from the compressor45. The circulation fan43of the present disclosure starts to rotate in the sterilization steam preheating operation S230b, and the rotation thereof is stopped in the sterilization steam spraying operation S230c. The circulation fan43is rotated again in the sterilization drying operation S300(S330). The rotation is stopped in the steam re-sterilization operation S400(S430), and then the circulation fan43is rotated again in the temperature maintenance operation S500and the sterilization cooling operation S600(S530, S630). The circulation fan43of the present disclosure, which is controlled irrespective of the rotation of the drum20, is rotated when cooling is required after heated air is moved by driving the compressor45or the sterilization is terminated. Rotation of the circulation fan43is stopped when steam is sprayed, which does not require flow of air. Accordingly, with the circulation fan43of the present disclosure, the supply efficiency of steam may be improved, and the sterilization (sanitization) efficiency for the objects to be dried may be improved. In addition, the rotational speed of the circulation fan43of the present disclosure may be changed independently of the rotational speed of the drum20. Accordingly, the rotational speed of the circulation fan43may be changed in response to the temperature of the objects to be dried, the temperature inside the drum20, or the temperature inside the duct part30during the sterilization drying operation S300. Thereby, the circulation efficiency of hot air may be improved. The steam part90of the present disclosure receives water for steam generation in the sterilization steam heating operation S200and the steam re-sterilization operation S400, and is operated for preheating for steam generation and steam spray. The controller100may increase the efficiency of heating the inside of the drum20by supplying high-temperature moisture into the drum20through the steam part90in the sterilization steam heating operation S200. That is, when hot steam is supplied to the objects to be dried in any cases where the objects to be dried has been washed or has not been washed, an amount of heat is transferred to the objects to be dried. At this time, when hot air is supplied in the sterilization drying operation S300, the temperature of the objects to be dried or the temperature inside the drum20may increase more rapidly. The controller100may supply high-temperature moisture into the drum20through the steam part90in the steam re-sterilization operation S400, thereby increasing the enthalpy inside the drum20and improving the sterilization (sanitization) effect. Next, the sterilization (sanitization) effect according to the present disclosure will be described in detail. When hot air is supplied to the objects to be dried in the sterilization drying operation S300, the temperature of the inside of the drum20or the objects to be dried reaches a reference temperature (60° C. or higher) required for sterilization (sanitization). At this time, when the steam part90sprays high-temperature steam onto the objects to be dried in the steam re-sterilization operation S400of the present disclosure, the enthalpy of the objects to be dried is increased. Thereafter, through the temperature maintenance operation S500, the temperature T inside the drum20is maintained above the sterilization temperature Ts for a temperature maintenance time tm. Accordingly, microorganisms or the like present in the objects to be dried are exposed to high thermal energy, and thus the cells thereof may be destroyed. Thus, the microorganisms are killed. Here, the temperature maintenance time tm exceeds the time required to kill the microorganisms or the like as disclosed inFIG.14. In contrast, in the absence of the steam re-sterilization operation S400of the present disclosure, the amount of heat generated by driving the compressor45in the sterilization drying operation S300is used to remove moisture from the objects to be dried. Even when the temperature of the objects to be dried rises to reach a standard temperature (e.g., 60° C.) required for sterilization, there is a limit to providing sufficient heat for sterilization because most moisture has already been removed from the objects or the drum20. The temperature of the drum20may be further increased for additional supply of heat. However, when only hot air is further supplied, the objects to be dried may dry out and may be damaged due to friction. According to the present disclosure, both prevention of damage to the objects to be dried and sterilization of the objects may be obtained through the steam re-sterilization operation S400and the temperature maintenance operation S500. Although the present disclosure has been described in detail through specific embodiments, this is merely intended to describe the present disclosure in detail, and the present disclosure is not limited thereto. It will be apparent to those skilled in the art that various modifications and variations can be made in the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure cover the modifications and variations of this disclosure provided they come within the scope of the appended claims and their equivalents. | 88,193 |
11859343 | DETAILED DESCRIPTION EMBODIMENTS OF THE INVENTIONS Hereafter, the present invention will be described in more detail. The present invention relates to a resin composition for preventing fibers from unraveling, and more specifically, a purpose of the invention is to provide a resin composition which can permanently prevent fibers from unraveling using a resin comprising thermosetting composite resin compound. More particularly, the purpose of the present invention is to provide a resin composition for preventing fibers from unraveling, which has advantages that it is manufactured without seam finishes and a seamstress through using coating the resin composition on fibers. In order to achieve the goal above, the inventors of the present invention found that permanent prevention of fiber unraveling can be possible by applying a method of hardening a composite resin compound made from a thermosetting resin with heat to fibers; the inventors completed the present invention based on the above. A resin composition for preventing fibers from unraveling according to the present invention, which achieves the goal above, comprises:ethyl acrylate;poly acrylic Amide;poly urethane;methyl acrylate;formaldehyde;antistatic agent; quaternary ammonium; maleic anhydride, and surfactant. Preferably, the thermosetting resin composition comprises:from about 20 weight % to about 30 weight % of the ethyl acrylate;from about 30 weight % to about 40 weight % of the poly acrylic amide;from about 15 weight % to about 16 weight % of the poly urethane;from about 13 weight % to about 32 weight % of the methyl acrylate;from about 2 weight % to about 3 weight % of the formaldehyde;from about 0.3 owf % to about 0.5 owf % of the antistatic agent; from about 0.1 owf % to about 0.3 owf % of the quaternary ammonium; from about 0.05 owf % to about 0.1 owf % of the maleic anhydride, and from about 0.2 owf % to about 0.3 owf % of the surfactant. It was found that the 0.5 owf % or more of the antistatic agent causes migration phenomenon and deteriorates the antistatic effect. It was found that this phenomenon occurs differently in synthetic fibers and natural fibers. When the natural fibers had static electricity, the result was totally different. However, the antistatic agent of the present invention applies to synthetic fibers. When the resin composition comprises less than about 20 weight % of the ethyl acrylate content, adhesive effect of it is significantly degraded. When the resin composition comprises more than about 30 weight % of the ethyl acrylate content, texture of it may be too hard or too firm. In addition, when the resin composition comprises less than about 30 weight % of the poly acrylic amide content, adhesive effect of it is significantly degraded. When the resin composition comprises more than about 40 weight % of the ethyl acrylate content, texture of it may be too hard or too firm. When the resin composition comprises less than about 15 weight % of the poly urethane content, adhesive effect of it is significantly degraded. When the resin composition comprises more than about 16 weight % of the poly urethane content, texture of it may be too hard or too firm. When the resin composition comprises less than about 13 weight % of the methyl acrylate content, adhesive effect of it is significantly degraded. When the resin composition comprises more than about 32 weight % of the methyl acrylate content, texture of it may be too hard or too firm. When the resin composition comprises less than about 2 weight % of the formaldehyde content, adhesive effect of it is significantly degraded. When the resin composition comprises more than about 3 weight % of the formaldehyde content, texture of it may be too hard or too firm. According to the present invention, the antistatic agent is nonionic polyethylene glycol Ester, or poly urethane polymer and ethyl acetate. Preferably, from about 0.3 owf % to about 0.5 owf % of the antistatic agent is blended into a resin composition. It was found that when less than about 0.3 owf % of the antistatic agent content was blended into the resin composition, antistatic effect of it was significantly degraded. When more than about 0.5 weight % of the antistatic agent content was blended into the resin composition, migration was occurred, and antistatic property was degraded. According to the present invention, from about 0.1 owf % to about 0.2 owf % of quaternary ammonium is blended into a resin composition. The quaternary ammonium comprises about 30 owf % of the Cationic Amine based on total weight of the quaternary ammonium, about 20 weight % of cation, about 12 weight % of ethylene oxide Tween, about 38 weight % of Antistatic (E.I. Du Pont de Nemours). The quaternary ammonium has antistatic property; as cationic surfactant, the quaternary ammonium converts hydrophobic substance into hydrophile substance through hydrolysis. Preferably, from about 0.3 owf % to about 0.5 owf % of the quaternary ammonium is blended into a resin composition. It was found that when less than about 0.3 owf % of the quaternary ammonium was blended into the resin composition, antistatic effect of it was not clearly seen. When the more than about 0.5 owf % of the quaternary ammonium was blended into the resin composition, antistatic effect of it was degraded. According to the present invention, the maleic anhydride easily dissolves in water as inorganic compound and help fiber material to absorb water through providing hydrophile property to the fiber material. Preferably, from about 0.3 owf % to about 0.4 owf % of the maleic anhydride is blended into a resin composition. When less than about 0.3 owf % of the maleic anhydride was blended into the resin composition, adhesive effect of it is significantly degraded. When more than about 0.4 owf % of the maleic anhydride was blended into the resin composition, textile of it was too hard or too firm. According to the present invention, preferably the surfactant is nonionic compound. The surfactant is Dedecyl Trimethyl Chloride made with Cationic surfactant, which was found as an appropriate surfactant in the present invention. It was found that the surfactant in the present invention has continuous sterilizing capacity, good emulsifying capacity, and high antistatic property. In addition, as the surfactant has high chemical and mechanical stability and is a compound consisting of hydrophobic and hydrophile parts, it was shown that the surfactant has good emulsifying capacity and sterilizing capacity. Specially, the surfactant can be used in from about 5 to about 9 range on the PH, which was found that the surfactant is appropriate to the present invention. The content of the surfactant is from about 0.2 owf % to about 0.3 owf %. The thermosetting resin composition made as mentioned above according to the present invention can provide a resin composition having properties of from about 80,000 to about 120,000 cps of viscosity at (30±1)° C., from about 34% to about 52% of solid content, from about 5 kgf/cm2to about 20 kgf/cm2of 100% modulus, from about 100 kgf/cm2to about 500 kgf/cm2of tensile strength, and from about 800% to about 2,000% of elongation. Hereafter, the present invention will be described in more detail with reference to the accompanying drawings. The graphs of the accompanying drawings described below shows test results which were performed with a resin composition as a sample, which was made based on the description above according to the present invention. The test results in the accompanying drawings are preferable embodiments, and the present invention is not limited to the test results in the accompanying drawings. The present invention includes many modifications which can be made by an ordinary person skilled in the art within scopes of appended claims. FIG.1is a graph showing the test results of thermosetting phenomenon by tenter dryer temperature and heat of a resin composition according to one embodiment of the present invention. Generally, a thermosetting resin is likely to be hard when heat treatment. In order to control the hardness, the test was performed to know surface hardness of the resin composition according to heat range. The graph inFIG.1is the test result of the variation of the surface hardness of the resin composition according to heat range. A heat tenter dryer was used for curing when heat-setting. The variations of the hardness were shown as two aspects. It was found that the first was the variation of hardness according to a concentration of a resin composition and the second was the variation of hardness according to temperature when heat treatment. As shown inFIG.1, hardening phenomenon according to temperature regularly increases; however, when the temperature was over 230° C., carbonization was partially occurred, and melting phenomenon was occurred in some parts. FIG.2is a graph showing a correlation between the quantity of a resin composition and hardness according to one embodiment of the present invention. The results show that the quantity of a resin composition provided in the present invention is appropriate when it is from about 3 owf % (on the weight of fiber) to about 5 owf %. Specifically, the experiment was performed by increasing a concentration of the resin composition with a fixed heat treatment time and a fixed heat temperature under the same other conditions. FIG.3is a graph showing a relation between the concentration of a resin composition and a tackiness, a degree of how much threads are not unraveled, according to one embodiment of the present invention. The experiment was performed by increasing temperature with a constant concentration of a resin composition. The experiment showed the test result as below. FIGS.4and5are a graph showing a correlation between the concentration of a resin composition and hardness according to one embodiment of the present invention. It was found that an amount of coating substance is appropriate in from about 60% to about 70% based on a maximum of softness, a softened state, not a peak of a elastic region. As described above, it was found in the present invention that stabilization of a resin composition and especially, a heat treatment are important to embody a right design using a proper compound. | 10,341 |
11859344 | DETAILED DESCRIPTION The following detailed description of the preferred embodiments is presented only for illustrative and descriptive purposes and is not intended to be exhaustive or to limit the scope and spirit of the invention. The embodiments were selected and described to best explain the principles of the invention and its practical application. One of ordinary skill in the art will recognize that many variations can be made to the invention disclosed in this specification without departing from the scope and spirit of the invention. Similar reference characters indicate corresponding parts throughout the several views unless otherwise stated. Although the drawings represent embodiments of various features and components according to the present disclosure, the drawings are not necessarily to scale and certain features may be exaggerated in order to better illustrate embodiments of the present disclosure, and such exemplifications are not to be construed as limiting the scope of the present disclosure. Except as otherwise expressly stated herein, the following rules of interpretation apply to this specification: (a) all words used herein shall be construed to be of such gender or number (singular or plural) as to circumstances require; (b) the singular terms “a,” “an,” and “the,” as used in the specification and the appended claims include plural references unless the context clearly dictates otherwise; (c) the antecedent term “about” applied to a recited range or value denotes an approximation within the deviation in the range or values known or expected in the art from the measurements; (d) the words “herein,” “hereby,” “hereto,” “hereinbefore,” and “hereinafter,” and words of similar import, refer to this specification in its entirety and not to any particular paragraph, claim, or other subdivision, unless otherwise specified; (e) descriptive headings are for convenience only and shall not control or affect the meaning or construction of any part of the specification; and (f) “or” and “any” are not exclusive and “include” and “including” are not limiting. Further, the terms, “comprising,” “having,” “including,” and “containing” are to be construed as open-ended terms (i.e., meaning “including but not limited to”). References in the specification to “one embodiment,” “an embodiment,” “an exemplary embodiment,” etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described. To the extent necessary to provide descriptive support, the subject matter and/or text of the appended claims is incorporated herein by reference in their entirety. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range of within any sub ranges there between, unless otherwise clearly indicated herein. Each separate value within a recited range is incorporated into the specification or claims as if each separate value were individually recited herein. Where a specific range of values is provided, it is understood that each intervening value, to the tenth or less of the unit of the lower limit between the upper and lower limit of that range and any other stated or intervening value in that stated range or sub range hereof, is included herein unless the context clearly dictates otherwise. All subranges are also included. The upper and lower limits of these smaller ranges are also included therein, subject to any specifically and expressly excluded limit in the stated range. It should be noted that some of the terms used herein are relative terms. For example, the terms “upper” and “lower” are relative to each other in location, i.e. an upper component is located at a higher elevation than a lower component in a given orientation, but these terms can change if the device is flipped. The terms “inlet” and “outlet” are relative to a fluid flowing through them with respect to a given structure, e.g. a fluid flows through the inlet into the structure and flows through the outlet out of the structure. The terms “upstream” and “downstream” are relative to the direction in which a fluid flows through various components, i.e. the flow of fluids through an upstream component prior to flowing through the downstream component. The terms “horizontal” and “vertical” are used to indicate direction relative to an absolute reference, i.e. ground level. However, these terms should not be construed to require structure to be absolutely parallel or absolutely perpendicular to each other. For example, a first vertical structure and a second vertical structure are not necessarily parallel to each other. The terms “top” and “bottom” or “base” are used to refer to locations/surfaces where the top is always higher than the bottom/base relative to an absolute reference, i.e. the surface of the Earth. The terms “upwards” and “downwards” are also relative to an absolute reference; an upwards flow is always against the gravity of the Earth. Dispersers typically come in one of three varieties: disc, conical, and cylindrical. Although this detailed description primarily describes disc dispersers, conical and cylindrical dispersers typically function in a similar way. Instead of opposing discs, they feature nesting truncated cones and nested cylinders respectively. The exemplary plate segments described herein may be manufactured to work with conical or cylindrical dispersers, and such plate segments and types of dispersers are considered to be within the scope of this disclosure.FIGS.1A,1B and1Cshow a disperser10(FIG.1C) which houses rotor plate segments12mounted in an annular array to a rotor support disc14. The rotor plate segments12and support disc14are rotated about a center axis16of the disperser. The rotor plate segments12and disc14are turned by a shaft15drive by a drive motor (not shown). The disperser also houses stator plate segments18mounted in an annular array to a stator support disc20, which is fixed to the housing of the disperser. A center inlet22to the stator support disc20receives material to be processed between the rotor and stator plate segments. The material may be pulp recovered by recycling paper or packaging material. The material moves into a gap24between the front faces of the rotor plate segments12and the front faces of the stator plate segments18. The rotation of the rotor plate segments (and stator plate segments if they are configured to turn) creates centrifugal forces that propel the material through the gap24. As the material moves through the gap24, the material flows between and over teeth26arranged in rows on the front faces of each of the rotor plate segments14and the stator plate segments18. The rows of teeth26on the rotor plate segments12intermesh with the rows of teeth on the stator plate segments18. To provide for intermeshing, the rows of teeth on the rotor plate segments12are at different radii from the center axis16than are the rows of teeth on the stator plate segments18. The rows of teeth each extend through a plane28extending through the center axis16and radially outward through the gap24. As the material passes through the gap30, the teeth on the rotor and stator plate segments12,18impact the material and dislodges from the pulp fibers particles of ink, toner and stickies from the fibers of the pulp. Dislodging these particles from the fibers is desired. As the material exits the gap in a radial direction, the material enters an annular chamber30of the housing of the disperser10. The material moves through the chamber to a discharge outlet. After discharge, the material if further processed to separate the dislodged particles from the pulp fibers. Plate segments12,18may be, for example, individual annular sector-shaped components or a region of an annular plate. Plate segments that are individual annular sector-shaped components are arranged in an annular array to form a full plate. The plate segments/plate are mounted on a support disc14,20. An annular array of rotor plate segments14are mounted to the rotor disc support12, and annular array of stator plate segment18are mounted to the stator support disc13. The plate segments may be fastened to the disc by any convenient or conventional manner, such as by bolts (not shown) passing through bores17. The plate segments12,18are arranged side-by-side to form the annular array as they are mounted to each disc support. The rotor or stator plate may or may not be formed of separate component plate segments. Rather, the plate segments may be joined as a single-piece plate. While plate segments that are separate components are disclosed here, the invention may be embodied in an annular plate in which the plate segments are integrated into a single piece plate. A plate segment12,18has an inner edge32towards the center axis18of its attached disc support and an outer edge34near the periphery of the disc support. Each plate segment12,18, has a front face with a substrate45and, protruding from the substrate45, concentric rows42of teeth26. The rotation of the rotor disc support14and its plate segments12apply a centrifugal force to the refined material, e.g., fibers, that cause the material to move through the gap24between the discs in a radially outward direction from the inner edges32to the outer edges34of the plate segments. The pulped material predominantly move between adjacent teeth26in each row on the opposing discs. The pulped material flows radially out from the gap24at the outer periphery of the discs and into a casing30of the refiner10. The rows26of teeth on each disc are each at a common radial distance44from the disc center16. The rows26on a disc are concentric. The rows one the opposing discs intermesh across the gap24such that the teeth26intersect the plane28in the gap24between the discs. Fiber passing from the center inlet22of the stator disc, through the gap24and to the periphery of the discs receive impacts as the rotor teeth26pass close to the stator teeth28. The clearance between the rotor teeth28and the stator teeth28may be in a range of 0.5 to 12 millimeters (“mm”). The clearance may be selected such that the fibers are severely and alternately flexed as they pass between the teeth in the rows of the rotor and stator discs. Flexing the fiber breaks the ink and toner particles on the fibers into smaller particles and breaks off the stickie particles on the fibers. The clearance should not be so small as to damage or break the fibers. A rotor or stator plate segment12,18is shown in more detail inFIGS.1A and1Bthan inFIG.1C. The plate segment has an inner edge32and an outer edge34. These edges may be arc-shaped, wherein each arc is centered on the center axis16when the plate segments are mounted to a disc and in the disperser10. A back side36of the plate is configured to mount to a front surface of support disc14,20. The front side38includes an outer arc-shaped section40on which rows42of teeth28are arranged. Each row42is arc-spaced and is at a constant radius44from the center axis16of the disperser. The teeth extend out from a substrate45of the plate segment. An inner section46of the front face may be the planar substrate45of the front of the plate segment. The side edges48of the plate segment12,18may be a straight edge aligned along a radius from the center axis16. The side edges48are configured to be adjacent side edges of other plate segments mounted to the stator or rotor support disc. By arranging plate segments side-by-side on a support disc, the plate segments form an annular disc array on the support disc. Each of the rows42of teeth26on the plate segment are aligned along a common radius with a row of teeth on the other plate segments mounted to the support disc. Thus, the teeth from all of the plate segments mounted to a support disc are arranged in circular rows. These circular rows of teeth on rotor plate segments mounted to the rotor support disc intermesh with circular rows of teeth on stator plate segments mounted to the stator support disc in the disperser. FIGS.2A,2B,3A, and3Bshown ramps50and dams51between adjacent teeth26in a row42of teeth in conventional plate segments. These conventional dams50and ramps51are large protrusions extending from the substrate45of the front face of the plate segment. Ramps50may protrude from the substrate by 2 to 6 mm or more in height. Dams51may extend above the substrate from a few millimeters to about three-quarters (¾) of the tooth height. The ramps or dams may alternate with bridges between teeth in a row. For example, there may be a series of two to six bridges between adjacent teeth followed by a ramp or dam between the next one to six teeth. Ramps, dams and bridges may also be combined in any variation in between adjacent teeth, such as a bridge and a ramp in the same area. As is known in the art, ramps and dams strengthen the teeth to which they are attached. The height of the ramp or dam is selected to provide a desired level of support to the teeth. Ramps or dams that are relatively low as compared to the tooth height provide less support than do taller ramps or dams. Ramps or dams that are tall as compared to tooth height provide good support of the teeth but adversely affect the flow of pulped material through the teeth and can significantly reduce the production capacity of the disperser. Another adverse effect due to tall ramps or dams may be a reduction in the homogeneity of the pulped material by flowing the material in concentrated areas between the discs. FIGS.4A and4Bshow teeth26on a plate segment52configured to be mounted to a rotor or a stator support disc. The teeth26are arranged in concentric rows42. The teeth26in each row are connected by bridges56which span between and connect adjacent teeth. The bridges56provide structural support for the teeth and assist in preventing damage to the teeth by large, hard particles in the material moving between the teeth. The bridges56may span the slot57between adjacent teeth in a row. There may be bridges56between all adjacent teeth26and span all slots57in a row, as is shown inFIGS.2and3. The bridges56may be integral with the teeth26such that the teeth and bridges are formed of the same material and as a single piece cast component. These materials may be hard wear alloys, such as alloys with nickel and chromium, and martensitic or austenitic stainless steels. The bridges56may be included in all rows42of teeth on a plate segment. Alternatively, the bridges56may be in select ones of the rows and not part of other rows. For example, the bridges may be in the first few rows, such the first row, the first two rows, or the first three rows. The first rows are the radially inward rows. The first rows of teeth may be subjected to the largest particles in the material passing through the disperser. Also, the bridges may be useful in the radially outward rows42due to the higher centrifugal forces at the radially outward rows as compared to the radially inward rows. Thus, a plate segment may have rows42with bridges56on the radially outer row or outer few rows, such as the outer one to seven rows, and not on radially inward rows. In some applications, the radially inward rows tend to be wider and spaced apart to a greater extent the radially outer rows. Bridges may not be needed to support wide teeth. Further, bridges may not be suited to span wide gaps between teeth such as may exist in the radially inward mostrows. The bridges56are elevated about the substrate45of the front face of the plate segment12,18. Because of the elevation, there is a clearance58between the bottom of the bridge and the surface of the substrate45. The distance of the clearance58may be half of the height (H) of the teeth, one-third the height of the teeth, two-thirds the height of the teeth, eighty to ninety percent (80% to 90%) of the height, or substantially the entire height of the teeth. The distance of the clearance58is determined during the design of the plate segment. The distance of the clearance may be determined to improve the resistance of the teeth to breakage and to enhance the movement of the pulped material through the disperser. Staggering the position of bridges across different tooth spacing may allow a more uniform flow of fiber across and through rows of teeth. Also, staggering bridges on opposite sides of a tooth may provide enhanced strength to the tooth. The bridges56may have a cross section that is circular, as is shown inFIGS.2and3. The area of the cross section may be smaller than the area of a cross section of a tooth26. For example, the area of the cross section of the bridge may be one-fifth, one-third, one-half or two-thirds the area of a cross section of a tooth at the same elevation from the substrate45as the bridge. The bridges56may be offset from the leading face62of the teeth and similarly offset from the trailing face60of the tooth, as shown inFIGS.4A and4B. The bridges56may be centered on the sides of teeth along a radial direction, or they can be offset towards one of the edges. The substrate may include a shallow ramp or dam50between adjacent teeth in a row of teeth. The ramp or dam50may be short, such as less than one-quarter the height of the teeth, to reduce the influence of the ramp or dam on the homogeneity of the pulped material. If there is a ramp, a ledge54may be formed at the trailing edge (radially outward) side of the row of teeth. The ramp or dam50provides further structural support for the teeth. The ramp or dam50may also assist in processing the recycled material by directing the material away from the substrate and towards the upper regions of the teeth. FIGS.5A and5Bshow a plate segment64in which the bridges66form the top of the teeth26. Each row42of teeth has a bridge64arranged in an arc matching the arc formed by the row. The bridge66forms the upper portion of the teeth in the row. The front face of the bridge66may be in the same plane as the leading face62of the teeth in the row and the trailing face60of the teeth. The upper surface of the bridge may be planer and generally parallel to portions of the substrate other than ramps or dams. Also, the thickness of the bridge66may be less than the width, where the thickness is in a direction perpendicular to a radial line and the thickness is parallel to the radial line. FIGS.6A and6Bshow a plate segment68having rows of teeth26with a bridge in which segments70,72of the bridge alternate between segments70at a middle height between adjacent teeth and segments72at the top of adjacent teeth. The height of the bridge segments is with respect to the substrate45of the plate segment. Because of the alternating heights, the clearance58between the substrate and the bridge segments also varies between adjacent pairs of teeth. The alternating segments continue for all teeth in each row42. The alternating height teeth provide structural support for each tooth at both the top and middle of the tooth. Thus, the alternating heights may be used to provide increased structural strength to the teeth for plate segments expected to handle pulped material having large, hard particles or otherwise likely to have particles that could break teeth. FIGS.7A,7B,7C, and7Dshow bridges74,76,56, and78, between adjacent teeth26in different rows of plate segments. The bridges have different cross-sectional shapes. The bridge74has a triangular shape in cross section in which the apex of the triangle faces forward into the flow of pulped material flowing between stator and rotor discs. Orienting the apex to face the flow reduces the resistance to flow caused by the bridge. The bridge76has a rectangular shape in cross section. A rectangular cross sectional shape may provide more uniform structural support across the width of the tooth, where the width is from the leading face to the trailing face of the tooth. A circular cross sectional shape for a bridge56provides good structural support for teeth, relatively low flow resistance (as compared to a rectangular bridge) and may be resistant to damage to the bridge (as compared to bridges having other cross sectional shapes.). A bridge78having a teardrop shape with the thickest portion of the bridge facing forward provides good structural support for the forward portions of the teeth (where damage is most likely to occur) and low resistance to the flow of material. FIGS.8A and8Bshow front and side views of teeth26having a pair of bridges80,82. The upper bridge80may be within eighty to ninety percent (80% to 90%) of the height of the teeth26. The lower bridge82may be at an elevation above the substrate45of one-third to two-third the height of the teeth. Alternatively, the pair of bridges80,82may be at the same elevation above the substrate, with one bridge80in front of the other bridge82along a direction of the flow of material through the teeth (which direction is parallel to the substrate45). The bridges may be applied to different plate segments such that all of the rows in a plate segment have bridges with the same cross-sectional shape. Alternatively, the bridges in one row of teeth on a plate segment may have a different shape in cross section than other rows. The bridges provide structural support for teeth on a plate segment or entire plate for a disperser. Because of the structural support provided by the bridges, the teeth are more resistance to breakage due to hard, large particles in the recycled pulp material being processed by the disperser. Because of the structural support provided by the bridges, the teeth may be taller and/or narrower than would be possible without bridges. Narrower teeth allow for an increase in the number of teeth in a row. The plate segments with bridges between teeth may be formed by casting of metal, such as high wear metal alloys. To cast the plate segments, a mold may be formed from sand. The sand mold may be formed by investment casting, three-dimensional printing, or other additive manufacturing techniques. Sand cores may be needed to form portions of the plate segments. The sand mold is sacrificed in forming the plate segment. Alternatively, the plate segment may be formed directly with three-dimensional printing or other additive manufacturing techniques. Further, bridges may be added to an existing plate segment, such as by welding the bridges between teeth or wedging wooden pegs—for example—to create bridges. Plate segments with bridges between teeth in one or more rows of teeth may be applied to machines other than dispersers. These machines include opposing discs with rows of intermeshing teeth and are used to separate particles from fibers being processed by the machine, separate lumps of fibers, or reduce size and homogenize feed particles. FIG.9is a perspective view of two adjacent exemplary disperser teeth26having a buttress73extending from a first tooth face69of each of the depicted disperser teeth26. The area79(FIG.13A-13D) of the buttress73that abuts the first tooth face69(i.e. the “abutting area”) is less than the total area55(FIG.13A-13D) of the first tooth face69. In certain exemplary embodiments, the exposed area59(FIG.13A-13D) is between about 20% and 80% of the first tooth face's total area55. It will be understood that the first tooth face's total area55is the sum of the buttress area79and the first tooth face's exposed area59. The tooth26further comprises a second tooth face53(FIG.12B) and a body65bounded by the first tooth face69, the second tooth face53, a first lateral tooth side67, and a second lateral tooth side88(FIG.13A). Without being bound by theory, Applicant believes that the exemplary buttresses73described herein provide additional support to the disperser teeth26, while still permitting sufficient open area47(FIG.12A-12G) between adjacent disperser teeth26to allow recycled material to flow through the open area47to maintain sufficient throughput. The buttress73ofFIG.9has a buttress height (h). In the depicted embodiment, the buttress height (h) is less than the tooth height (H), thereby exposing the first tooth face69. In this depiction, the first tooth face69starts as the trailing face of the tooth26when the disperser is rotating. Without being bound by theory, it is believed that a majority of dispersion occurs due to the flexing of the recycled fibers between edges of intermeshing teeth26on opposite disperser plates. The exposure of the first face69allows operators to reverse the rotation of the disperser plates when the leading face (see the second face53) becomes worn. In this manner, the disclosed embodiment may allow operators to extend the useful life of the disperser plate segments. In certain exemplary embodiments, the buttress73is disposed on the second tooth face53. In still other exemplary embodiments, a buttress can be disposed on both the first tooth face69and the second tooth face53. Manufactures can place and configure the buttresses73according to the desired use of the disperser. Without being bound by theory, it is further contemplated that the use of buttresses73such as the exemplary buttresses73disclosed herein, permit manufactures to include a greater number of taller teeth26on the substrate45of the disperser plate segment, thereby increasing the work that the disperser plate segments can impart to the recycled fiber per unit of area. In certain exemplary embodiments, the disperser teeth26comprise a flat top75. In other exemplary embodiments, the top may be pointed. The buttress73further comprises a distal bottom edge85, a top edge83, and a hypotenuse (hyp) extending form the top edge83to the distal bottom edge85. In certain exemplary embodiments, the widest width (w2) of the base (B) of the buttress73is wider than the width (w1) at the top edge83of the buttress73. FIGS.10A-13Dillustrate that the buttress73may have any number of shapes provided that the abutting area79of the buttress73is less than the total area55of the tooth face69,53to which the buttress73abuts. Such shapes include by way of example only, a shape selected from the group consisting of: a tetrahedral prism, a pyramid, a triangular prism, a quadrilateral prism, and a trapezoidal prism. Such shapes may be symmetric or asymmetric FIGS.12A-12Gare a cross sectional view of a row along the length of a row of teeth. InFIGS.12A-12G, each buttress73is a different exemplary embodiment of an exemplary buttress.FIG.12Adepicts the distal bottom edge85not extending to the second tooth face53of the adjacent tooth26.FIG.12Dshows the distal bottom edge85extending up the second tooth face53of the adjacent tooth26.FIG.12Edepicts a first buttress73extending from the first face of a first disperser tooth26and a second buttress73extending from the second face53of an adjacent disperser tooth26. FIGS.13A-13Dare a cross sectional side view that intersects the junction of an exemplary buttresses73and the first tooth face69to show a cross section of the buttress73.FIGS.13A-13Dbetter illustrate the abutting area79relative to the exposed area59of the first tooth face69. AsFIGS.13A-13Ddemonstrate, the shape of the buttress73may vary greatly provided that the abutting area79is less than the total area55of the tooth face69,52to which the buttress73abuts. While the invention has been described in connection with what is presently considered the most practical and preferred embodiment, it is to be understood that the invention is not to be limited to the disclosed embodiment, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the invention. | 28,198 |
11859345 | DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG.1shows a schematic view of a fabric1. The fabric has a longitudinal direction MD, a cross direction CMD, a first surface FS and a second surface SS. The fabric1extends in the cross direction from a first edge7to a second edge8. The fabric1forms in the machine direction MD an endless loop as shown inFIG.1. The system related to the fabric1may comprise a reader20, a computer21and a cloud service22. The reader20reads measuring results which are stored in a cloud service22and processed by a computer21. FIGS.2and3show schematic views of a fabric1. The fabric has a longitudinal direction MD, a cross direction CMD, a first surface FS and a second surface SS. The dryer fabric1comprises a weave2and seam forming counterparts3,4. On one of the outer surfaces of the weave2, or on both outer surfaces of the weave2may be a batt fiber layer (FIGS.6and7). The batt fiber layer may also be between two weaves2when the fabric1comprises more than one weave2one upon the other. As shown inFIG.2, the weave2comprises a first end FE, a second end SE, a first end region FR, a second end region SR, a first edge7and a second edge8. When the fabric1is in use in a paper or pulp machine the seam forming counterparts3,4are joined together by a pin10, as shown inFIG.4. FIG.4shows a cross section of the fabric1at the seam forming counterparts3,4. The seam forming counterparts3,4are seam loops. The seam loops of the first end FS and the seam loops of the second end SE alternate in the seam in such a manner that a channel9forms in the cross direction CMD of the fabric1. The pin10threaded into the channel9joins the ends FE, SE together. The pin10may comprise a sensor, i.e., the pin10is a string comprising at least one sensor. Alternatively, there may be a conventional pin in the channel9, which is accompanied by one string comprising one or more sensors, or more strings each comprising one or more sensors. The string may have a length, which corresponds to the whole width of the fabric, or the string may be shorter. There may be a specific tool for inserting the string inside the channel9. The tool may be configured to thread the string or shoot the string inside the channel9. FIG.5shows the fabric1in a schematic perspective view.FIG.5shows how the strings may lie in the fabric1. The fabric may comprise the spiral fabric or the weave2. The same fabric1may comprise several strings either assembled in the same manner or differently. Further, the same fabric1may be provided with strings16ofFIG.6or a sheet17ofFIG.7. As shown inFIG.5, the string11extends in the cross-direction CMD. The string11itself may be a cross directional yarn of the fabric1, or a separate string extending in the cross direction. The separate string may be assembled in the fabric1by adding it to the same shed with a weft yarn during weaving of the fabric1, or it may darned into the fabric1in a suitable phase after manufacturing. It is also possible that the string11is added to the fabric1at a paper or pulp machine during a stoppage. The string12extends in a diagonal direction in the fabric1. The string12may darned into the fabric1. The string12may be at any angle to the longitudinal direction MD and the cross direction CMD of the fabric1. The string13extends in the longitudinal direction MD. The string13itself may be a longitudinal yarn of the fabric1, or a separate string extending in the longitudinal direction. The separate string may be assembled in the fabric1by darning it into the fabric1in a suitable phase after weaving. It is also possible that the string13is attached to a warp yarn so that it passes the same reed spacing as the warp yarn during weaving. Further, the string13may be added to the fabric1at a paper or pulp machine during a stoppage. The string14extends partially in the thickness direction of the fabric1. The string14may be added to the fabric1by darning. The fabric1may comprise two longitudinal yarn layers one upon the other in the thickness direction TD of the fabric1.FIG.5shows schematically the locations of the first longitudinal yarn layer FW and the second longitudinal yarn layer SW. The string15extends between the first longitudinal yarn layer FW and the second longitudinal yarn layer SW in the cross direction CMD of the fabric1. The fabric may comprise more than two longitudinal yarn layers one upon the other. There may be e.g., 2 to 5 yarn layers. Further, it is also possible that there are more than one layer of cross machine direction yarns. The string15may extend between each superimposed layers, or between some of the superimposed layers. FIG.6shows a schematic perspective view of the fabric1. The fabric1comprises a batt fiber layer BFL on the first side FS of the fabric1, i.e., the fabric is a felt. The felt may be used in a press section of a paper or pulp machine. The string16may be one of the fibers of the batt fiber layer BFL. The string16may be assembled into the fabric1by needling. The string16may be randomly oriented, or it may extend e.g., in the longitudinal direction MD of the fabric1. The string16may extend in the longitudinal direction of the fabric1in a predetermined location in such a manner that it is possible to needle the batt fiber layer BFL to the weave in such a manner that the at least one sensor remains undamaged. FIG.7shows another schematic perspective view of the fabric1. The fabric1may comprise a sheet17under the batt fiber layer BFL. The sheet17may cover the whole surface of the fabric1, or only partially. The sheet17may be a fabric, a net, or a membrane. The sheet may be water permeable. The sheet17comprises one or more sensors. It will be obvious to a person skilled in the art that, as the technology advances, the inventive concept can be implemented in various ways. The invention and its embodiments are not limited to the examples described above but may vary within the scope of the claims. | 5,954 |
11859346 | DESCRIPTION OF EXEMPLARY EMBODIMENTS The favorable embodiment according to the present disclosure will be described below. 1. Formed Body Producing Method Each step of the formed body producing method will be described below. To begin with, raw materials used for the formed body producing method will be described. 1.1. Raw Material In the formed body producing method, fiber and starch serving as a binding material to bind the fiber are used as the raw materials. 1.1.1. Fiber The fiber is a main component of a formed body produced by using the formed body producing method and is a component that largely contributes to shape retaining of the formed body and that has a large influence on the characteristics such as strength of the formed body. The fiber may be composed of any material, and it is favorable that the material can maintain a fiber state in spite of heating in the forming step. The fiber may be a synthetic fiber composed of a synthetic resin, such as a polypropylene, a polyester, and a polyurethane, and the fiber is favorably a fiber derived from a natural material, that is, a biomass-derived fiber, and more favorably a cellulose fiber. Consequently, environmental problems, underground resource conservation, and the like can be more favorably addressed. In particular, when the fiber is the cellulose fiber, the following effect is also obtained. That is, the cellulose fiber is derived from plant and is an abundant natural material. Using the cellulose fiber as the fiber enables environmental problems, underground resource conservation, and the like to be further favorably addressed and is also favorable from the viewpoint of stable supply of the formed body, cost reduction, and the like. In addition, of various fibers, the cellulose fiber has particularly high theoretical strength and provides an advantage of further improving the strength of the formed body. The cellulose fiber is usually mainly composed of cellulose and may contain components other than cellulose. Examples of such a component include hemicellulose and lignin. It is favorable that the fiber be composed of a substance having a chemical structure of at least one of a hydroxy group, a carbonyl group, and an amino group. Consequently, formation of a hydrogen bond between the fiber and the starch serving as the binding material to bind the fiber is facilitated, and the binding strength between the fiber and the starch can be made more excellent. Therefore, the strength of the entire formed body, for example, tensile strength and the like of a sheet-like formed body can be made more excellent. In this regard, the cellulose fiber subjected to breaching treatment and the like may be used. In addition, the fiber may be subjected to treatment, such as ultraviolet irradiation treatment, ozone treatment, or plasma treatment. Consequently, the hydrophilicity of the fiber can be enhanced, and the affinity for the starch serving as the binding material can be enhanced. More specifically, a functional group such as a hydroxy group can be introduced on the surface of the fiber by these treatments, and a hydrogen bond can be more effectively formed between the fiber and the starch. There is no particular limitation regarding the average length of the fiber, and the average length is preferably 0.1 mm or more and 50.0 mm or less, more preferably 0.2 mm or more and 5.0 mm or less, and further preferably 0.3 mm or more and 3.0 mm or less. Consequently, the resulting formed body can have more excellent shape stability, strength, and the like. There is no particular limitation regarding the average thickness of the fiber, and the average thickness is preferably 0.005 mm or more and 0.500 mm or less and more preferably 0.010 mm or more and 0.050 mm or less. Consequently, the resulting formed body can have more excellent shape stability, strength, and the like. In addition, unevenness of the surface of the formed body can be effectively prevented from reluctantly occurring. There is no particular limitation regarding the average aspect ratio, that is, ratio of the average length to the average thickness, of the fiber, and the average aspect ratio is preferably 10 or more and 1,000 or less and more preferably 15 or more and 500 or less. Consequently, the resulting formed body can have more excellent shape stability, strength, and the like. In addition, unevenness of the surface of the resulting formed body can be effectively prevented from reluctantly occurring. 1.1.2. Starch The starch is used as a binding material to mutually bind the fiber. The starch is a polymer material in which a plurality of α-glucose molecules are polymerized by glucoside bonds. The starch contains at least one of amylose and amylopectin. Since the starch is a biomass-derived raw material, using the starch as the binding material enables environmental problems, underground resource conservation, and the like to be favorably addressed. The gelatinization temperature of the starch is 60° C. or lower, preferably 55° C. or lower, and more preferably 52° C. or lower. The gelatinization temperature of the starch being within the above-described range enables the water absorbency of the starch serving as the binding material to be enhanced and enables the provided water to be promptly absorbed. Consequently, gelatinization of the starch favorably proceeds even at a relatively low temperature, and an excellent binding property can be exerted. That is, a formed body having sufficient strength can be produced. In this regard, the gelatinization temperature of the starch is preferably 30° C. or higher and more preferably 40° C. or higher. Consequently, the starch can be suppressed from reluctantly gelatinizing inside the formed body producing apparatus. In particular, the gelatinization temperature of the starch being within the above-described range enables the starch to be suppressed from excessively absorbing water in a normal temperature environment. Consequently, the starch is suppressed from gelatinizing in a flow passage to supply the binder in the formed body producing apparatus. As a result, the binder can be stably supplied, and the fiber and the starch can be uniformly mixed. Therefore, the formed body having excellent strength can be produced. In addition, the productivity is excellent. Regarding the natural starch serving as the raw material for the starch, for example, various plant-derived materials can be used. More specifically, for example, materials derived from grain, such as corn, wheat, and rice, beans, such as broad beans, mung beans, and adzuki beans, corm, such as potato, sweet potato, and tapioca, wild grass, such as bracken and vine, and palms such as sago palm, can be used. The weight average molecular weight of the starch is preferably 50,000 or more and 400,000 or less, more preferably 70,000 or more and 300,000 or less, and further preferably 80,000 or more and 200,000 or less. Consequently, the water absorption efficiency of the starch can be made more excellent, and further a formed body having sufficient strength can be produced. More specifically, even when the amount of water provided is small, gelatinization by heating favorably proceeds, the productivity of the formed body can be made excellent. Further, the strength of the produced formed body can be made excellent. In addition, regarding the starch having a weight average molecular weight within the above-described range, reluctant denaturing due to provision of water does not readily occur. The starch having a weight average molecular weight within the above-described range has a small molecular weight compared with common starch. The starch having a value of the weight average molecular weight set to be within the above-described range, as described above, can be favorably obtained in the following manner, for example. The starch having a value of the weight average molecular weight set to be within a predetermined range can be obtained by, for example, suspending natural starch in water, and making sulfuric acid, hydrochloric acid, or sodium hypochlorite to act on the suspension under the condition in which the starch is not gelatinized. Alternatively, the starch having a value of the weight average molecular weight set to be within a predetermined range can be obtained by heating natural starch to 120° C. to 180° C. directly or after being mixed with a small amount of volatile acid, such as hydrochloric acid, diluted with water, sufficiently mixed, aged, and dried at low temperature. Alternatively, the starch having a value of the weight average molecular weight set to be within a predetermined range can be favorably obtained by, for example, subjecting a paste liquid that is the natural starch heated with water to hydrolysis treatment by an acid or an enzyme. The weight average molecular weight of the starch can be determined based on the measurement by gel permeation chromatography. The weight average molecular weights described in examples later are also values determined based on the measurement by gel permeation chromatography. As illustrated inFIG.1, the starch is favorably a starch particle C2, and it is preferable that the starch particle C2be integrated with the inorganic particle C3and form the composite particle C1described below. The binder C10includes the inorganic particle C3and the starch particle C2containing the starch, and the binder C10includes the composite particle C1in which the starch particle C2and the inorganic particle C3are integrated. In this regard, in the present disclosure, a state in which at least a portion of the inorganic particle C3attaches to the surface of the starch particle C2or at least a portion of the inorganic particle C3is included inside the starch particle C2so as to form a composite particle C1is denoted as “a composite particle C1in which the starch particle C2and the inorganic particle C3are integrated”. That is, it is not excluded that a starch particle C2or an inorganic particle C3not forming a composite particle C1is included in the binder C10. In the configuration illustrated inFIG.1, regarding the composite particle C1included in the binder C10, the inorganic particle C3is attached to the surface of the starch particle C2. Consequently, repulsive force is exerted between inorganic particles C3, and flocculation of composite particle C1does not readily occur. In this regard, the arrangement of inorganic particles C3can be examined by, for example, various electron microscopes. The composite particle C1favorably includes the starch particle C2having an average particle diameter of 1.0 μm or more and 30.0 μm or less, more preferably includes the starch particle C2having an average particle diameter of 3.0 μm or more and 20.0 μm or less, and further preferably includes the starch particle C2having an average particle diameter of 5.0 μm or more and 15.0 μm or less. Consequently, when a formed body is produced, the starch particle C2contained in the binder C10is smoothly provided with water, and the strength and the reliability of the resulting formed body can be made more excellent. In particular, when the starch particle C2is a particle having an average particle diameter of 30.0 μm or less, the specific surface area of the starch particle C2, that is, the ratio of the surface area to the volume of the starch particle C2increases, and the water absorption efficiency of the starch particle C2becomes more excellent. As a result, even when the amount of water provided is small, a formed body having sufficient strength can be produced. The composite particle C1includes the inorganic particle C3. The average particle diameter of the inorganic particle C3is preferably 1.0 nm or more and 20.0 nm or less, more preferably 3.0 nm or more and 18.0 nm or less, and further preferably 5.0 nm or more and 10.0 nm or less. Consequently, excessive unevenness of the surface of the composite particle C1in which the inorganic particle C3is attached to the surface of the starch particle C2is favorably suppressed from occurring. As a result, when the binder C10is mixed with the fiber, the fluidity of the composite particle C1can be made more excellent, and the composite particle C1can be more uniformly mixed with the fiber. In addition, the inorganic particle C3can be more favorably attached to the surface of the starch particle C2, and the inorganic particle C3can be prevented from reluctantly falling from the surface of the starch particle C2or from reluctantly being buried inside the starch particle C2. In this regard, since the average particle diameter of the inorganic particle C3is 1.0 nm or more and 20.0 nm or less, repulsive force is exerted between inorganic particles C3, the composite particle C1is suppressed from mutually flocculating, and the dispersibility of the composite particle C1is further improved. In the binder C10, an inorganic particle C3which is not attached to a starch particle C2, in other words, an inorganic particle C3not constituting a composite particle C1, may be contained. However, the proportion of the inorganic particle C3constituting the composite particle C1in the inorganic particle C3contained in the binder C10is preferably 50% by mass or more, more preferably 60% by mass or more, and further preferably 70% by mass or more. Consequently, the composite particle C1is suppressed from mutually flocculating, and the dispersibility of the composite particle C1is further improved. The inorganic particle C3has to be mainly composed of an inorganic material. In this regard, all portions of the inorganic particle C3may have substantially uniform composition, or a portion having a different composition may be included. Examples of the material constituting the inorganic particle C3include various metal materials, various metal compounds, various glass materials, and various carbon materials. Examples of the metal material include simple metals, such as Fe, Al, Cu, Ag, and Ni, and alloys containing at least one of these. Examples of the metal compound include metal oxides, metal nitrides, metal carbides, and metal sulfides. More specific examples include silica, alumina, zirconia, titanium oxide, magnetite, and ferrite. Examples of the glass material include soda-lime glass, crystalline glass, quartz glass, lead glass, potassium glass, borosilicate glass, and no-alkali glass. Examples of the carbon material include diamond, carbon fiber, carbon black, carbon nanotube, carbon nanofiber, and fullerene. Of these, silica is favorable as the constituent material of the inorganic particle C3. In other words, the inorganic particle C3is favorably composed of a material containing silica. Consequently, the dispersibility of the composite particle C1is further improved. As a result, the binder C10can be effectively suppressed from reluctantly flocculating during, for example, storage of the binder C10or transportation of the binder C10in the production process of the formed body. The inorganic particle C3has to be mainly composed of an inorganic material and may include an organic material in addition to the inorganic material. However, the content of the inorganic material in the base particle of the inorganic particle C3is preferably 90% by mass or more, more preferably 92% by mass or more, and further preferably 95% by mass or more. 1.2. Formed Body Producing Method A formed body producing method includes an accumulating step of accumulating a mixture including fiber and starch, a humidifying step of humidifying the accumulated mixture, and a forming step of obtaining a formed body by heating and pressurizing the humidified mixture. In this regard, the gelatinization temperature of the starch is 60° C. or lower. Consequently, the fiber can be strongly and mutually bound with uniformity throughout the formed body, and the formed body having sufficient strength can be produced. In particular, when the formed body is produced by heating and pressurizing the mixture including the humidified fiber and the starch, the starch functions as a binding material to mutually bind the fiber. At this time, the starch that is gelatinized at 60° C. or lower being used as the binding material enables the fiber to be mutually bound even when heating is performed at a relatively low temperature. As a result, the fiber is suppressed from deteriorating due to excessive heating, and the formed body having sufficient strength can be produced. Further, the starch that is gelatinized at 60° C. or lower being used as the binding material enables the formed body having excellent strength to be produced by strongly and mutually bound the fiber with uniformity throughout the formed body due to gelatinization of the starch while the fiber in a high temperature portion is suppressed from being damaged due to overheating and the temperature of a low temperature portion is increased to the gelatinization temperature even when it is difficult to uniformly heat the mixture of the fiber and the starch that is the precursor of the formed body. 1.2.1. Accumulating Step In the accumulating step, a mixture containing the binder C10including the fiber and the starch is accumulated. There is no particular limitation regarding the mixing ratio of the binder C10to the fiber in the present step, and the content of the binder C10in the mixture is preferably 1% by mass or more and 50% by mass or less, more preferably 2% by mass or more and 45% by mass or less, and further preferably 3% by mass or more and 40% by mass or less. Consequently, the content of the fiber in the finally obtained formed body can be made sufficiently high, and the formed body can have more excellent strength. In addition, the binder C10can be more smoothly transported in the production process of the formed body. In the present step, the fiber mixed with the binder C10may be subjected to humidifying treatment prior to the humidifying step, that is, the step of performing humidifying treatment of the mixture, described later. In this regard, the fiber may be humidified between mixing with the binder C10and accumulation of the mixture obtained by the mixing. In the above-described instance, the water content in the fiber subjected to the present step is preferably 0.1% by mass or more and 12.0% by mass or less, more preferably 0.2% by mass or more and 10.0% by mass or less, and further preferably 0.3% by mass or more and 9.0% by mass or less. Consequently, for example, the fiber can be prevented from being affected by static electricity before the present step. For example, the fiber can be effectively prevented from being attached to the wall surface and the like of a formed body producing apparatus due to static electricity, and the fiber and the binder C10can be more uniformly mixed. 1.2.2. Humidifying Step In the humidifying step, the mixture accumulated in the accumulating step, that is, the mixture including the fiber and the binder C10is humidified. Consequently, in the forming step described later, the binding strength between the fiber and the binder C10and the mutual binding strength of the fiber with the binder C10interposed therebetween can be made excellent, and the strength and the like of the finally obtained formed body can be made sufficiently excellent. In addition, forming in the forming step can be favorably performed under a relatively moderate condition. There is no particular limitation regarding the method for humidifying the mixture, and it is favorable that humidifying be performed in noncontact with the mixture. Examples of the method include a method in which the mixture is placed in a high humidity atmosphere, a method in which the mixture is passed through a high humidity space, a method in which mist of a liquid containing water is blown to the mixture, and a method in which the mixture is passed through a space including suspended mist of a liquid containing water. A method selected from these may be used, or at least two methods may be used in combination. More specifically, the mixture may be humidified by using various humidifiers and the like of, for example, a vaporizing type or an ultrasonic type. The mixture may be humidified at a plurality of stages in, for example, the formed body producing process. In this regard, for example, a preservative, a fungicide, and an insecticide may be contained in the liquid containing water. The amount of water provided in the humidifying step is preferably 12% by mass or more and 40% by mass or less, more preferably 15% by mass or more and 40% by mass or less, and further preferably 20% by mass or more and 30% by mass or less relative to the total mass of the mixture. Consequently, a formed body can be produced by using considerably small amount of water compared with the sheet forming method in the related art. That is, a sheet having excellent strength can be produced while the amount of energy, for example, electricity required for heating and drying the water provided is reduced. 1.2.3. Forming Step In the forming step, the mixture humidified in the humidifying step is heated and pressurized. Consequently, a formed body is obtained. In this regard, the humidifying step and the forming step may be simultaneously performed. There is no particular limitation regarding the heating temperature of the mixture in the forming step, and the heating temperature is preferably 60° C. or higher and 200° C. or lower, more preferably 70° C. or higher and 150° C. or lower, and further preferably 80° C. or higher and 120° C. or lower. In the present embodiment, the starch having a gelatinization temperature of 60° C. or lower being used as the binder C10enables the fiber to be mutually bound strongly and uniformly even at a relatively low heating temperature. As a result, the formed body having sufficient strength can be produced while the fiber is suppressed from deteriorating. The present step can be performed by using, for example, a heat press or a heat roller. Consequently, the constituent components of the fiber and the binder C10can be effectively prevented from, for example, reluctantly deteriorating or denaturing, and wetting and spreading of the binder C10on the fiber surface can be further facilitated. As a result, the resulting formed body can have more excellent strength and reliability. In this regard, it is favorable from the viewpoint of energy conservation. In addition, gelatinization of the starch contained in the binder C10can favorably proceed. When the formed body is a sheet-like formed body, as illustrated inFIG.2, it is favorable that the mixture be heated and pressurized by using a pair of heat rollers204. Consequently, when the sheet-like formed body is produced, the mixture of the fiber and the binder C10can be uniformly heated and pressurized. As a result, in the formed body, the fiber can be mutually bound strongly and uniformly, and the formed body having excellent strength can be produced. In this regard, it is favorable that the mixture be simultaneously heated and pressurized by using the pair of heat rollers204. Consequently, a pressurizing roller to pressurize the mixture and a heat roller204to heat the mixture are not limited to be separately disposed, and the mixture can be simultaneously heated and pressurized by using only a pair of heat rollers204. As a result, an entire formed body producing apparatus can be reduced in size. In this regard, from the viewpoint of size reduction of the formed body producing apparatus and the strength of the resulting formed body, it is favorable that before the mixture is pressurized and heated by using the heat rollers204, a pressure larger than the pressure applied by the heat rollers to the mixture not be applied to the mixture and the mixture not be heated at a temperature higher than the temperature at which the mixture is heated by the heat rollers. In addition, when the mixture is heated and pressurized by using the pair of heat rollers204, the surface temperature of the heat rollers204is preferably 70° C. or higher and 140° C. or lower, more preferably 80° C. or higher and 130° C. or lower, and further preferably 90° C. or higher and 120° C. or lower. In the present embodiment, the starch having a gelatinization temperature of 60° C. or lower being used as the binder C10enables the fiber to be mutually bound strongly and uniformly even at a relatively low heating temperature. As a result, the formed body having sufficient strength can be produced while the fiber is suppressed from deteriorating. In the forming step, the mixture is pressurized at a pressure of preferably 0.1 MPa or more and 100.0 MPa or less, the mixture is pressurized at a pressure of more preferably 0.2 MPa or more and 10.0 MPa or less, and the mixture is pressurized at a pressure of further preferably 0.3 MPa or more and 8.0 MPa or less. Consequently, wetting and spreading of the binder C10on the fiber surface can be further facilitated while the fiber is prevented from deteriorating due to pressurization. As a result, the resulting formed body can have more excellent strength. The formed body producing method described above can be favorably realized by using, for example, a formed body producing apparatus described below. 1.2.4. Formed Body Producing Apparatus Next, the formed body producing apparatus will be described. FIG.2is a schematic explanatory diagram illustrating the configuration of a producing apparatus suitable for realizing the formed body producing method according to the present embodiment. Hereafter, for the sake of facilitating explanation, the upper side ofFIG.2is also referred to as “up” or “above”, the lower side is also referred to as “down” or “below”, the left side is also referred to as “left” or “upstream”, and right side is also referred to as “right” or “downstream”. In the following explanation, a sheet producing apparatus100that produces a sheet as a formed body will be described as an example of the formed body producing apparatus. As illustrated inFIG.2, the sheet producing apparatus100serving as a formed body producing apparatus includes a raw material supply portion11, a coarse crushing portion12, a defibration portion13, a sorting portion14, a first web forming portion15, a subdivision portion16, a mixing portion17, a disentanglement portion18, a second web forming portion19, a sheet forming portion20, a cutting portion21, and a stock portion22. In addition, the sheet producing apparatus100includes a humidifying portion231, a humidifying portion232, a humidifying portion233, and a humidifying portion234. The operation of each portion included in the sheet producing apparatus100is controlled by a control portion not illustrated in the drawing. The configuration of each portion included in the sheet producing apparatus100will be described below. The raw material supply portion11is a portion to perform the raw material supply step of supplying a sheet-like material M1to the coarse crushing portion12. The sheet-like material M1is a sheet-like material including a fiber such as a cellulose fiber. The coarse crushing portion12is a portion to perform the coarse crushing step of coarsely crushing the sheet-like material M1supplied from the raw material supply portion11in gas such as air. The coarse crushing portion12includes a pair of coarse crushing blades121and a hopper122. The pair of coarse crushing blades121rotating in the directions opposite to each other enables the sheet-like material M1to be coarsely crushed, that is, cut, between the blades so as to produce coarsely crushed piece M2. It is favorable that the shape and the size of the coarsely crushed piece M2be suitable for defibration treatment in the defibration portion13. For example, the coarsely crushed piece M2is favorably a small piece having a length of a side of 100 mm or less and is more favorably a small piece having a length of a side of 10 mm or more and 70 mm or less. The hopper122is arranged below the pair of coarse crushing blades121and has, for example, a funnel-like shape. Consequently, the hopper122can receive the coarsely crushed piece M2that is coarsely crushed by the coarse crushing blades121and that falls. In addition, the humidifying portion231adjoining the coarse crushing blades121is disposed above the hopper122. The humidifying portion231humidify the coarsely crushed piece M2in the hopper122. The humidifying portion231includes a filter containing water, not illustrated in the drawing, and is composed of a vaporizing type humidifier which supplies humidified air having humidity increased by passing the air through the filter to the coarsely crushed piece M2. The humidified air being supplied to the coarsely crushed piece M2enables attachment of the coarsely crushed piece M2to the hopper122and the like due to static electricity to be controlled. The hopper122is coupled to the defibration portion13through a pipe241serving as a flow passage. The coarsely crushed piece M2collected in the hopper122is transported to the defibration portion13through the pipe241. The defibration portion13is a portion to perform defibration step of defibering the coarsely crushed piece M2in gas such as air or the like, that is, in a dry system. A defibered material M3can be produced from the coarsely crushed piece M2by the defibration treatment in the defibration portion13. Herein, “defiber” denotes the coarsely crushed piece M2in which a plurality of fibers are bound being disentangled into individual fibers. The disentangled material is the defibered material M3. The shape of the defibered material M3is linear or band-like. In this regard, the defibered materials M3may be present in a mutually entangled cluster state, that is, in a state of forming a so-called “lump”. For example, in the present embodiment, the defibration portion13is composed of an impeller mill having a rotor which rotates at a high speed and a liner located at an outer circumference of the rotor. The coarsely crushed piece M2that flows into the defibration portion13is defibered by being pinched in between the rotor and the liner. In addition, the defibration portion13can generate a stream of air, that is, a gas stream, from the coarse crushing portion12toward the sorting portion14due to the rotation of the rotor. Consequently, the coarsely crushed piece M2can be suctioned from the pipe241to the defibration portion13. In this regard, after defibration treatment, the defibered material M3can be sent to the sorting portion14through a pipe242. A blower261is disposed in midstream of the pipe242. The blower261is a gas stream generator to generate a gas stream toward the sorting portion14. Consequently, sending of the defibered material M3to the sorting portion14is facilitated. The sorting portion14is a portion to perform the sorting step of sorting the defibered material M3based on the length of the fiber. In the sorting portion14, the defibered material M3is sorted into a first sorted material M4-1and a second sorted material M4-2larger than the first sorted material M4-1. The first sorted material M4-1has a size suitable for producing sheet S thereafter. The second sorted material M4-2includes, for example, an insufficiently defibered material and a material formed of fiber defibered and excessively mutually flocculated. The sorting portion14includes a drum portion141and a housing portion142to house the drum portion141. The drum portion141is a sieve composed of a cylindrical net body that rotates about the center axis thereof. The defibered material M3flows into the drum portion141. The defibered material M3smaller than the opening of the net is sorted as the first sorted material M4-1, and the defibered material M3larger than the opening of the net is sorted as the second sorted material M4-2due to the drum portion141rotating. The first sorted material M4-1falls from the drum portion141. The second sorted material M4-2is sent to a pipe243serving as a flow passage coupled to the drum portion141. An end of the pipe243opposite to the drum portion141, that is, on the upstream, is coupled to the pipe241. The second sorted material M4-2passed through the pipe243is merged with the coarsely crushed piece M2in the pipe241and flows into the defibration portion13with the coarsely crushed piece M2. Consequently, the second sorted material M4-2is returned to the defibration portion13and subjected to the defibration treatment with the coarsely crushed piece M2. In this regard, the first sorted material M4-1from the drum portion141is dispersed in air and falls toward the first web forming portion15serving as a separating portion located below the drum portion141. The first web forming portion15is a portion to perform the first web forming step of forming a first web M5from the first sorted material M4-1. The first web forming portion15includes a mesh belt151serving as a separating belt, three stretching rollers152, and a suction portion153. The mesh belt151is an endless belt on which the first sorted material M4-1is accumulated. The mesh belt151is looped over the three stretching rollers152. In this regard, the first sorted material M4-1on the mesh belt151is transported to the downstream due to the stretching rollers152being driven to rotate. The first sorted material M4-1is larger than the opening of the mesh belt151. Consequently, passing of the first sorted material M4-1through the mesh belt151is restricted, and the first sorted material M4-1can be accumulated on the mesh belt151. In this regard, since the first sorted material M4-1is accumulated on the mesh belt151and is transported to the downstream with the mesh belt151, a layered first web M5is formed. In addition, for example, dust and dirt may be included in the first sorted material M4-1. For example, dust and dirt may be included with the sheet-like material M1when the sheet-like material M1is supplied from the raw material supply portion11to the coarse crushing portion12. The dust and the dirt are smaller than the opening of the mesh belt151. Consequently, the dust and the dirt pass through the mesh belt151and further fall downward. The suction portion153can suction air from below the mesh belt151. Consequently, the dust and the dirt passed through the mesh belt151can be suctioned with air. The suction portion153is coupled to a recovery portion27through a pipe244serving as a flow passage. The dust and the dirt suctioned in the suction portion153are recovered into the recovery portion27. The recovery portion27is further coupled to a pipe245serving as a flow passage. In addition, a blower262is disposed in midstream of the pipe245. Suction force can be generated in the suction portion153by operating the blower262. Consequently, formation of the first web M5on the mesh belt151is facilitated. The dust and the dirt are removed from the first web M5. In this regard, the dust and the dirt pass through the pipe244and reach the recovery portion27due to the blower262being operated. The housing portion142is coupled to the humidifying portion232. The humidifying portion232is composed of a vaporizing type humidifier akin to that in the humidifying portion231. Consequently, humidified air is supplied into the housing portion142. The first sorted material M4-1can be humidified by the humidified air, and, therefore, the first sorted material M4-1can also be suppressed from being attached to the inner wall of the housing portion142due to electrostatic force. A humidifying portion235is disposed downstream from the sorting portion14. The humidifying portion235is composed of an ultrasonic humidifier that sprays water. Consequently, water can be supplied to the first web M5, and the amount of water of the first web M5can be adjusted. This water adjustment can suppress the first web M5from adsorbing to the mesh belt151due to electrostatic force. As a result, the first web M5is readily peeled from the mesh belt151at the position at which the mesh belt151is folded back by the stretching roller152. The subdivision portion16is disposed downstream from the humidifying portion235. The subdivision portion16is a portion to perform the cutting step of cutting the first web M5peeled from the mesh belt151. The subdivision portion16includes a rotatively supported propeller161and a housing portion162to house the propeller161. In this regard, the first web M5being caught in the rotating propeller161enables the first web M5to be cut. The resulting first web M5serves as a subdivided body M6. The subdivided body M6falls in the housing portion162. The housing portion162is coupled to the humidifying portion233. The humidifying portion233is composed of a vaporizing type humidifier akin to that in the humidifying portion231. Consequently, humidified air is supplied into the housing portion162. The humidified air can also suppress the subdivided body M6from being attached to the propeller161and the inner wall of the housing portion162due to electrostatic force. The mixing portion17is disposed downstream from the subdivision portion16. The mixing portion17is a portion to perform the mixing step of mixing the subdivided body M6with the binder C10. The mixing portion17includes a binder supply portion171, a pipe172serving as a flow passage, and a blower173. The pipe172couples the housing portion162of the subdivision portion16to the housing portion182of the disentanglement portion18and is a flow passage through which a mixture M7of the subdivided body M6and the binder C10passes. A binder supply portion171is disposed in midstream of the pipe172. The binder supply portion171includes a screw feeder174. The screw feeder174being driven to rotate enables the binder C10to be supplied to the pipe172. The binder C10supplied to the pipe172is mixed with the subdivided body M6so as to form the mixture M7. In this regard, in the binder C10from the binder supply portion171, for example, a coloring agent to color the fiber, a flocculation inhibitor to suppress the fiber from being flocculated and to suppress the binder C10from being flocculated, and a flame retardant to suppress the fiber and the like from readily burning may be contained. A blower173is disposed in midstream of the pipe172and downstream from the binder supply portion171. The blower173can generate a gas stream toward the disentanglement portion18. The resulting gas stream can agitate the subdivided body M6and the binder C10in the pipe172. Consequently, the mixture M7in the state in which the subdivided body M6and the binder C10are uniformly dispersed can flow into the disentanglement portion18. In this regard, the subdivided body M6in the mixture M7is disentangled during passing through the pipe172so as to take on a finer fiber state. The disentanglement portion18is a portion to perform the disentanglement step of disentangling mutually entangled fiber in the mixture M7. The disentanglement portion18includes a drum portion181and a housing portion182to house the drum portion181. The drum portion181is a sieve composed of a cylindrical net body that rotates about the center axis thereof. The mixture M7flows into the drum portion181. The fiber and the like, in the mixture M7, smaller than the opening of the net can pass through the drum portion181due to the drum portion181rotating. At this time, the mixture M7is disentangled. The housing portion182is coupled to the humidifying portion234. The humidifying portion234is composed of a vaporizing type humidifier akin to that in the humidifying portion231. Consequently, humidified air is supplied into the housing portion182. The humidified air can humidify inside the housing portion182and, thereby, can also suppress the mixture M7from being attached to the inner wall of the housing portion182due to electrostatic force. The mixture M7disentangled in the drum portion181is dispersed in air and falls toward the second web forming portion19located below the drum portion181. The second web forming portion19is a portion to perform the second web forming step of forming a second web M8from the mixture M7. The second web forming step in the present embodiment is the accumulating step of accumulating the mixture containing the fiber and the binder C10in air. The second web forming portion19includes a mesh belt191serving as a separating belt, stretching rollers192, and a suction portion193. The mesh belt191is an endless belt on which the mixture M7is accumulated. The mesh belt191is looped over four stretching rollers192. In this regard, the mixture M7on the mesh belt191is transported to the downstream due to the stretching rollers192being driven to rotate. In this regard, most of the mixture M7on the mesh belt191is larger than the opening of the mesh belt191. Consequently, passing of the mixture M7through the mesh belt191is restricted, and the mixture M7can be accumulated on the mesh belt191. In addition, since the mixture M7is accumulated on the mesh belt191and is transported to the downstream with the mesh belt191, a layered second web M8is formed. The suction portion193can suction air from below the mesh belt191. Consequently, the mixture M7can be suctioned on the mesh belt191, and accumulation of the mixture M7on the mesh belt191is thereby facilitated. A pipe246serving as a flow passage is coupled to the suctioning portion193. In addition, a blower263is disposed in midstream of the pipe246. Suction force can be generated in the suction portion193by operating the blower263. A humidifying portion236is disposed downstream from the disentanglement portion18. The humidifying portion236is a portion to perform the humidifying step. The humidifying portion236is composed of an ultrasonic humidifier akin to that in the humidifying portion235. Consequently, water can be supplied to the second web M8, and, therefore, the amount of water of the second web M8can be adjusted. This water adjustment enables binding force between the fiber and the binder C10in a sheet S, which is a finally obtained formed body, to become favorable. In addition, humidification enables the second web M8to be suppressed from adsorbing to the mesh belt191due to electrostatic force. Consequently, the second web M8is readily peeled from the mesh belt191at the position at which the mesh belt191is folded back by the stretching roller192. The sheet forming portion20is disposed downstream from the second web forming portion19. The sheet forming portion20is a portion to perform the sheet forming step that is the forming step of forming the sheet S from the second web M8. The sheet forming portion20includes a pressurizing portion201and a heating portion202. The pressurizing portion201includes a pair of calender rollers203, and the second web M8can be pressurized between these rollers. Consequently, the density of the second web M8is increased. Subsequently, the second web M8is transported toward the heating portion202. In this regard, one of the pair of calender rollers203is a main driving roller that is driven due to operation of a motor not illustrated in the drawing, and the other is a driven roller. The heating portion202includes a pair of heat rollers204. The second web M8can be heated and pressurized by being passed between the pair of heat rollers204. In the second web M8, the binder C10is melted due to the heating and pressurization by using the pair of heat rollers204, and the fiber is mutually bound with the molten binder C10interposed therebetween. Consequently, the sheet S that is a formed body is formed. The second web M8being heated by using the pair of heat rollers204enables the second web M8to be uniformly heated and pressurized and enables the sheet S having excellent strength to be produced. The surface temperature of the heat rollers204is preferably 70° C. or higher and 140° C. or lower. Consequently, the fiber can be mutually bound strongly and uniformly at a relatively low heating temperature. As a result, the formed body having sufficient strength can be produced while the fiber is suppressed from deteriorating. The heat rollers204preferably pressurize the second web M8at a pressure of 0.1 MPa or more and 100.0 MPa or less, more preferably pressurize the second web M8at a pressure of 0.2 MPa or more and 10.0 MPa or less, and further preferably pressurize the second web M8at a pressure of 0.3 MPa or more and 8.0 MPa or less. Consequently, wetting and spreading of the binder C10on the fiber surface can be further facilitated. As a result, the resulting formed body can have more excellent strength. In this regard, one of the pair of heat rollers204is a main driving roller that is driven due to operation of a motor not illustrated in the drawing, and the other is a driven roller. The cutting portion21is disposed downstream from the sheet forming portion20. The cutting portion21is a portion to perform the cutting step of cutting the sheet S. The cutting portion21includes a first cutter211and a second cutter212. The first cutter211cuts the sheet in the direction intersecting the transportation direction of the sheet S. The second cutter212cuts the sheet S in the transportation direction of the sheet S in the downstream from the first cutter211. The sheet S that is a formed body having a predetermined size is obtained due to such cutting by using the first cutter211and the second cutter212. Subsequently, the resulting sheet S is further transported to the downstream and is accumulated in the stock portion22. 1.3. Formed Body Next, the formed body will be described. The formed body is produced by using the above-described formed body producing method. Consequently, the formed body having excellent strength can be provided. It is favorable that the fiber contained in the formed body and the binder C10containing the starch satisfy the respective conditions akin to those described above. There is no particular limitation regarding the shape of the formed body, and any shape, such as a sheet-like shape, a block-like shape, a spherical shape, or a three-dimensional solid shape, may be adopted. The formed body according to the present embodiment has favorably a sheet-like shape. In this regard, “sheet-like shape” denotes a formed body configured to have a thickness of 30 μm or more and 30 mm or less and a density of 0.05 g/cm3or more and 1.50 g/cm3or less. Consequently, for example, the formed body can be favorably used as a recording medium and the like. In addition, the above-described apparatus being used enables the production to be performed more efficiently. When the formed body according to the present disclosure is a sheet-like recording medium, the thickness is preferably 30 μm or more and 30 mm or less. Consequently, the formed body can be more favorably used as the recording medium. In addition, the above-described apparatus being used enables the production to be performed more efficiently. In the instance of the sheet-like recording medium, the density is preferably 0.6 g/cm3or more and 0.9 g/cm3or less. Consequently, the formed body can be more favorably used as the recording medium. At least a portion of the formed body has to be produced by adopting the above-described formed body producing method, and the formed body may include other portions. In this regard, after the steps described in “Formed body producing method”, the formed body may be subjected to downstream steps. There is no particular limitation regarding the application of the formed body, and examples include recording media, liquid absorbers, buffering materials, and acoustic insulating materials. The favorable embodiments are as described above, but the present disclosure is not limited to these. For example, in the above-described embodiment, the description is centering on the instance of the binder C10including the composite particle C1in which the inorganic particle C3is attached to the surface of the starch particle C2. However, the binder C10is not limited to including the above-described composite particle C1provided that the starch is contained. The description is centering on the form in which the starch is the starch particle C2. However, the starch particle C2is not limited to being included provided that the starch is contained. Further, each portion constituting the sheet producing apparatus100can be substituted with any configuration that can perform the same function. In this regard, any configuration may be added. 2. EXAMPLES Next, the examples according to the present disclosure will be described. 2.1. Preparation of Binder 2.1.1. Preparation of Raw Material Starches 1 to 5 A starch having a weight average molecular weight of 1,300,000 (G-800 produced by NIPPON STARCH CHEMICAL CO., LTD.) was suspended in water. Thereafter, sulfuric acid was made to act under the condition at which the starch was not gelatinized, sufficient mixing was performed, and agitation was performed for 12 hours. After the water content was set to be 10% by mass or less by performing drying at 50° C. for 24 hours, heating was performed at 120° C. to 180° C. so as to obtain a paste-like starch. Subsequently, the paste-like starch was washed with water, freeze-dried, and coarsely crushed so as to obtain raw material starch 1 having a weight average molecular weight of 100,000. The gelatinization temperature of raw material starch 1 was 51° C. In addition, raw material starch 2 (gelatinization temperature of 42° C.), raw material starch 3 (gelatinization temperature of 57° C.), raw material starch 4 (gelatinization temperature of 34° C.), and raw material starch 5 (gelatinization temperature of 68° C.) were obtained by the treatment akin to that in production of raw material starch 1 except that the treatment conditions (sulfuric acid concentration and agitation time) for the starch having a weight average molecular weight of 1,300,000 (G-800 produced by NIPPON STARCH CHEMICAL CO., LTD.) were changed. 2.1.2. Preparation of Starch Particles 1-1 to 1-3, 2-1, 3-1, 4-1, and 5-1 Raw material starch 1 was crushed by using a fluidized-bed type opposed jet mill (Counter Jet Mill AFG-R produced by Hosokawa Micron Corporation) at a treatment pressure of 4 bar so as to obtain starch particle 1-1 having an average particle diameter of 10 μm as a starch particle C2. In addition, raw material starches 2 to 5 were subjected to the treatment akin to that applied to the raw material starch 1 so as to obtain starch particles 2-1, 3-1, 4-1, and 5-1, respectively. Further, raw material starch 1 was subjected to the treatment akin to that applied when starch particle 1 was produced except that the treatment pressure during crushing was changed so as to obtain starch particle 1-2 having an average particle diameter of 4 μm (treatment pressure of 6 bar) and starch particle 1-3 having an average particle diameter of 20 μm (treatment pressure of 2 bar). 2.1.3. Preparation of Composite Particle Preparation Example 1 A Henschel mixer (FM Mixer FM 20C/I produced by NIPPON COKE & ENGINEERING CO., LTD.) was charged with 99 parts by mass of starch particle 1-1 and 1 part by mass of fumed silica (HM-30S produced by Tokuyama Corporation) serving as the inorganic particle C3, and mixing treatment was performed at a frequency of 60 Hz for 10 minutes. Thereafter, sifting treatment was performed by using a sieve with an opening of 30 μm so as to prepare a binder C10of preparation example 1 including the composite particle C1in which starch particle C2and fumed silica serving as the inorganic particle C3were integrated. Preparation Examples 2 to 7 Binders C10were prepared in the manner akin to that in preparation example 1 except that the starch particle C2and the inorganic particle C3had the configuration described in Table 1. TABLE 1Starch particleInorganic particleGelatinizationAverageContentAverageContenttemperatureparticle[% byparticle[% byType[° C.]diameter [μm]mass]Typediameter [nm]mass]Preparationstarch5110.099.0HM-30S7.01.0example 1particle 1-1Preparationstarch4210.099.0HM-30S7.01.0example 2particle 2-1Preparationstarch5710.099.0HM-30S7.01.0example 3particle 3-1Preparationstarch513.099.0HM-30S7.01.0example 4particle 1-2Preparationstarch5120.099.0HM-30S7.01.0example 5particle 1-3Preparationstarch3410.099.0HM-30S7.01.0example 6particle 4-1Preparationstarch6810.099.0HM-30S7.01.0example 7particle 5-1 2.1.4. Production of Sheet Serving as Formed Body Example 1 In the present example, the sheet serving as the formed body was produced by using the binder C10of preparation example 1 above. A modified machine which was a modified sheet producing apparatus100(PaperLab (registered trademark) A-8000 produced by Seiko Epson Corporation) capable of humidifying a sheet after forming and before pressurization was prepared, and a sheet-like material M1which was commercially available copy paper (GR70-W produced by Fuji Xerox Co., Ltd.) printed with a business document by using an ink jet printer was used as a fiber source. Subsequently, the sheet-like material M1above was supplied to the raw material supply portion11of the sheet producing apparatus100, the binder produced in preparation example 1 of the binder was supplied to the binder supply portion171, and the sheet producing apparatus100was operated so as to produce an A4-sized sheet serving as a formed body by applying treatments of a coarse crushing step, a defibration step, a sorting step, a first web forming step, a subdivision step, a mixing step, a disentanglement step, a second web forming step serving as an accumulating step, a humidifying step, a sheet forming step serving as a forming step, and a cutting step. The basis weight of the resulting sheet was 90 g/m2. At this time, it was adjusted that the raw material of the sheet which was a finally obtained formed body contained 10 parts by mass of binder C10relative to 90 parts by mass of the fiber. In addition, regarding the condition during heating and pressurization in the forming step, the temperature of the heat rollers204was set to be 110° C., the pressure was set to be 70 MPa, and the heating and pressurization time was set to be 15 seconds. Examples 2 to 10 and Comparative Example 1 A4-sized sheets serving as formed bodies were produced in the manner akin to that in example 1 above except that the materials described in Table 2 were used as the binder C10and that the formed bodies were produced under the condition described as the forming condition in Table 2. 2.2. Evaluation 2.2.1. Strength of Formed Body Strips of 100 mm×20 mm were cut from the sheets serving as the formed bodies produced in the above-described examples and the comparative example, and the breaking strength in the longitudinal direction of the strip was measured. Autograph AGS-1N produced by SHIMADZU CORPORATION was used for measuring the breaking strength, the breaking strength was measured at a pulling rate of 20 mm/sec, the specific tearing strength was calculated therefrom, and evaluation was performed in accordance with the following criteria. It can be said that larger specific tearing strength corresponds to more excellent strength.A: the specific tearing strength is 25 Nm/g or moreB: the specific tearing strength is 20 Nm/g or more and less than 25 Nm/gC: the specific tearing strength is 15 Nm/g or more and less than 20 Nm/gD: the specific tearing strength is 10 Nm/g or more and less than 15 Nm/gE: the specific tearing strength is less than 10 Nm/g The results are described in Table 2. TABLE 2Forming conditionHeat rollerAmount oftemper-waterSpecificaturehumidifiedtearingBinder[° C.][% by mass]strengthExample 1preparation example 111020BExample 2preparation example 18020DExample 3preparation example 115020CExample 4preparation example 111010DExample 5preparation example 111050DExample 6preparation example 211020CExample 7preparation example 311020BExample 8preparation example 411020AExample 9preparation example 511020DExample 10preparation example 611020DComparativepreparation example 711020Eexample 1 As is clear from Table 2, regarding examples 1 to 10 in which formed bodies were produced by using the formed body producing method according to the present disclosure, the specific tearing strength of the resulting formed bodies were rated as D or better, and excellent results were obtained. On the other hand, regarding comparative example 1 in which the starch having the gelatinization temperature out of the range specified in the present disclosure was used, a satisfactory result was not obtained. Regarding example 10, the strength of the resulting formed body was low compared with that in example 1 and the like in which sheets were produced under the same forming condition. The reason for this is conjectured that since the binder of preparation example 6 used in example 10 had higher water absorbency than the binder of preparation example 1 used in example 1 or the like, the starch was gelatinized in the flow passage to supply the binder in the formed body producing apparatus, and transportability of the binder deteriorated. | 57,222 |
11859347 | DETAILED DESCRIPTION In a process of making paper (including tissue) or board pulp enters a storage tower (or broke enters to broke tower) via the top of said tower and partially mixes with existing pulp as a consequence of the free fall into existing pulp volume. The pulp is taken to further process steps from the lower part of the tower. Mixing in large pulp towers is never perfect. In such towers, there are typically fast flowing zones (e.g. areas of entry of new pulp), slow flowing zones and probably also zones or areas where the movement of pulp is minimal or zero. Pulp in slowly flowing zones is particularly susceptible to microbial growth and may serve as source for larger scale microbial contamination within said tower and then in every down-stream process step after said tower. Pulp storages in pulp mills and pulp or broke storages in paper and board machines, usually towers or tanks into which pulp is fed, are fed from the upper part of the tower/tank and emptied from near the bottom. The tower usually has mixing blades at the bottom of the tower. It is rather common that in large pulp or broke towers only the lowest 15-20% volume can be effectively mixed by these blades. The towers come in different dimensions, but are typically upwards standing cylinder like vessels with a ratio of the inner diameter to the inner height less than 1:1.5. In this connection term “tower” should be understood to cover both pulp storage towers and broke storage towers, unless otherwise indicated. Here term “pulp” refers to pulp suspension comprising at least cellulosic fibers and water, and possibly conventional paper making additives. Pulp may be mechanical pulp, chemical pulp or recycled pulp or any combination thereof. In addition to those broke (broke pulp) comprises paper or board (coated or uncoated) that was discarded at any stage during its manufacture and is re-pulped in a broke pulper for reuse in making of new paper or board. In manufacturing and bleaching of pulp the consistency maybe higher than 10 wt % (fibers per water), whereas in the paper or board making process the typical consistency of pulp suspension in pulp or broke storage towers is below 10 wt-%. Pulpers and towers where broke is collected may have consistency of 1 to 3 wt-%. In order to reduce the volume for storage towers the consistency of pulp or broke may be increased by thickening to 4 to 10 wt-%, typically about 6 to 8 wt-%. Consistency may be increased by removing the water using conventional methods with the art. The inventors have found a correlation between the delay in emptying a storage tower or a pulper or lowering a surface level of a storage tower or a pulper (in other words prolonged duration of time when the surface level of pulp in said tower exceeds a predefined limit for the surface level) and an increase in risk of problems caused by microbial contaminants, such as bacteria or spores, in down-stream processes. The inventors have shown that the microbiological quality of pulp starts to get worse at surface levels above 30 to 40% (of the height of the tower), especially when the level is constantly above said level. There may be cases where the microbiological quality of pulp starts to get worse at sometimes even above 25% of the height of the tower, or even at lower surface levels. When the surface level in a tower is above the volume impacted by the bottom mixer blades the incoming pulp entering said tower from top will not be sufficiently mixed with all existing pulp. Slow flowing zones will be formed, and this may increase the bacterial growth and spore formation in said tower or pulper. It has now been found that lowering the tower surface level to a predetermined level (or below it) at the latest when reaching the predetermined duration of time is an effective way to control the microbial conditions in the downstream flow and following process steps in the pulp or papermaking process or to control the quality of the produced paper. The present methods allow preventive microbial control and hygiene maintenance and thus reduce losses caused by low quality final paper or board. The method can also reduce need of extensive use of controlling chemicals (environmental and economic aspect) and even maintenance breaks (environmental and economic aspect). A further advantage is that microbial status of the process and quality of the end product (pulp or paper) can be proactively effected instead of acting solely when the microbes are already causing some problems in the process or in the end-product quality, or the microbe control in the process is completely out of control. Unless being able to predict and/or affect microbial conditions proactively in a process, a pulp severely spoiled by bacteria in one location will affect the microbial activity in all downstream process steps, if not isolated from other streams or locations. In this connection expressions “surface level” and “tower level” refer to the surface level of pulp or other fibrous aqueous mass in a tower or a pulper. The level is given as a percentage of the inner height of said tower or pulper. The inventors have shown that a so called “risk limit” for said surface level can be determined as a predefined limit for the surface level for at least most of the towers or pulpers involved in the process. The risk limit for surface level is dependent on e.g. the mixer efficacy, structural design and pulp consistency in said tower or pulper. In this connection expression “duration of time” refers to residence time of a pulp suspension (such as pulp or broke) in a tower or pulper. According to this invention the duration of time is measured in relation to the surface level of the pulp suspension in said tower or pulper. Calculation of duration of time starts when the surface level exceeds (is above of) the predefined limit for surface level (risk limit for surface level). Recording the duration of time continues until the tower surface level again meets the predefined limit for the surface level. When the surface level of the pulp suspension in a tower or tank is below the predefined level, duration of time is zero. Typically, duration of time is measured using a timer receiving online information of the surface level in said tower. The timer starts to record the time when the surface level exceeds the predefined limit for the surface level. The timer is on and calculating the time until the level meets the predefined surface level. When the surface level in a tower or tank is below the predefined level, the timer is off and not calculating the time. The recorded time remains as zero until the surface level exceeds the predefined limit for the surface level again. Predefined duration of time for a tower or pulper in this connection is a time that is deemed not indicating a risk of higher microbial activity in said tower or said pulper over a level that could worsen the microbial status of the paper making process or reduce quality of the dry paper or board obtained from said process. In case a predefined duration of time is exceeded, risk for higher microbial activity may be increased. The predefined limit for duration of time of is dependent on e.g. quality of pulp (especially microbiological activity level) in said tower or said pulper, overall process status and also the requirements of the paper or board obtainable from said process. For example, a predefined limit for duration of time for storage tower for new pulp is often longer than respective time limit for broke in a broke tower, as microbe content of broke is often higher than in fresh pulp. The predefined limit for the surface level is dependent on e.g. quality of pulp (especially microbiological activity level) in said tower or said pulper, overall process status and also the requirements of the paper or board obtainable from said process. The risk limit for surface level is typically dependent on e.g. the mixer efficacy, structural design and pulp consistency in said tower or pulper. If tower surface level has not been lowered to or below a risk limit, also discussed as “predefined limit for the surface level” (in other words, if the tower surface level has been above the predefined limit for the surface level) during a predefined time (predefined duration of time), it is believed that the risk that the pulp gets contaminated is increased. If tower surface level has not been lowered to or below a predefined limit during a predefined duration of time, the slowly moving pulp may potentially be contaminated and said contaminated pulp may exit the tower or pulper when the tower or pulper is lowered to or below said predefined limit for surface level next time. According to the present invention a method for predicting microbial status of a paper or board making process or quality of the dry board or paper obtained from said process comprises monitoring surface level and duration of time in at least one pulp storage tower, broke storage tower or broke pulper. A detection that the surface level which is above the predefined limit for the surface level has not met a predefined limit for the surface level, that can also be called ‘a risk limit’, during a predefined time (predefined duration of time) is indicative of risk (or increase in risk) of higher microbial activity in said process. In other words, a detection that the tower has not been emptied or lowered to or below a predefined limit for the surface level during a predefined time is indicative of risk, or increase of risk of higher microbial activity in said process. Higher microbial activity in one location may increase the microbial activity in all downstream process steps. Spore formation during paper or board making process compromises the quality of a resulting paper or board especially in high hygiene food-packaging end uses. In addition, high microbial activity in process can lower the quality of the finished dry paper or board and can cause economic challenges. Such quality issues can be caused by high microbial activity that lowers process pH to a level that disturbs paper making chemistry. High microbial activity can produce compounds with bad odor which will stick to final dry paper or board. Biofilm formed by viable bacterial or fungal cells on machine surfaces can result in runnability problems and dirt spots in finished paper or board. Microbiological status of process used herein refers to number of viable bacterial cells, viable fungal cells, and number of bacterial endospores present in pulp, broke or in circulating water. Microbial status of process used herein refers also to amount of biofilm formed by microbes on machine surfaces. Microbial status of process used herein refers also to amount of possible malodorous microbial metabolites, such as volatile fatty acids (VFA) in the process. Microbial status of process used herein refers also to possible physicochemical changes caused by microbes in process, such as change in pH or ORP (Redox) of the process. Higher microbial activity in this connection means one or more of the following: higher number of microorganisms (higher meaning a change of at least 1 log unit), higher amount of biofilm, formation of malodorous compounds, lower pH (e.g. change of at least 0.2 pH units), lower redox (e.g. change of at least 40 mV) when compared to an acceptable level for an individual paper or board making process. An acceptable level for an individual paper or board making process varies according to for example quality and type of incoming raw material and requirements of dry paper or board obtained from said process. The present disclosure can also be described as a method for predicting the microbial status of a paper or board making process or quality of the dry board or paper obtained from said process comprising monitoring surface level and duration of time in at least one pulp storage tower, broke storage tower or broke pulper. A detection that the surface level of the tower has not been lowered to or below predefined limit for the surface level during a predefined time is indicative of increase in risk of higher microbial activity in said process. In one embodiment the surface level is monitored in at least two of storage towers, broke towers or pulpers. A detection that the surface level is monitored in at least two storage towers, broke towers or pulpers, wherein a detection that the surface levels of at least two storage towers, broke towers or pulpers has not met (in other words has been above) the predefined limit for the surface level, i.e. so-called risk limit for surface level, during the predefined time is indicative of an increase in the risk of higher microbial activity in said tower or pulper. A wider spread or severe increase in microbial activity is more likely to result in the downstream process and risk for quality problems increases. In one embodiment predefined limit for the surface level of a tower or a pulper and predefined time are defined based on historical data obtained from said tower or pulper in relation to historical data obtained from one or more key performance indicators (KPIs) of said process or from dry paper or board obtained from said process, wherein the historical data obtained from said tower or pulper comprises at least one data set representing the surface level of said tower or said pulper as a function of time in relation to historical data of at least one key performance indicator (KPI) of said process or of dry paper or board obtained from said process. Time period for data set collection varies in different paper or board making systems. Preferably historical data from a paper or board making process is collected during at least one week. The longer the data collection time, the more precise or accurate correlation is available. In one embodiment the predefined limit for the surface level for a pulp storage tower is 35%, preferably 30% and more preferably 25% or 20%. In one embodiment the predefined limit for the surface level for a broke tower is 40% or 35%, preferably 30%, more preferably 25% or 20%, and still more preferably 15% or even 10%. In one embodiment the predefined limit for the surface level for a pulper is 30%, preferably 20%. In one embodiment the predefined limit for said time in storage tower is 3 days, preferably 2 days. In one embodiment the predefined limit for time in a broke tower is 2 days, preferably 1 day. In one embodiment, the predefined limit for said time in a pulper is 24 hours, preferably 20 hours. In another embodiment said time is 18 hours, preferably 12 hours. In one embodiment the predefined limit for the surface level for a pulp storage tower is 30%, preferably 20% and respectively the predefined limit is 72 hours, preferably 36 hours. In one embodiment the predefined limit for the surface level for a broke tower is 25%, preferably 15% and respectively the predefined limit is 40 hours, preferably 20 hours. In one embodiment the predefined limit for the surface level for a broke tower is 40%, preferably 35% and respectively the predefined limit is 168 hours, preferably 120 hours. In one embodiment the predefined limit for the surface level for a pulper is 30%, preferably 20% and respectively the predefined limit is 48 hours, preferably 24 hours. Different measurements indicating or measuring surface level in various vessels are used in industry, including paper or board making processes. A person skilled in the art understands that surface level measurements may be calibrated in another ways, depending on e.g. the material to be measured and/or shape of the vessel, such as tower, tank or pulper. Surface level of a vessel may be given for example, as percentages of the height of a vessel (such as tower or pulper) or as a percentage of maximum filling level of said vessel. Thus, a surface level measurement may be calibrated e.g. in a way that 100% surface level equals with the vessel height or that 100% surface level equals with maximum filling level of the vessel. Surface levels above are given as percentages of the height of the tower. However, those percentage values are also applicable for situations when surface levels are calibrated in a different way, e.g. provided as percentages indicating a percentage of the maximum filling level of a vessel (tower or tank or pulper), or in other way used in the field of the present disclosure. The measurement technique of measuring the surface level is not essential for the invention. It is not essential which way surface level measurements have been calibrated for a vessel, as the surface level measurement techniques and ways of indicating surface level percentages in a vessel are well known in the industry and to a person skilled in the art. A predefined limit for the surface level (“risk limit”) and/or a predefined duration of time indicating a risk or an increase in risk of higher microbial activity in said tower can be determined by monitoring said parameters (namely the surface level in relation to the of time) in said tower or pulper in relation to e.g. quality of resulting paper or board during several days, clustering the information obtained and concluding the correlation of values to each other. The historical data may be complemented by data derived from respective processes from other production sites but the final determination of risk limits for surface level and time is preferably based on data related to the particular tower or pulper. In one embodiment, the calculation of risk level is based on a combination of a value from a timer (i.e. duration of time when the surface level has not met the predefined surface level or in other words a surface level has been above said predefined surface level) and surface level. Timer value depends on the online information from a tower surface level. Timer starts to record the time when the surface level has exceeded the predefined risk limit (safety limit). Recording the duration of time continues until the tower surface level meets the predefined risk limit. Timer resets the time to 0 seconds when the tower surface level meets a value of the predefined limit for the surface level. The surface level is deemed to meet the predefined limit of surface level when the surface level is on the same level or below than said predefined level. When the surface level of the pulp suspension in a tower or tank is below the predefined surface level, duration of time remains as zero. Timer starts to record the time again when the surface level exceeds the risk limit (predefined risk limit). For practical cases, it may sometimes be useful to set different risk levels based on a combination of a value from a timer and surface level. When the recorded time is below (less than) a predefined time, the risk level is defined being at a risk level 0. When the recorded time is close to the predefined time, the system (e.g. PC, PLC) gives warning (risk level may e.g. be called risk level 1). When the recorded time has met predefined time, the system gives alert (risk level 2). Risk level calculations may be carried out e.g. in a programmable logic (PLC) or industrial PC or in other suitable system. Signal from a tower level sensor may be connected to programmable logic (PLC) or industrial PC. Risk level may be calculated continuously in the system (PLC or industrial PC). In one embodiment, when the recorded time is less than 48 hours or 2 days, risk level is 0. When the risk level is 0, it is considered that there is no increased risk for microbial activity (compared to acceptable level) and no preventive actions are needed. If the recorded time is more than 2 days but less than 2.2 days, risk level is 1, whereby the system gives a warning. If the recorded time is equal to or more than 2.2 days, risk level is 2, whereby the system give an alert of increased risk for microbial activity and preventive actions are needed. In one embodiment said KPI of said process is selected from pH, ORP (redox), pH corrected redox (rH), oxygen, dissolved oxygen, oxygen consumption, microbial amounts, microbial activity, amount of biofilm, or amount of malodorous compounds in process. In one embodiment KPI is defined as delta, i.e. change in between tower inlet and outlet, for example KPI is defined as delta rH in broke tower should be less than 1 unit. The redox value is dependent on pH and temperature. Determination of rH value thus involves measurement of temperature, pH and redox value. The rH value may be determined (calculated) using the pH and redox potential using equation (1): rH=2*pH+2*Eh*F/(c*R*T) (1) wherein F=Faraday constant (9.64853399×104C mol−1, c=ln 10, T=temperature (K), Eh=redox potential measured with standard hydrogen electrode, and R=universal gas constant (8.314472 J K−1mol−1). In one embodiment rH is determined using equation (1). In one embodiment said KPI of paper or board obtained from said process is microbe content of said dry paper or board. In one embodiment said KPI of paper or board obtained from said process is the number of quality defects of said dry paper or board. In one embodiment said KPI of paper or board obtained from said process is the bacterial spore count of said paper or board obtained from said process. The number of paper/board quality defects may be measured as amount or size of dirt spots or holes in the paper of board obtained from said process. In one embodiment the number of paper/board quality defects is measured as a bacterial spore count in the dry paper or board obtained from said process. For high hygiene applications such as food packaging the bacterial spore count is commonly regulated by national legislation or by requirements from converters of board to final packages. Common limits for living aerobic bacteria are for example maximum 1000 CFU, or maximum 250 CFU, per gram of dry board. Delta rH (pH corrected change in redox value) is measured as a difference between aqueous fiber suspension's rH values before and after a storage tower for pulp, broke tower or a broke pulper. In a well-functioning process the value is close to zero. The smaller the difference between rH values before and after a storage tower, the better is the microbiological status in the storage tower and the smaller the risk is for higher microbial activity and subsequent process problems. Measuring the concentration of dissolved oxygen is suitable, either as such or in combination with e.g. oxygen consumption data, for determining the amount of aerobic microbes in a sample. The higher the microbe level is, the higher the amount of oxygen consumed by the microbes is, provided that these microbes have a supply of nutrition. The more the aerobic microbes consume oxygen, the lower the concentration of dissolved oxygen in a sample representing the situation in a process. A dissolved oxygen measurement therefore directly describes whether the process is aerobic (oxygen present) or anaerobic (no oxygen present). In the context of the present invention this data can support the primary observation relating to surface level. Typically, the microbial content of pulp derived from pulping processes has a low amount of living microbes whereas microbial count in broke pulp may be higher without sufficient biocide treatment. Thus, it is to be understood that the determination of risk limits for the surface level and/or a duration of predefined time and other parameters must also be done separately. In one embodiment monitoring of surface level and duration of time of at least one tower or pulper or at least one KPI of said process or of paper or board obtained from said process is based on continuous monitoring, optionally with set alert value. Continuous monitoring allows setting alerts and thereby increases the accuracy of prediction. In one embodiment, measuring one or more further KPI(s) is based on a single measurement (triggered by indication of risk or an increase in risk based on surface level in said tower). One advantage of the above described method for predicting the microbial status of a paper or board making process is that it allows preventive microbial control with reasonable simple measures, without e.g. extensive use of biocides or compromised quality of the paper or board obtained. Reliable predictions allow proactive adaptation of process parameters and is beneficial in view of environmental and economic aspects. According to one embodiment of the invention a method for controlling microbial status of a paper or board making process or quality of the dry board or paper obtained from said process. The method comprises the steps of:a) monitoring surface level and duration of time in at least one storage tower, broke tower or pulper in relation of at least one KPI of said process or paper or board obtained from said process; andb) collecting historical data of said process by determining a correlation between said surface level, duration of time and KPI; andc) defining limits for said surface level and said duration of time which indicate a risk or an increase in risk of higher microbial activity in said process; andd) monitoring surface level and duration of time of at least one storage tower, broke tower or pulper, wherein a detection that the surface level which is first above a predefined limit (so-called risk limit) has not met the predefined (risk) limit during a predefined time is indicative of risk or an increase in risk of higher microbial activity in said process; ande) if indication generated in step c shows increased risk, adjusting at least one property of the process of the paper making plant. According to one embodiment of the invention a method for controlling microbial status of a paper or board making process or quality of the dry board or paper obtained from said process comprises the steps ofa) predicting microbial status of a paper or board making process or quality of the dry board or paper obtained from said process here described; andb) if risk or an increase of risk is predicted, adjusting at least one property of the process of the paper making plant. The property to be adjusted can be e.g. a chemical treatment such as biocide feed or a change in pulp flow in said process. In one embodiment one or more of the following adjustments to the process are madea) biocide feed quantity is increased into the tower and/or any process step before or after said tower or pulper where increased risk of higher microbial activity is predicted; orb) the frequency of biocide feed pulses is increased; orc) emptying the tower or the pulper where increased risk of higher microbial activity is predicted; ord) the frequency of emptying the tower is adjusted; ore) any combination of items a) to d). A biocide or other controlling agent can be fed into the pulp in a tower or fibrous aqueous mass or into the pulp flow between process steps. The microbial control agent may be a biocide, reductive chemical or oxidative chemical. The invention is illustrated below by the following non-limiting examples. It should be understood that the embodiments given in the description above and the examples are for illustrative purposes only, and that various changes and modifications are possible within the scope of the invention. EXAMPLES Example 1. Online Calculation of Risk Level for Higher Microbial Activity in a Broke Tower of a Board Machine Analysis of history data has shown that the broke tower studied in this example should be emptied once per day in order to prevent an increase in microbial activity in the tower. Risk limit for the level of the tower studied was 20% and predefined time was 1 day. Signal from the tower level sensor was connected to a programmable logic circuit (PLC) or an industrial PC. Risk level was calculated continuously in the system (PLC or industrial PC). Calculation was based on a timer which records the time as seconds, minutes, hours or days. The timer gets online information of the tower level every second. Timer resets the time to 0 s when the tower level was lowered to or below the predefined risk limit (20% or less than 20%). After resetting the time to zero, the timer starts to record the time again when the level has exceeded the risk limit (FIG.1). When the recorded time was close to the predefined time, the system (e.g. PC, PLC) gives a warning. When the recorded time has met the predefined time, the system gives an alert (FIG.2).If the recorded time is less than 20 hours, risk level is 0→no increased risk for microbial activity, no actions are needed.If the recorded time is more than 20 hours but less than 24 hours, risk level is 1→Warning, preparing for actions (e.g. mill visit, starting to prepare changes in biocide program)If the recorded time is more than 24 hours, risk level is 2→Alert, increased risk for microbial activity, actions are needed FIG.3shows a measured tower level and risk limit (safety limit). Example 2. Online Calculation of Risk Level for Higher Microbial Activity in a Broke Tower Background: Analysis of history data has been shown that a broke tower should be emptied at least to or below a predefined surface level every 2.2 days in order to prevent increase in microbial activity in the tower and further quality issues in dry board.Predefined risk limit for surface level: 25%Predefined time: 2.2 days Signal from the tower level sensor was connected to programmable logic (PLC) or industrial PC. Risk level was calculated continuously in the system (PLC or industrial PC). Calculation of risk level was based on a timer which records a time e.g. as a seconds, minutes, hours or days. Timer gets online information from tower level. Timer resets the time to 0 s when the tower level was lowered to or below the predefined risk limit (=e.g. in this example case level is 25% or level is less than 25%). After resetting the time to zero, timer starts to record the time again when the level has exceeded the risk limit as shown inFIGS.4aand4b. When the recorded time is close to predefined time, the system (e.g. PC, PLC) gives warning. When the recorded time has met predefined time, the system gives alert, seeFIG.5.If the recorded time is less than 1.8 days, risk level is 0→no increased risk for microbial activity, no actions are needed.If the recorded time is more than 1.8 days but less than 2.2 days, risk level is 1→WarningIf the recorded time is more than 2.2 days, risk level is 2→Alert, increased risk for microbial activity, actions are needed FIG.4ashows measured tower surface level and predefined risk limit for surface level (i.e. predefined limit).FIG.4bshows measured tower surface level, recorded time from the timer as days and predefined alert time (black horizontal line). FIG.5shows measured tower surface level and calculated risk level for increased risk of microbial activity (0=ok, 1=warning, 2=alert). Example 3: Example of a Board Machine: Analysis of History Data, Quality of Dry Final Product Versus Risk Limit and Predefined Time of Broke Tower History data contained online tower level information and bacterial spore counts from dry board (laboratory analysis result). High quality board should contain a low amount of bacterial spores. In this mill the maximum limit for spore count is set to 1000 CFU/g. On the basis of the analysis following parameters were found:Risk limit for the broke tower level: 25Predefined time: 2.2 days Examples are presented inFIGS.6to8. When recorded time is less than 2.2 days→Low risk for high spore count in end product (typical results <1000 CFU/g) When recorded time is more than 2.2 days→Evaluated risk for high spore counts in end product (higher than 1000 CFU/g) FIG.6ashows data of measured tower surface level and spore count (CFU/g) in final board andFIG.6bshows time from timer during a 13 days run period. There is only two occasions with increased risk (darkened area in chart on right), most of the period predefined time is below 2.2 and spore content in board is below 1000 CFU/g. Data clearly shows that the maximum surface level of storage tower itself is not the key parameter, because the tower regularly visits a surface level of over 80%, more important is that there are multiple moments where surface level visit such a low value that slowly moving (stagnant) areas of pulp are moving out from the tower. This enables maintaining of lower microbial activity in the tower, compared to a situation wherein pulp volume remains in storage for extended periods of time and microbial activity increases and spoils the quality of pulp. FIG.7ashows data of measured tower surface level and spore count (CFU/g) in final board andFIG.7bshows time from timer during a 13 days run period. Most of the time is with increased risk (darkened area in chart on right) as the predefined time is over 2.2 days. During this period all measured samples of final board did exceed spore count 1000 CFU/g and thus did not meet quality target. Data clearly shows that the maximum surface level of storage tower itself is not the key parameter, because in this run period the tower surface level is frequently below 60%. More important is that there is only one moment where surface level visit such a low value that slowly moving (stagnant) areas of pulp can move out from the tower. This causes a situation most of the time there is slowly moving volumes of pulp that stay in storage tower for extended periods of time, demonstrate increasing microbial activity and this will cause loss of quality. FIG.8a. shows data of measured tower surface level, spore count in final board (7a)), and time from timer (FIG.8b) during a third exemplary run period. When the predefined time is below 2.2 then the spore content in final dry board is below 1000 CFU/g. | 33,889 |
11859348 | DESCRIPTION OF EXEMPLARY EMBODIMENTS 1. First Embodiment First, the configuration of a fiber body manufacturing apparatus100will be described, and then the fiber body manufacturing method will be described. The fiber body manufacturing apparatus100is an apparatus for manufacturing a sheet-like fiber body S. As illustrated inFIG.1, the fiber body manufacturing apparatus100includes, for example, a supply section10, a crushing section12, a defibration section20, a sorting section40, a first web forming section45, a rotating body49, a mixing section50, an accumulating section60, a second web forming section70, a transport section78, a water-applying section79, a heating section80, and a cutting section90. The supply section10supplies the raw material to the crushing section12. The supply section10is, for example, an automatic charging section for continuously charging the raw material into the crushing section12. The raw material supplied by the supply section10is a material containing various fibers. The fiber is not particularly limited, and a wide range of fiber materials can be used. Examples of the fiber include natural fiber (animal fiber, plant fiber) and chemical fiber (organic fiber, inorganic fiber, organic-inorganic composite fiber). More specifically, the fiber includes fibers made of cellulose, silk, wool, cotton,cannabis, kenaf, flax, ramie, jute, Manila hemp, sisal, coniferous tree, broadleaf tree, and the like, and these may be used alone, may be appropriately mixed and used, or may be used as a purified regenerated fiber. Examples of the raw material of the fiber include pulp, used paper, and used cloth. Further, the fiber may be subjected to various surface treatments. Further, the material of the fiber may be a pure substance or a material containing a plurality of components such as impurities and other components. Further, as the fiber, a defibrated product obtained by defibrating used paper, pulp sheet, or the like by a dry method may be used. The length of the fiber is not particularly limited, but in a case of one independent fiber, the length along the longitudinal direction of the fiber is 1 μm or more and 5 mm or less, preferably 2 μm or more and 3 mm or less, and more preferably 3 μm or more and 2 mm or less. In the fiber body manufacturing apparatus100, water is applied in the water-applying section79, and thus the mechanical strength of a formed fiber body S can be increased by using a fiber having the ability to form hydrogen bonds. Examples of such fibers include cellulose. The fiber content in the fiber body S is, for example, 50% by mass or more and 99.9% by mass or less, preferably 60% by mass or more and 99% by mass or less, and more preferably 70% by mass or more and 99% by mass or less. Such a content can be obtained by performing mixing when forming the mixture. The crushing section12cuts the raw material supplied by the supply section10into strips in the air such as the atmosphere. The shape and size of the strips are, for example, several centimeter square. In the illustrated example, the crushing section12has a crushing blade14, and the charged raw material can be cut by the crushing blade14. As the crushing section12, for example, a shredder is used. The raw material cut by the crushing section12is received by a hopper1and then transferred to the defibration section20through a pipe2. The defibration section20defibrates the raw material cut by the crushing section12. Here, “defibrating” means unraveling a raw material obtained by binding a plurality of fibers into each fiber. The defibration section20also has a function of separating substances such as resin particles, ink, toner, and a blot inhibitor adhering to the raw material from the fibers. A product that passed through the defibration section20is referred to as “defibrated product”. In addition to the unraveled defibrated fiber, the “defibrated product” may include resin particles separated from the fiber when the fiber is unraveled, coloring agents such as ink and toner, or additives such as blot inhibitors and paper strength enhancers. The shape of the unraveled defibrated product is a shape of a string. The unraveled defibrated product may exist in a state of not being entangled with other unraveled fibers, that is, in an independent state, or may exist in a state of being entangled with other unraveled defibrated products to form a mass shape, that is, in a state where a lump is formed. The defibration section20performs defibration by a dry method. Here, the treatment of defibrating or the like in the air such as the atmosphere, not in the liquid, is referred to as a dry method. As the defibration section20, for example, an impeller mill is used. The defibration section20has a function of suctioning the raw material and generating an airflow that discharges the defibrated product. Accordingly, the defibration section20can suction the raw material together with the airflow from an introduction port22by the airflow generated by itself, perform the defibration treatment, and transport the defibrated product to a discharge port24. The defibrated product that passed through the defibration section20is transferred to the sorting section40through the pipe3. As the airflow for transporting the defibrated product from the defibration section20to the sorting section40, the airflow generated by the defibration section20may be used, or an airflow generating apparatus such as a blower may be provided to use this airflow. The sorting section40introduces the defibrated product defibrated by the defibration section20from the introduction port42and sorts the defibrated product according to the length of the fibers. The sorting section40has, for example, a drum section41and a housing section43that accommodates the drum section41therein. As the drum section41, for example, a sieve is used. The drum section41has a net, and can sort out fibers or particles smaller than the size of the mesh opening of the net, that is, a first sorted product passing through the net, and fibers, undefibrated pieces, and lumps larger than the size of the mesh opening of the net, that is, a second sorted product that does not pass through the net. For example, the first sorted product is transferred to the accumulating section60through a pipe7. The second sorted product is returned from the discharge port44to the defibration section20through a pipe8. Specifically, the drum section41is a cylindrical sieve that is rotationally driven by a motor. As the net of the drum section41, for example, a wire net, an expanded metal obtained by stretching a metal plate having a cut, or a punching metal in which a hole is formed in the metal plate by a press machine or the like is used. The first web forming section45transports the first sorted product that passed through the sorting section40to the pipe7. The first web forming section45includes, for example, a mesh belt46, a stretching roller47, and a suction mechanism48. The suction mechanism48can suction the first sorted product dispersed in the air through the opening of the sorting section40onto the mesh belt46. The first sorted product is accumulated on the moving mesh belt46to form a web V. Passing products that passed through the opening of the sorting section40are accumulated on the mesh belt46. The mesh belt46is stretched by the stretching roller47, and is configured such that the passing products are unlikely to pass therethrough and air is allowed to pass therethrough. The mesh belt46moves as the stretching roller47revolves. While the mesh belt46moves continuously, the passing products that passed through the sorting section40are continuously piled up, and accordingly, the web V is formed on the mesh belt46. The suction mechanism48is provided below the mesh belt46. The suction mechanism48can generate a downward airflow. By the suction mechanism48, the passing products dispersed in the air by the sorting section40can be suctioned onto the mesh belt46. Accordingly, the discharge speed from the sorting section40can be increased. The web V is formed in a soft and swollen state containing a large amount of air by passing through the sorting section40and the first web forming section45. The web V accumulated on the mesh belt46is charged into the pipe7and transported to the accumulating section60. The rotating body49can cut the web V. In the illustrated example, the rotating body49has a base portion49aand a protrusion portion49bprotruding from the base portion49a. The protrusion portion49bhas, for example, a plate-like shape. In the illustrated example, four protrusion portions49bare provided, and four protrusion portions49bare provided at equal intervals. By rotating the base portion49ain a direction R, the protrusion portion49bcan rotate around the base portion49aas an axis. By cutting the web V by the rotating body49, for example, the fluctuation of the amount of the defibrated product per unit time supplied to the accumulating section60can be reduced. The rotating body49is provided in the vicinity of the first web forming section45. In the illustrated example, the rotating body49is provided in the vicinity of the stretching roller47apositioned downstream in the path of the web V. The rotating body49is provided at a position where the protrusion portion49bcan come into contact with the web V and does not come into contact with the mesh belt46on which the web V is accumulated. Accordingly, it is possible to suppress abrasion of the mesh belt46by the protrusion portion49b. The shortest distance between the protrusion portion49band the mesh belt46is, for example, 0.05 mm or more and 0.5 mm or less. This is the distance at which the mesh belt46can cut the web V without being damaged. The mixing section50mixes, for example, the first sorted product that passed through the sorting section40and the binder. The mixing section50has, for example, a binder supply section52that supplies the binder, a pipe54for transporting the first sorted product and the binder, and a blower56. In the illustrated example, the binder is supplied from the binder supply section52to the pipe54through the hopper9. The pipe54is continuous with the pipe7. In the mixing section50, an airflow is generated by the blower56, and the first sorted product and the binder can be transported while being mixed in the pipe54. The mechanism for mixing the first sorted product and the binder is not particularly limited, and may be agitated by a blade that rotates at high speed, or may use rotation of a container such as a V-type mixer. As the binder supply section52, a screw feeder, a disc feeder, or the like is used. The binder supplied from the binder supply section52is starch or dextrin. Starch is a polymer in which a plurality of α-glucose molecules are polymerized by glycosidic bonds. The starch may be linear or may contain branches. As the starch, those derived from various plants can be used. Raw materials for starch include grains such as corn, wheat, and rice, beans such as broad beans, mung beans, and red beans, tubers such as potatoes, sweet potatoes, and tapioca, wild grasses such asErythronium japonicum, bracken, and kudzu, and palms such as sago palm. Further, processed starch or modified starch may be used as the starch. Examples of the processed starch include acetylated adipic acid cross-linked starch, acetylated starch, oxidized starch, octenyl succinate starch sodium, hydroxypropyl starch, hydroxypropylated phosphoric acid cross-linked starch, phosphorylated starch, phosphoric acid esterified phosphoric acid cross-linked starch, urea phosphorylated esterified starch, sodium starch glycolate, and high amylose corn starch. Further, as the dextrin that serves as the modified starch, those obtained by processing or modifying the starch can be preferably used. In the fiber body manufacturing apparatus100, by using starch or dextrin, at least one of gelatinization and hydrogen bonds between the fibers occurs by being pressurized and heated after water is applied, and the fiber body S can be given sufficient strength. The content of starch or dextrin in the fiber body S is, for example, 0.1% by mass or more and 50% by mass or less, preferably 1% by mass or more and 40% by mass or less, and more preferably 1% by mass or more and 30% by mass or less. Such a content can be obtained by performing mixing when forming the mixture. In addition, in the binder supply section52, in addition to the binder, in accordance with the type of the fiber body S to be manufactured, a colorant for coloring the fibers, a coagulation inhibitor for suppressing coagulation of fibers or coagulation of binder, a flame retardant for making fibers and the like unlikely to burn, and the like, may be included. The mixture that passed through the mixing section50is transferred to the accumulating section60through the pipe54. The accumulating section60introduces the mixture that passed through the mixing section50from an introduction port62, unravels the entangled defibrated product, and disperses the unraveled defibrated product in the air to make the product fall. Accordingly, the accumulating section60can uniformly accumulate the mixture (a material containing the fibers and the binder) on the second web forming section70. The accumulating section60has, for example, a drum section61and a housing section63that accommodates the drum section61therein. As the drum section61, a rotating cylindrical sieve is used. The drum section61has a net and makes fibers or particles smaller than the size of the mesh opening of the net, which are contained in the mixture that passed through the mixing section50, fall. The configuration of the drum section61is, for example, the same as the configuration of the drum section41. The “sieve” of the drum section61may not have a function of sorting a specific object. In other words, the “sieve” used as the drum section61means a sieve provided with a net, and the drum section61may make all of the mixture introduced into the drum section61fall. The second web forming section70accumulates the passing products that passed through the accumulating section60to form the web W. The second web forming section70includes, for example, a first mesh belt72that serves as a first transport belt, a stretching roller74, and a suction mechanism76. Passing products that passed through the opening of the accumulating section60are accumulated on the first mesh belt72. The first mesh belt72is stretched by the stretching roller74, and is configured such that the passing products are unlikely to pass therethrough and air is allowed to pass therethrough. The first mesh belt72moves as the stretching roller74revolves. While the first mesh belt72moves continuously, the passing products that passed through the accumulating section60are continuously piled up, and accordingly, the web W is formed on the first mesh belt72. The suction mechanism76is provided below the first mesh belt72. The suction mechanism76can generate a downward airflow. By the suction mechanism76, the mixture dispersed in the air by the accumulating section60can be suctioned onto the first mesh belt72. Accordingly, the discharge speed from the accumulating section60can be increased. Furthermore, the suction mechanism76can form a downflow in the falling path of the mixture, and can prevent the defibrated product and the binder from being entangled during the fall. As described above, the web W in a soft and swollen state containing a large amount of air is formed by passing through the accumulating section60and the second web forming section70. The transport section78is arranged downstream of the first mesh belt72in the transport direction of the web W. The transport section78peels off the web W on the first mesh belt72from the first mesh belt72and transports the web W toward the heating section80. As illustrated inFIG.2, the transport section78has a second mesh belt78athat serves as a second transport belt, a roller78b, and a suction mechanism78c. The second mesh belt78ais stretched by the roller78b, and is configured such that the air is allowed to pass therethrough. The second mesh belt78ais configured to be movable by the revolution of the roller78b. The suction mechanism78cis arranged at a position facing the web W with the second mesh belt78ainterposed therebetween. The suction mechanism78cincludes a blower, and generates an upward airflow in the second mesh belt78aby the suction force of the blower. The web W is suctioned by this airflow. Accordingly, a first surface Wa of the web W is peeled off from the first mesh belt72, and a second surface Wb which is a surface opposite to the first surface Wa peeled off from the first mesh belt72can be adsorbed to the second mesh belt78a. The web W adsorbed to the second mesh belt78ais transported in a state of being in contact with the second mesh belt78a. The water-applying section79is arranged downstream of the accumulating section60. The water-applying section79applies water to the web W which is in contact with the first mesh belt72or the second mesh belt78a. The water-applying section79of the present embodiment is arranged below the transport section78, and applies water to the web W which is in contact with the second mesh belt78a. Specifically, the water-applying section79applies water toward the first surface Wa of the web W which is in contact with the second mesh belt78a. In other words, in the present embodiment, water is applied from below the web W toward the first surface Wa. In the water-applying section79, as the water, for example, water vapor or mist is applied to the web W. Accordingly, water can be uniformly applied to the web W. The water-applying section79of the present embodiment includes a container79acapable of storing water and a piezoelectric vibrator79barranged at the bottom portion of the container79a. The upper portion of the container79ais opened, and the container79ais arranged such that the opening faces the first surface Wa side of the web W. By driving the piezoelectric vibrator79b, ultrasonic waves are generated in the water and mist is generated in the container79a. The generated mist is supplied to the web W through the opening of the container79a. By applying water from below the web W, water droplets do not fall on the web W even when dew condensation is generated in the water-applying section79or in the vicinity thereof. Further, the suction mechanism78cof the transport section78is arranged at a position facing the water-applying section79with the second mesh belt78ainterposed therebetween. Accordingly, the airflow containing water generated in the water-applying section79by the suction mechanism78ccan pass through the inside of the web W and apply water to the inside of the web W. In other words, the suction mechanism78cis arranged so as to face a part of the first mesh belt72of the second web forming section70and the container79aof the water-applying section79. Accordingly, the common suction mechanism78chas a function of peeling off the web W from the first mesh belt72and adsorbing the web W to the second mesh belt78aand a function of applying water to the inside of the web W. Therefore, the configuration of the fiber body manufacturing apparatus100can be simplified. In the present embodiment, water is applied from the first surface Wa side opposite to the second surface Wb of the web W which is in contact with the second mesh belt78a, and thus the second surface Wb side can be transported with a weaker adhesive force than the first surface Wa side. Therefore, it is possible to suppress the sticking of the web W, to which the water is applied, to the second mesh belt78a. Further, the water applied from the water-applying section79does not contain starch or dextrin. Therefore, the web W can be transported in a state where the binding force of starch or the like is not sufficiently expressed, and the sticking of the web W to a member such as the second mesh belt78acan be suppressed. The water content of the web W to which water was applied in the water-applying section79is 12% by mass or more and 40% by mass or less. With the specified web water content, hydrogen bonds between fibers can be effectively formed and the strength of the fiber body S can be increased. Further, the specified web water content can suppress the sticking of the web W to the second mesh belt78a. Further, by specifying the water content of the web W to be 40% by mass or less, the amount of water used can be reduced. Furthermore, even in a case of the web W containing a binder (starch or dextrin), the sticking to the second mesh belt78ais suppressed, the binding force between the fibers is increased, and the strength of the fiber body S can be increased. The heating section80is arranged downstream of the transport section78and the water-applying section79. The web W to which the water is applied is transported to the heating section80. The heating section80heats the web W to which the water is applied and which is peeled off from the second mesh belt78a. The heating section80of the present embodiment simultaneously pressurizes and heats the web W to which water is applied. Accordingly, the water contained in the web W evaporates after the temperature rises, and the thickness of the web W becomes thin to increase the fiber density. The temperature of the water and the binder (starch or dextrin) rises due to heat, the fiber density increases due to the pressure, and accordingly, the binder is gelatinized, and then the water evaporates to bind the plurality of fibers to each other through the gelatinized binder. Furthermore, the water evaporates due to heat and the fiber density increases due to pressure, and accordingly, the plurality of fibers are bound to each other by hydrogen bonds. Accordingly, it is possible to form the sheet-like fiber body S having better mechanical strength. Further, since the fiber body S formed by evaporation of water has a weaker adhesive force than the web W before heating, it is possible to suppress the sticking of the fiber body S to the heating section80. The heating section80of the present embodiment has a pressurizing heating section84that pressurizes and heats the web W. The pressurizing heating section84can be configured by using, for example, a heating roller or a heat press molding machine. In the illustrated example, the pressurizing heating section84is a pair of heating rollers86. The number of heating rollers86is not particularly limited. The pressurizing heating section84can simultaneously pressurize and heat the web W. Further, the configuration of the fiber body manufacturing apparatus100can be simplified. As illustrated inFIG.1, the cutting section90cuts the fiber body S molded by the heating section80. In the illustrated example, the cutting section90includes a first cutting section92that cuts the fiber body S in a direction intersecting the transport direction of the fiber body S, and a second cutting section94that cuts the fiber body S in a direction parallel to the transport direction. The second cutting section94cuts, for example, the fiber body S that passed through the first cutting section92. As a result, a single-cut fiber body S having a predetermined size is molded. The cut single-cut fiber body S is discharged to a discharge receiving section96. Next, a fiber body manufacturing method will be described. In the present embodiment, a method for manufacturing the fiber body S in the fiber body manufacturing apparatus100will be described. As illustrated inFIG.3, in the accumulating step (step S11), a material containing fibers and starch or dextrin is accumulated on the first mesh belt72that serves as a first transport belt by a dry method to form the web W. Specifically, a mixture containing defibrated fibers and a binder (starch or dextrin) is accumulated by a dry method to form the web W. The fiber is a defibrated product defibrated by the defibration section20, the binder is supplied from the binder supply section52, and the mixture is formed by the mixing section50. Then, the accumulating section60and the second web forming section70accumulate the mixture by a dry method to form the web W. Next, in the transport step (step S12), the first surface Wa of the web W is peeled off from the first mesh belt72, and the second surface Wb of the web W, which is a surface opposite to the first surface Wa peeled off from the first mesh belt72, is brought into contact with the second mesh belt78athat serves as a second transport belt to transport the web W. Specifically, the suction mechanism78cof the transport section78generates an upward airflow in the second mesh belt78ato suction the web W. Accordingly, the first surface Wa of the web W is peeled off from the first mesh belt72, and the web W is transported in a state where the second surface Wb is in contact with the second mesh belt78a. In the water-applying step (step S13), water is applied toward the first surface Wa of the web W which is in contact with the second mesh belt78a. In other words, in the present embodiment, water is applied to the web W during the period in which the web W is being transported in the transport step. Specifically, water is supplied from the water-applying section79. In this step, as the water, water vapor or mist is applied to the web W. By doing so, it is possible to more uniformly apply water to the web W, and the fiber body S can be manufactured with a simpler apparatus configuration. In addition, the water applied to the web W does not contain starch or dextrin. The amount of water applied in the water-applying step can be managed by, for example, the water content of the web W. The water content of the web W to which water was applied in the water-applying step is preferably 12% by mass or more and 40% by mass or less. When the amount of water applied is approximately this level, it is possible to manufacture the fiber body S having higher strength while suppressing the amount of energy such as electric power required for heating and drying the web W. Further, by applying water toward the first surface Wa of the web W, the second surface Wb side can be transported in a state where the adhesive force is weaker than that of the first surface Wa side. Therefore, it is possible to suppress the sticking of the web W, to which the water is applied, to the second mesh belt78a. Furthermore, by applying water to the web W formed by accumulating a material containing starch or dextrin, for example, as compared with a case where water containing starch or dextrin is applied to the web, the web W can be transported in a state where the binding force of the starch or the like is not sufficiently expressed, and the sticking of the web W to members such as the first mesh belt72and the second mesh belt78acan be suppressed. In particular, when water is applied such that the water content of the web W is 12% by mass or more, this effect becomes even more remarkable. Furthermore, by applying water toward the first surface Wa side of the web W which is in contact with the second mesh belt78a, the second surface Wb side has a weaker adhesive force than the first surface Wa side, and thus it is possible to suppress the sticking of the web W, to which the water is applied, to the second mesh belt78a. Further, in the present embodiment, water is applied to the web W which is in contact with the second mesh belt78a. Meanwhile, for example, when water is applied to the web W in a state of not being in contact with the second mesh belt78aor the like, there is a concern that the web W is torn off as the amount of water contained in the web W increases. However, in the present embodiment, since water is applied to the web W in a state of being supported by the second mesh belt78a, the tearing of the web W due to an increase in the amount of water contained in the web W can be suppressed. Next, in the heating step (step S14), the heating section80(heating roller86) is brought into contact with the web W peeled off from the second mesh belt78ato heat the web W, and the fibers are bound to each other by starch or dextrin to form the fiber body S. In the heating step, the web W is simultaneously heated and pressurized. Accordingly, the manufacturing man-hours can be reduced. Specifically, a pair of heating rollers86of the heating section80applies pressure to the web W to thin the web and increase the fiber density in the web W. The pressure applied to the web W is preferably 0.1 MPa or more and 15 MPa or less, more preferably 0.2 MPa or more and 10 MPa or less, and further preferably 0.4 MPa or more and 8 MPa or less. When the pressure applied to the web W in the heating step is within such a range, the deterioration of the fiber can be suppressed, and the fiber body S having good strength can be manufactured again using the defibrated product obtained by defibrating the manufactured fiber body S as a raw material. Further, in the heating step, heat is applied to the web W to evaporate the water contained in the web W. In the heating step, the web W is heated so as to have a temperature of 60° C. or higher and 100° C. or lower. Accordingly, the binding force of starch or dextrin can be sufficiently expressed. Furthermore, the time required for the heating step can be reduced, and the fiber body S can be manufactured with lower energy. Here, in the fiber body manufacturing method of the present embodiment, the web W peeled off from the second mesh belt78ais directly supplied to the heating section80(heating roller86). “Directly supplied” means that the web W peeled off from the second mesh belt78ais supplied without coming into contact with other members. In other words, in the fiber body manufacturing method of the present embodiment, the web W peeled off from the second mesh belt78ais not brought into contact with a member other than the heating section80(heating roller86) before the heating step. In other words, the web W peeled off from the second mesh belt78ais charged into the heating roller86without coming into contact with a member such as a transport roller or a guide. Therefore, the web W to which water is applied becomes more adhesive, but by charging the web W peeled off from the second mesh belt78ainto the heating section80(heating roller86) without touching anything, it is possible to prevent the web W from sticking to a member such as a transport member before heating. Further, since the web W peeled off from the second mesh belt78ais heated, it is possible to prevent the web W from sticking to the second mesh belt78aduring heating. In addition, in the heating step, since a relatively low pressure is applied to the web W, a small manufacturing apparatus can be used, and since the damage to the fiber is relatively small, the fiber body S is defibrated again to make it easy to manufacture a new fiber body S. Further, in the heating step, since the web W is heated to a relatively low temperature, it is easy to form hydrogen bonds between the fibers and it is easy to secure the strength of the fiber body S. Further, since the binder can be gelatinized, the fibers can be bound to each other by the binder, and the strength of the fiber body S can be obtained. 2. Second Embodiment Next, a second embodiment will be described. The same configurations as those in the first embodiment will be given the same reference numerals, and repeating description will be omitted. In the water-applying step of the above-described first embodiment, the configuration that applies water to the web W which is in contact with the second mesh belt78ais adopted, but the present disclosure is not limited thereto. In the water-applying step in the present embodiment, water is applied to the web W which is in contact with the first mesh belt72. In this case, for example, the water-applying section79is arranged below the (accumulated) web W supported by the first mesh belt72. Then, water is applied from below the web W toward the first surface Wa. Accordingly, water can be applied to the web W which is in contact with the first mesh belt72. Further, as illustrated inFIG.4, in the present embodiment, after forming the web W on the first mesh belt72in the accumulating step (step S21), water is applied to the web W which is in contact with the first mesh belt72in the water-applying step (step S22). After this, in the transport step (step S23), the web W to which the water is applied is peeled off from the first mesh belt72, and the web W peeled off from the first mesh belt72is transported. After this, in the heating step (step S24), the heating section80is brought into contact with the web W peeled off from the second mesh belt78ato heat the web W to form the fiber body S. The web W peeled off from the second mesh belt78ais directly charged into the heating section80. Even in this manner, the web W can be transported in a state where the binding force of starch or the like is not sufficiently expressed, and by charging the web W peeled off from the second mesh belt78ainto the heating section80(heating roller86) without touching anything, it is possible to prevent the web W from sticking to a member such as a transport member before heating. 3. Third Embodiment Next, a third embodiment will be described. The same configurations as those in the first embodiment will be given the same reference numerals, and repeating description will be omitted. In the first and second embodiments, the configuration that applies water from below the web W which is in contact with the first mesh belt72or the second mesh belt78ais adopted, but the present disclosure is not limited thereto. For example, a configuration that applies water from above the web W which is in contact with the first mesh belt72or the second mesh belt78amay be adopted. In this case, for example, the opening of the container79aof the water-applying section79is configured to face the second surface Wb side of the web W. Accordingly, water vapor or mist can be applied to the second surface Wb of the web W. Even in this manner, the web W can be transported in a state where the binding force of starch or the like is not sufficiently expressed, and by charging the web W peeled off from the second mesh belt78ainto the heating section80(heating roller86) without touching anything, it is possible to prevent the web W from sticking to a member such as a transport member before heating. | 34,625 |
11859349 | DETAILED DESCRIPTION The presently disclosed subject matter now will be described more fully hereinafter with reference to the accompanying Drawings, in which some, but not all embodiments of the presently disclosed subject matter are shown. Like numbers refer to like elements throughout. The presently disclosed subject matter may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will satisfy applicable legal requirements. Indeed, many modifications and other embodiments of the presently disclosed subject matter set forth herein will come to mind to one skilled in the art to which the presently disclosed subject matter pertains having the benefit of the teachings presented in the foregoing descriptions and the associated Drawings. Therefore, it is to be understood that the presently disclosed subject matter is not to be limited to the specific embodiments disclosed and that modifications and other embodiments are intended to be included within the scope of the appended claims. In some embodiments, the presently disclosed subject matter provides a system for and method of stabilizing rail track structures using a load transfer apparatus. Certain aspects of the presently disclosed subject matter provide a railroad stabilization system. The system may provide one or more load transfer apparatuses arranged in relation to the rail ties of a railroad track. The one or more load transfer apparatuses are each formed by the insertion of a vertical inclusion (i.e., a vertical load transfer element) in the ground between and/or below rail ties and placing a load transfer mechanism between the vertical inclusion and the railroad tie. The load transfer apparatus typically comprises a vertical load transfer element and a top load transfer element, wherein the top load transfer element may be used to transfer the applied locomotive and rail car loads to the vertical load transfer element. In one embodiment, the top load transfer element includes helical flights, wherein the helical flights are attached to an upper end of the vertical load transfer element when installed. In another embodiment, the top load transfer element includes a flared top, wherein the flared top is attached to the upper end of the vertical load transfer element when installed. In yet another embodiment, the top load transfer element includes a load transfer cap, wherein the load transfer cap is attached to the upper end of the vertical load transfer element when installed. The railroad stabilization system may include any one type or any combinations of types of the aforementioned load transfer apparatuses. An advantageous aspect of the presently disclosed system, method, and load transfer apparatus is that it is particularly useful for (1) stabilizing active railroad beds that have settled and are desired to remain in operation and (2) increasing track modulus (i.e., rail support stiffness) to improve overall track performance. Another aspect of the presently disclosed system, method, and load transfer apparatus is it can be installed without great disruption to active rail lines and can be used to effectively support railroad ties and rails by transferring the applied loads through the compressible soils and into the less compressible underlying soils and thereby reduce permanent settlement and deformation under load. Referring now toFIG.1, a cross-sectional view of an example of the presently disclosed railroad stabilization system100is illustrated that comprises one or more load transfer apparatuses110according to one embodiment. As shown inFIG.1, the existing rail line is constructed over soft subgrade soil150that may consist of natural compressible soil, compressible embankment fill materials, materials that have been softened by rainwater or other sources, and/or other compressible soil or materials. A layer of sub-ballast material152and a layer of ballast stone material154are typically atop the soft subgrade soil150. The sub-ballast material152and the ballast stone material154typically include aggregate of varying quality and grain size. The railroad ties160are placed on top of the ballast stone material154, and railroad track (not shown) is placed upon the railroad ties160. The presently disclosed railroad stabilization system100may be typically installed between and/or underneath the railroad ties160. The railroad stabilization system100includes the one or more load transfer apparatuses110. Each of the load transfer apparatuses110further includes a vertical load transfer element115and a top load transfer element (described further below), wherein the top load transfer element is used to transfer the applied locomotive and rail car loads to the vertical load transfer element115. In the load transfer apparatus110shown inFIG.1, the top load transfer element is helical flights120. Namely, the helical flights120are attached to the upper end of the vertical load transfer element115when installed. The helical flights120are used to transfer the applied locomotive and rail car loads to the vertical load transfer element115. The vertical load transfer element115may consist of a variety of vertically oriented loading elements, such as, but not limited to, a concrete pile, a steel pile, a timber pile, or other such vertically oriented elements. These types of vertical load transfer elements are well known in the field and have historically been used to support buildings and other structures. In the example shown inFIG.1, the vertical load transfer element115may be a polymer shell that can be driven into the ground using an interior mandrel250(seeFIG.2). The use of a polymer shell and the method of construction is typical to that described in U.S. Pat. No. 8,221,033 entitled “Extensible Shells and Related Methods for Constructing a Support Pier”; the entire disclosure of which is incorporated herein by reference. The vertical load transfer element115can be, for example, from about 3 inches (7.6 cm) to about 12 inches (30.5 cm) in diameter. However, so that the vertical load transfer element115may fit in between the edges of adjacent existing railroad ties160when driven from grade, the diameter of the vertical load transfer element115is most often from about 4 inches (10.1 cm) to about 8 inches (20.3 cm). Further, the vertical load transfer element115may be tapered wherein the distal end has a smaller diameter than the proximal end. Additionally, the length of the vertical load transfer element115can be, for example, from about 3 feet (0.9 m) to about 12 feet (3.7 m), or about 8 feet (2.4 m) in certain embodiments. The thickness of the sidewalls of the polymer shell can be, for example, from about 0.1 inches (0.3 cm) to about 0.4 inches (1.0 cm), and may vary along the length of the vertical load transfer elements (e.g., the sidewall may be thicker at the bottom end of the element relative to the top. Note, however, that the length, diameter, and wall thickness of the vertical load transfer elements may be any other appropriate dimension, and that the wall thickness may vary with length. In the vertical load transfer element115, the helical flights120may be integral to the sidewalls of the vertical load transfer element115. The helical flights120can be formed, for example, of metal or polymer and may have a thickness of, for example, from about 0.1 inches (0.3 cm) to about 0.4 inches (1.0 cm). Further, the overall diameter of the helical flights120can be, for example, from about 8 inches (20.3 cm) to about 16 inches (40.6 cm). In some embodiments, the load transfer apparatus110may be twisted into the ground much like a wood screw is turned into a wooden block. The pitch and width of the helical flights120are typically configured so that when rotated, the helical flights120twist between the adjacent railroad ties160much like a machine screw twists into a predrilled surface defined by the diameter of the shaft of the screw. Accordingly, the vertical load transfer element115can be twisted into the ground and halted at depth below the bottom of the railroad ties160. This twisting process may be utilized both with and without a pre-drilled cavity configured to receive the load transfer apparatus110, depending on ground conditions, etc. The depth D1below the bottom of the railroad ties160can range, for example, from about 3 feet (0.9 m) to about 20 feet (6.1 m). The depth may also be reduced or extended further, if appropriate. Once twisted into the ground, the vertical load transfer element115(e.g., the polymer shell) may be filled with aggregate to maintain the engagement of the sidewalls of the shell with the surrounding ground and assist in load transfer. In operation, when vertical loads are applied to the railroad ties160, the loads are transferred downward (through arching action140in the sub-ballast material152and/or the ballast stone material154) to the tops of the helical flights120and then to the vertical load transfer elements115. In this example, the width of the helical flights120spans at least a portion of two adjacent railroad ties160. Further, in the railroad stabilization system100shown inFIG.1, the load transfer apparatuses110may be installed in an existing railroad track or may be installed during railroad bed rehabilitation (e.g., railroad ties160are removed and replaced to allow installation of vertical load transfer elements115) and when building a new railroad track (e.g., prior to the installation of the railroad ties160and track). The railroad stabilization system100may have vertical load elements115installed immediately below the rail of the railroad track, substantially outside or inside of the rail but below the railroad ties160, or in an alternating fashion, where the vertical load elements are installed alternatingly inside and outside the rail. Referring now toFIG.2AandFIG.2B, cross-sectional views of examples of the presently disclosed railroad stabilization system100are illustrated that include one or more load transfer apparatuses210according to another embodiment. Again, the railroad stabilization system100is typically installed between and/or underneath the railroad ties160. The load transfer apparatus210is substantially the same as the load transfer apparatus110shown and described inFIG.1except that the top load transfer element is a flared top220instead of the helical flights120. The flared top220is attached to the upper end of the vertical load transfer element115when installed. The flared top220is used to transfer the applied locomotive and rail car loads to the vertical load transfer element115. Instead of twisting into the ground, the vertical load transfer element115may be a polymer shell that can be driven into the ground using, for example, an interior mandrel250. In one example, the interior mandrel250may extend through the interior of the flared top220and the vertical load transfer element115to drive the shell by engaging the bottom and/or sides of the vertical load transfer element115. In another example, the interior mandrel250is engaged to the top edge of the flared top220and used to drive the top of the flared top220and the vertical load transfer element115into the ground. In another example, the interior mandrel250is used to first drive the vertical load transfer element115into the ground, then the flared top220is installed at the upper end of the vertical load transfer element115. Once driven into the ground, the vertical load transfer element115(e.g., the polymer shell) and the flared top220may be filled with aggregate (or other suitable material) to maintain the engagement of the sidewalls of the shell with the surrounding ground and assist in load transfer. In the load transfer apparatus210, the flared top220can be constructed of flexible materials, such as, but not limited to, steel, aluminum, other metals or composite materials, or plastic, that “squeezes” between the railroad ties160when driven downward and expands radially outward when the load transfer apparatus210is filled with backfill material (e.g., aggregate) that may be compacted therein. For example, FIG.2A shows one of the load transfer apparatuses210during the installation process. In its natural state, the flared top220may be a substantially circular shape. In another embodiment, shown inFIG.2B, the flared top220may be an articulated shape (e.g., a six-sided articulated shape). However, because of the flexibility of the flared top220, when passing between two adjacent railroad ties160, the flared top220may deform to a more ovalized shape and then expand back to its original substantially circular or articulated shape once below the railroad ties160(and filled/compacted with aggregate). The flared top220may also include one or more slots230to aid in deformation. The load transfer apparatus210can be installed to a depth D1below the bottom of the railroad ties160of, for example, from about 3 feet (0.9 m) to about 20 feet (6.1 m). Accordingly, in the railroad stabilization system100shown inFIG.2AandFIG.2B, the load transfer apparatuses210can be installed in an existing railroad track or may be installed when building a new railroad track (e.g., prior to the installation of the railroad ties160and track). In operation, when vertical loads are applied to the railroad ties160, the loads are transferred downward (through arching action140in the sub-ballast material152and/or the ballast stone material154) to the tops of the flared tops220and then to the vertical load transfer elements115. In this example, the width of the flared top220spans at least a portion of two adjacent railroad ties160. Referring now toFIG.3, a cross-sectional view of an example of the presently disclosed railroad stabilization system100is illustrated that comprises one or more load transfer apparatuses310according to yet another embodiment. Again, the railroad stabilization system100is typically installed between and/or underneath the railroad ties160. The load transfer apparatus310includes at least two support legs320, and further includes a top support360attached to a top portion of each support leg320. The support legs320and their corresponding top supports360couple to the upper end of vertical load transfer element115. The support legs320and their corresponding top supports360are used to transfer the applied locomotive and rail car loads to the vertical load transfer element115. Like the load transfer apparatus210shown inFIG.2AandFIG.2B, load transfer apparatus310can be constructed of flexible material such as, but not limited to, steel, aluminum, other metals or composite materials, or plastic, that “squeezes” between the railroad ties160when driven downward. Once driven between the railroad ties160, the load transfer apparatus310can return to its original expanded position, particularly when filled/compacted with aggregate. Referring now toFIG.4, a cross-sectional view of an example of the presently disclosed railroad stabilization system100is illustrated that comprises one or more load transfer apparatuses410according to yet another embodiment. Again, the railroad stabilization system100is typically installed between and/or underneath the railroad ties160. The load transfer apparatus410is substantially the same as the load transfer apparatus110shown and described inFIG.1except that the top load transfer element is a load transfer cap420instead of the helical flights120. Accordingly, the load transfer cap420is attached to the upper end of the vertical load transfer element115when installed. The load transfer cap420is used to transfer the applied locomotive and rail car loads to the vertical load transfer element115. Instead of twisting into the ground, the vertical load transfer element115may be a metal or polymer shell that can be driven or placed into the ground using, for example, the interior mandrel250. In one example, the interior mandrel250may extend through the interior of the vertical load transfer element115to drive the shell by engaging the bottom and/or sides of the vertical load transfer element115. Once driven into the ground, the vertical load transfer element115(e.g., the polymer shell) may be filled with aggregate to maintain the engagement of the sidewalls of the shell with the surrounding ground and assist in load transfer, then the load transfer cap420may be installed at the upper end of the vertical load transfer element115. The load transfer cap420may be constructed, for example, of steel, concrete, aluminum, other metals, plastic, wood, composite materials, or other materials that can transfer shear and bending stresses from the railroad ties160and the zone of arching action140to the top of the vertical load transfer element115. The load transfer cap420is typically larger in diameter than the top of the vertical load transfer element115to “catch” the arched stresses and transfer them to the vertical load transfer element115. Additionally, the load transfer cap420can be formed with an upward “lip” or rim (not shown) around the perimeter to act as a lateral restraint to aggregate placed on top of the load transfer cap420. This restraint can increase the stress concentration and stress arching to the load transfer cap420. In operation, when vertical loads are applied to the railroad ties160the loads are transferred downward (through arching action140in the sub-ballast material152and/or the ballast stone material154) to the tops of the load transfer caps420and then to the vertical load transfer elements115. In this example, the width of the load transfer cap420can span all or a portion of the width of one railroad tie160or can span at least a portion of two adjacent railroad ties160. Further, in the railroad stabilization system100shown inFIG.4, the load transfer apparatuses410can be installed when rehabilitating an existing railroad track (e.g., ties are removed and replaced to allow installation of vertical load transfer elements) and when building a new railroad track (e.g., prior to the installation of the railroad ties160and track). Referring now toFIG.1,FIG.2A,FIG.2B,FIG.3, andFIG.4, in the railroad stabilization system100, the number and frequency of placement of the load transfer apparatuses110,210,310, and410can vary depending on the size of the load transfer apparatus110,210,310,410. With respect to the line of railroad ties160, the load transfer apparatus110,210,310,410can be sized such that one load transfer apparatus110,210,310,410is installed between adjacent railroad ties160; albeit multiple load transfer apparatuses110,210,310,410can be installed in a single gap between any two adjacent railroad ties160(i.e., along the length of the railroad ties160). Additionally, the load transfer apparatus110,210,310,410can be installed directly beneath the respective railroad ties160, or a combination of both between and beneath the railroad ties160. Further, for relatively small diameter load transfer apparatuses110,210,310,410, in order to efficiently transfer the train loads (i.e., the loads applied by the locomotive and rail cars to the railroad ties160) to the vertical load transfer elements115, it may be necessary to install several tightly spaced load transfer apparatuses110,210,310,410. FIG.5illustrates a flow diagram of an example of a method500of using the load transfer apparatuses110,210,310and/or410with existing railroad tracks or rehabilitation of an existing railroad track where ties are removed and replaced to allow installation of vertical load transfer elements to form the railroad stabilization system100. The method500may include, but is not limited to, the following steps. At a step510, a section of railroad track to be stabilized is identified. At a step515, a plurality of the load transfer apparatuses110,210,310, and/or410are provided at the site of the section of railroad track to be stabilized. At a step520, the plurality of load transfer apparatuses110,210,310, and/or410are installed in the gaps between adjacent railroad ties160. In the case of the load transfer apparatus110, for each load transfer apparatus110to be installed, a hole may be drilled in the soil material between and below the railroad ties160to assist in insertion of the load transfer apparatus110or the load transfer apparatus110can otherwise be inserted into the soil (such as with a mandrel250). Then, each of the load transfer apparatuses110is twisted into the ground to a certain depth below the railroad ties160. In the case of the load transfer apparatus210or310, each of the load transfer apparatuses210or310is driven into the ground (e.g., using the interior mandrel250) to a certain depth below the railroad ties160. In the case of load transfer apparatuses410, the railroad ties may be removed and replaced to allow each of the vertical load transfer elements115(without the load transfer caps420) to be driven into the ground (e.g., using the interior mandrel250) to a certain depth below the railroad tie location. At a step525, the plurality of load transfer apparatuses110,210,310, and/or410are filled with aggregate (or other suitable material) and then covered with the sub-ballast material152and/or the ballast stone material154. In the case of the load transfer apparatuses410, the vertical load transfer elements115may be filled with aggregate and then the load transfer caps420installed thereon. Then, the load transfer apparatuses410may be covered with the sub-ballast material152and/or the ballast stone material154. FIG.6illustrates a flow diagram of an example of a method600of using the load transfer apparatuses110,210,310, and/or410with new or rehabilitated railroad tracks to form the railroad stabilization system100. The method600may include, but is not limited to, the following steps. At a step610, a section of railroad track to be stabilized is identified. At a step615, a plurality of the load transfer apparatuses110,210,310, and/or410are provided at the site of the section of railroad track to be stabilized. At a step620, prior to the installation of the railroad ties160and track, the plurality of load transfer apparatuses110,210,310, and/or410are installed at certain locations with respect to the expected locations of the railroad ties160. In the case of the load transfer apparatus110, for each load transfer apparatus110to be installed, a hole may be drilled in the soil material at a certain location with respect to the expected location of a corresponding railroad tie160to assist in insertion, or the load transfer apparatus110can otherwise be inserted into the soil (such as with a mandrel250). Then, each of the load transfer apparatuses110is twisted into the ground to a certain depth below the expected location of a corresponding railroad tie160. In the case of the load transfer apparatus210or310, each of the load transfer apparatuses210or310is driven into the ground (e.g., using the interior mandrel250) to a certain depth below the railroad ties160. In the case of the load transfer apparatus410, each of the vertical load transfer elements115(without the load transfer caps420) is driven into the ground (e.g., using the interior mandrel250) to a certain depth below the railroad ties160. At a step625, the plurality of load transfer apparatuses110,210,310, and/or410are filled with aggregate (or other suitable material) and then covered with the sub-ballast material152and/or the ballast stone material154. In the case of the load transfer apparatuses410, the vertical load transfer elements115may be filled with aggregate and then the load transfer caps420installed thereon. Then, the load transfer apparatuses410may be covered with the sub-ballast material152and/or the ballast stone material154. At a step630, the railroad ties160and railroad track are installed atop the sub-ballast material152and/or the ballast stone material154, which is atop the plurality of load transfer apparatuses110,210,310, and/or410. FIG.7illustrates a flow diagram of an example of a method700of using the load transfer apparatuses110,210,310, and/or410in an existing railroad track bed forming the railroad stabilization system100. The method700may include, but is not limited to, the following steps: At a step710, a section of railroad track to be stabilized is identified. At a step715, a plurality of the load transfer apparatuses110,210,310, and/or410are provided at the site of the section of railroad track to be stabilized. At a step720, the railroad track and associated railroad ties160of the existing railroad track bed are removed. At a step730, the plurality of the load transfer apparatus110,210,310, and/or410are installed at certain locations with respect to the locations where the railroad ties160are to be re-installed. In the case of the load transfer apparatus110, for each load transfer apparatus110to be installed, a hole may be drilled in the soil material to assist in insertion at a certain location with respect to the expected location of a corresponding railroad tie160that will be re-installed, or the load transfer apparatus110can otherwise be inserted into the soil (such as with a mandrel250). Then, each of the load transfer apparatuses110may be twisted into the ground to a certain depth below the expected location of a corresponding railroad tie160. In the case of the load transfer apparatus210or310, each of the load transfer apparatuses210or310may be driven into the ground (e.g., using the interior mandrel250) to a certain depth below the expected location of the railroad ties160to be re-installed. In the case of the load transfer apparatus410, each of the vertical load transfer elements115(without the load transfer caps420) may be driven into the ground (e.g., using the interior mandrel250) to a certain depth below the expected location of the railroad ties160to be re-installed. At a step740, the plurality of load transfer apparatuses110,210,310, and/or410are filled with aggregate (or other suitable material) and then covered with the sub-ballast material152and/or the ballast stone material154. In the case of the load transfer apparatuses410, the vertical load transfer elements115may be filled with aggregate and then the load transfer caps420installed thereon. Then, the load transfer apparatuses410may be covered with the sub-ballast material152and/or the ballast stone material154. At a step750, the railroad ties160and railroad track are re-installed atop the sub-ballast material152and/or the ballast stone material154, which is atop the plurality of load transfer apparatuses110,210, and/or310. Referring now toFIG.1throughFIG.7, the presently disclosed railroad stabilization system100; methods500,600,700; and load transfer apparatuses110,210,310,410are particularly useful for (1) stabilizing active railroad beds that have settled and are desired to remain in operation and (2) increasing track modulus (i.e., rail support stiffness) to improve overall track performance. Further, the presently disclosed railroad stabilization system100; methods500,600,700; and load transfer apparatuses110,210,310,410can be installed without great disruption to active rail lines and can be used to effectively support railroad ties and rails by transferring the applied loads through the compressible soils and into the less compressible underlying soils and thereby reduce permanent settlement and deformation under load. Additionally, the presently disclosed railroad stabilization system100; methods500,600,700; and load transfer apparatuses110,210,310,410provide the advantage of being efficiently constructed from existing grade at minimal disruption to active rail lines to actively transfer rail loads through soft and compressible materials and into firm materials. The railroad stabilization system100; methods500,600,700; and load transfer apparatuses110,210,310,410provide great economic benefit to active railroads because it can be used to quickly stabilizing deficient lines, increase allowable rail speeds, and reduce maintenance costs. Top Load Transfer Element with Vertical Fins and Ribbed Vertical Load Transfer Element In an alternative embodiment, the load transfer apparatus810shown inFIG.8andFIG.9is comprised of a top load transfer element with longitudinal vertical fins820and a cross-sectionally ribbed vertical load transfer element815, wherein the top load transfer element with vertical fins820may be used to transfer the applied locomotive and rail car loads to the ribbed vertical load transfer element815. In this embodiment, the top load transfer element includes a finned flared top820, wherein the flared top820is attached to the upper end of the ribbed vertical load transfer element815when installed. Finned flared top load transfer element820and ribbed vertical load transfer element may be a unitary piece or may be separate pieces joined when installed. Referring now toFIG.8,FIG.9andFIG.10, cross-sectional views of the example of the presently disclosed railroad stabilization system100are illustrated that include one or more load transfer apparatuses810according to another embodiment. Again, the railroad stabilization system100is typically installed between and/or underneath the railroad ties160. The load transfer apparatus810is similar to the load transfer apparatus210shown and described inFIG.2AandFIG.2Bexcept that the load transfer element815may be cylindrical in shape and enhanced with the addition of cross-sectional substantially horizontally oriented ribs818. The load transfer device815alternatively may be square, rectangular, octangular or other shape in cross section. The load transfer apparatus810may alternatively be enhanced with knobs, slots, holes, or other features that increase the interface frictional or shear resistance of the apparatus810with the surrounding soil. In one embodiment, the flared top element820may be enhanced by vertical fins825. The flared top with vertical fins820is attached to the upper end816of the ribbed vertical load transfer element815when installed. The flared top with vertical fins820is used to transfer the applied locomotive and rail car loads to the ribbed vertical load transfer element815. The vertical fins825on flared top with vertical fins820may be rectangular, trapezoidal, triangular, or exhibit another profile shape designed to enhance the stability of the flared top with vertical fins820. The vertical fins825may be an integral part of the flared top with vertical fins820of may be separately attached. Instead of twisting into the ground, the ribbed vertical load transfer element815may be a polymer shell that can be driven into the ground using, for example, an interior mandrel250. In one example, the interior mandrel250may extend through the interior of the flared top with vertical fins820and the ribbed vertical load transfer element815to drive the shell by engaging the bottom819and/or sides817of the ribbed vertical load transfer element815. In another example, the interior mandrel250is engaged to the top edge of the flared top with vertical fins820and used to drive the top of the flared top with vertical fins820and the ribbed vertical load transfer element815into the ground. In another example, the interior mandrel250is used to first drive the ribbed vertical load transfer element815into the ground, then the flared top with vertical fins820is installed at the upper end816of the ribbed vertical load transfer element815. Once driven into the ground, the ribbed vertical load transfer element815and the flared top with vertical fins820may be filled with aggregate (or other suitable material) to maintain the engagement of the sidewalls of the shell with the surrounding ground and assist in load transfer. In the load transfer apparatus810, the flared top with vertical fins820can be constructed of flexible materials, such as, but not limited to, steel, aluminum, other metals or composite materials, or plastic, that “squeezes” between the railroad ties160when driven downward and expands radially outward when the load transfer apparatus810is filled with backfill material (e.g., aggregate) that may be compacted therein. For example,FIG.8shows one of the load transfer apparatuses810during the installation process. In its natural state, the flared top with vertical fins820may be a substantially circular shape. In another embodiment, and similar to the non-finned flared top220shown inFIG.2B, the flared top with vertical fins820may be an articulated shape (e.g., a six-sided articulated shape). However, because of the flexibility of the flared top with vertical fins820, when passing between two adjacent railroad ties160, the flared top with vertical fins820may deform to a more ovalized shape and then expand back to its original substantially circular or articulated shape once below the railroad ties160(and filled/compacted with aggregate). The flared top with vertical fins820may also include one or more slots830to aid in deformation. The load transfer apparatus810can be installed to a depth D1below the bottom of the railroad ties160of, for example, from about 3 feet (0.9 m) to about 20 feet (6.1 m). Accordingly, in the railroad stabilization system100shown inFIG.8, the load transfer apparatuses810can be installed in an existing railroad track or may be installed when building a new railroad track (e.g., prior to the installation of the railroad ties160and track). The load transfer apparatus810, flared top with vertical fins820, and ribbed vertical load transfer element815may be alternatively filled individually or in aggregate with sand, stone, ballast stone, grout, concrete or waste material to enhance the stability of the apparatus and facilitate load transfer. In operation, when vertical loads are applied to the railroad ties160, the loads are transferred downward (through arching action140in the sub-ballast material152and/or the ballast stone material154) to the tops of the flared tops with vertical fins820and then to the ribbed vertical load transfer elements815. In this example, the width of the flared top with vertical fins820spans at least a portion of two adjacent railroad ties160. Following long-standing patent law convention, the terms “a,” “an,” and “the” refer to “one or more” when used in this application, including the claims. Thus, for example, reference to “a subject” includes a plurality of subjects, unless the context clearly is to the contrary (e.g., a plurality of subjects), and so forth. Throughout this specification and the claims, the terms “comprise,” “comprises,” and “comprising” are used in a non-exclusive sense, except where the context requires otherwise. Likewise, the term “include” and its grammatical variants are intended to be non-limiting, such that recitation of items in a list is not to the exclusion of other like items that can be substituted or added to the listed items. For the purposes of this specification and appended claims, unless otherwise indicated, all numbers expressing amounts, sizes, dimensions, proportions, shapes, formulations, parameters, percentages, parameters, quantities, characteristics, and other numerical values used in the specification and claims, are to be understood as being modified in all instances by the term “about” even though the term “about” may not expressly appear with the value, amount or range. Accordingly, unless indicated to the contrary, the numerical parameters set forth in the following specification and attached claims are not and need not be exact, but may be approximate and/or larger or smaller as desired, reflecting tolerances, conversion factors, rounding off, measurement error and the like, and other factors known to those of skill in the art depending on the desired properties sought to be obtained by the presently disclosed subject matter. For example, the term “about,” when referring to a value can be meant to encompass variations of, in some embodiments, ±100% in some embodiments ±50%, in some embodiments ±20%, in some embodiments ±10%, in some embodiments ±5%, in some embodiments ±1%, in some embodiments ±0.5%, and in some embodiments ±0.1% from the specified amount, as such variations are appropriate to perform the disclosed methods or employ the disclosed compositions. Further, the term “about” when used in connection with one or more numbers or numerical ranges, should be understood to refer to all such numbers, including all numbers in a range and modifies that range by extending the boundaries above and below the numerical values set forth. The recitation of numerical ranges by endpoints includes all numbers, e.g., whole integers, including fractions thereof, subsumed within that range (for example, the recitation of 1 to 5 includes 1, 2, 3, 4, and 5, as well as fractions thereof, e.g., 1.5, 2.25, 3.75, 4.1, and the like) and any range within that range. Although the foregoing subject matter has been described in some detail by way of illustration and example for purposes of clarity of understanding, it will be understood by those skilled in the art that certain changes and modifications can be practiced within the scope of the appended claims. | 37,300 |
11859350 | DETAILED DESCRIPTION Various implementations and details are described with reference to an example method of controlling remote equipment that includes selecting a first switch heater, obtaining a plurality of weather data sets, identifying the weather data from the station closest to the first switch heater, and transmitting a command signal to the first switch heater based on the closest weather data. In some implementations, the step of obtaining weather data includes sending a request to a third-party weather data application in a series, according to a predetermined time interval. The system includes a heater control application in communication with a plurality of remote switch heaters and comprising a weather modeling function and a melt duration function. The weather modeling function generates a local forecast associated with each switch heater. The melt duration function determines how long to operate each switch heater, according to its local forecast. Although the various example and implementations are described with reference to a system for automating the operation of winter weather abatement equipment, the systems and methods described herein may be applied to and used with any of a variety of systems, especially those for monitoring and remotely controlling a large number of machines or equipment distributed over a wide network with precision and reliability. The following detailed description includes systems, methods, techniques, instruction sequences, and computing machine program products illustrative of examples set forth in the disclosure. Numerous details and examples are included for the purpose of providing a thorough understanding of the disclosed subject matter and its relevant teachings. Those skilled in the relevant art, however, may understand how to apply the relevant teachings without such details. Aspects of the disclosed subject matter are not limited to the specific devices, systems, and method described because the relevant teachings can be applied or practice in a variety of ways. The terminology and nomenclature used herein is for the purpose of describing particular aspects only and is not intended to be limiting. In general, well-known instruction instances, protocols, structures, and techniques are not necessarily shown in detail. As used throughout, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to a component can include two or more such components unless the context indicates otherwise. Ranges can be expressed herein as from “about” one particular value and/or to “about” another value. When such a range is expressed, it will be understood that the approximate range from the first value itself, to and including the end value itself, is part of another aspect or example. Similarly, when a value is expressed as an approximation, by use of the antecedent “about,” it will be understood that the particular value itself is part of another aspect or example. It will be further understood that the endpoints of each of the ranges are significant, both in relation to the other endpoint and independently of the other endpoint. As used herein, the terms “greater than” or “less than” a value should be understood to include another aspect or example in which the comparison includes “or equal to” the value. In other words, “greater than” means and includes “greater than or equal to” and “less than” means and includes “less than or equal to.” As used herein, the terms “optional” or “optionally” mean that the subsequently described event or circumstance may or may not occur, and that the description includes instances where said event or circumstance occurs and instances where it does not. As used herein, the term “facilitate” means to aid, assist, or make easier. The term “impede” means to interfere with, hinder, or delay the progress. FIG.1is a block diagram of a system100, according to some examples, configured to remotely control the equipment located in an area near a railway, known as a wayside10. According to the example shown, the system100includes a weather server380, a data center90, a dispatcher60, and a wayside10. A rail network, of course, may include one or more data centers90and dispatchers60working together to monitor, control, and otherwise manage a vast number of waysides10in a network. The waysides10may be located in remote areas far from a data center90. The system100, in some implementations, serves most or all waysides10in a network, including those located in remote areas at or near the edge of the network area. The weather server380may be operated by a third party and may be connected via a public network85, such as the internet, to the data center90. The weather data application300may acquire and store weather data from a plurality of weather stations. The data center90may be protected by one or more firewalls and/or other network segmentation arrangements to improve security and support performance. The data center90may include one or more systems operated by a rail system for processing, monitoring, dispatching, and otherwise controlling rail traffic in a network. One or more remotely located dispatchers60may be connected to the data center90via a private network70, such as a privately controlled wide-area network (WAN) protected by one or more firewalls and/or other network segmentation arrangements to improve security and support performance. The equipment at one or more waysides10may also be connected to the data center90via a private network70, as shown. As shown inFIG.1, a wayside10may include a rail switch20, a switch heater30(sometimes called a melter), and a control point40. The control point40, in some implementations, includes a variety of switch control systems, communications equipment, and sensors, including for example a train sensor. The train sensor at the control point40may be configured to sense the presence or absence of a passing train. In some implementations, the train sensor at the control point40gathers data including the time when a train starts to pass the control point40, the velocity of the train, and the length of the train. The rail switch20, heater30, and control point40(including the train sensor), in some implementations, are in communication directly with the Universal Train Control System (UTCS)700in the data center90. The switch20may be configured to communicate its current state to the data center90, and to receive command signals from the data center90. Similarly, the heater30may be configured to communicate its current state (on or off, for example) to the data center90, and to receive command signals from the data center90. The wayside10may include other equipment, such as one or more cameras to allow visual observations of the weather, the equipment, nearby personnel, or other conditions in and around the wayside10. For example, photographs or video obtained by a field camera may be useful to a dispatcher, system administrator, or other personnel to verify or otherwise confirm conditions in the field. Switch heaters30are typically powered by electricity or gas. Some types of electric heaters30generate radiant heat on or near the switch20, to melt snow and ice or other accumulated precipitation, such as the CALROD brand industrial heaters offered by WATTCO which are available in a variety of shapes and sizes. Both electric and gas-powered heaters30may generate heated air that is blown through a duct or nozzle directly onto the switch20. The data center90, as shown, includes an infrastructure to facilitate the computer-assisted dispatching of trains, including a Geographic Information System (GIS)400, a Transportation Event Processor (TEP)500, a Universal Train Control System (UTCS)700, and a Universal Train Message Router (UTMR)650. As described herein, the data center90also includes a heater control application600and access to one or more supporting databases, including a melter database200and an audit log220. The Geographic Information System (GIS)400, in some example implementations, is configured to capture, store, analyze, and manage geographic data about locations, including presenting and displaying such data on maps. The GIS400may include location information (latitude, longitude, and elevation, for example) about every switch20, heater30, control point40, or other type of operating station throughout the rail network. In some networks, the GIS400includes, processes, and manages information about tens of thousands of operating stations. The GIS400may also include software applications, APIs, and other tools that allow users to create and send queries, read the data, update or delete the data, execute searches, and otherwise interact with the geographic data. According to some example implementations, the GIS400is involved in sending the call for weather data (at a predetermined time interval) to the weather data application300, and in receiving the weather data set in return. The Transportation Event Processor (TEP)500, in some example implementations, is configured to operate as a centralized repository and processor for all the elements in the data center90via an enterprise service bus (ESB). The TEP500manages and processes real-time data, in coordination with map data from the GIS400, using the Universal Train Message Router (UTMR)650to compose and send messages in a queue to the Universal Train Control System (UTCS)700which, in turn, sends control and command signals to thousands of operating stations, including waysides10, in the rail network. The TEP500facilitates a wide variety of logistics and operational systems, from basic dispatching and switch control to positive train control. The data center90, in some example implementations, also includes a heater control application600, as described herein, along with a melter database200and an audit log220. The melter database200includes an inventory of melters or heaters30. The audit log220includes a historical record associated with each heater30and organized by a timestamp. The heater control application600is configured to send a series of requests for weather data, according to a predetermined time interval (e.g., every fifteen minutes). Accordingly, the audit log220in some implementations, includes a timestamp, the weather data set associated with said timestamp, and one or more values associated with each heater30as described herein. The heater control application600, in some implementations, is applied to all the heaters30throughout a network which are controlled by or otherwise connected to the data center90or any of the systems and processes associated with the data center90. In other implementations, the heater control application600may be applied to a subset of the heaters30, including one or more the rules and modules described herein. For example, a system administrator, a dispatcher60, or a person making a visual inspection of one or more waysides, for example, may become aware of a special circumstance (such as a severe or unusual weather event, a maintenance event, a new installation, and the like) and, in response, may select a subset of the heaters30to be likely impacted, and adjust the rules, modules, values, and other thresholds associated with this particular subset, for a particular time. In some circumstances, a switch20may become stuck, jammed, or otherwise in operable, in any type of weather, including summer. Starting the heater30for a brief period, in some cases, may help expand one or more components of the switch20and thereby facilitate its return to normal operation. In this aspect, the heater control application600, in some implementations, is configured to allow an authorized user to override, suspend, or otherwise adjust the rules, modules, values, thresholds, and other variables described herein. In such cases, any adjustment becomes active and effective for the very next weather cycle (e.g., when the next call for weather data is made, within fifteen minutes). In a related aspect, the heater control application600, in some implementations, is configured to require the administrator or other authorized user to set a time limit on the adjustment (e.g., two hours, two days, twenty calls for weather data). When such time limit expires, the heater control application600will automatically resume its ordinary operation using the prevailing settings. This feature prevents the unintended use of temporary settings beyond the time limit. The time limit itself may have a maximum and/or minimum duration, according to settings in the heater control application600. FIG.2is a diagrammatic representation of an example hardware configuration for a computing device such as a server. The machine900, as shown, includes one or more processors902, memory elements904, and input-output components942, all connected by a bus944. The instructions908(e.g., software, a program, an application, an applet, an app, or other executable code) cause the machine900to perform any one or more of the methodologies described herein. For example, the instructions908may cause the machine900to execute any one or more of the methods and applications described herein. The instructions908transform the general, non-programmed machine900into a particular machine900that is programmed to carry out the described and illustrated functions in the manner described. The machine900may operate as a standalone device or may be coupled (i.e., networked) to other machines. In a networked deployment, the machine900may operate in the capacity of a server machine or a client machine in a server-client network environment, or as a peer machine in a peer-to-peer (or distributed) network environment. The machine900may comprise, but not be limited to, a server computer, a client computer, a personal computer (PC), a tablet computer, a laptop computer, a netbook, a set-top box (STB), a PDA, an entertainment media system, a cellular telephone, a smart phone, a mobile device, a wearable device (e.g., a smart watch), a smart home device (e.g., a smart appliance), other smart devices, a web appliance, a network router, a network switch, a network bridge, or any machine capable of executing the instructions908, sequentially or otherwise, that specify actions to be taken by the machine900. Further, while only a single machine900is illustrated, the term “machine” shall also be taken to include a collection of machines that individually or jointly execute the instructions908to perform any one or more of the methodologies discussed herein. The machine900may include processors902, memory904, and input/output (I/O) components942, which may be configured to communicate with each other via a bus944. In an example, the processors902(e.g., a Central Processing Unit (CPU), a Reduced Instruction Set Computing (RISC) processor, a Complex Instruction Set Computing (CISC) processor, a Graphics Processing Unit (GPU), a Digital Signal Processor (DSP), an ASIC, a Radio-Frequency Integrated Circuit (RFIC), another processor, or any suitable combination thereof) may include, for example, a processor906and a processor910that execute the instructions908. The term “processor” is intended to include multi-core processors that may comprise two or more independent processors (sometimes referred to as “cores”) that may execute instructions contemporaneously. AlthoughFIG.5shows multiple processors902, the machine900may include a single processor with a single core, a single processor with multiple cores (e.g., a multi-core processor), multiple processors with a single core, multiple processors with multiples cores, or any combination thereof. The memory904includes a main memory912, a static memory914, and a storage unit916, both accessible to the processors902via the bus944. The main memory904, the static memory914, and storage unit916store the instructions908embodying any one or more of the methodologies or functions described herein. The instructions908may also reside, completely or partially, within the main memory912, within the static memory914, within machine-readable medium918(e.g., a non-transitory machine-readable storage medium) within the storage unit916, within at least one of the processors902(e.g., within the processor's cache memory), or any suitable combination thereof, during execution thereof by the machine900. Furthermore, the machine-readable medium918is non-transitory (in other words, not having any transitory signals) in that it does not embody a propagating signal. However, labeling the machine-readable medium918“non-transitory” should not be construed to mean that the medium is incapable of movement; the medium should be considered as being transportable from one physical location to another. Additionally, since the machine-readable medium918is tangible, the medium may be a machine-readable device. The I/O components942may include a wide variety of components to receive input, provide output, produce output, transmit information, exchange information, capture measurements, and so on. The specific I/O components942that are included in a particular machine will depend on the type of machine. For example, portable machines such as mobile phones may include a touch input device or other such input mechanisms, while a headless server machine will likely not include such a touch input device. It will be appreciated that the I/O components942may include many other components that are not shown inFIG.5. In various examples, the I/O components942may include output components928and input components930. The output components928may include visual components (e.g., a display such as a plasma display panel (PDP), a light emitting diode (LED) display, a liquid crystal display (LCD), a projector, or a cathode ray tube (CRT)), acoustic components (e.g., speakers), haptic components (e.g., a vibratory motor, a resistance feedback mechanism), other signal generators, and so forth. The input components930may include alphanumeric input components (e.g., a keyboard, a touch screen configured to receive alphanumeric input, a photo-optical keyboard, or other alphanumeric input components), pointing-based input components (e.g., a mouse, a touchpad, a trackball, a joystick, a motion sensor, or another pointing instrument), tactile input components (e.g., a physical button, a touch screen that provides location, force of touches or touch gestures, or other tactile input components), audio input components (e.g., a microphone), and the like. In further examples, the I/O components942may include biometric components932, motion components934, environmental components936, or position components938, among a wide array of other components. For example, the biometric components932include components to detect expressions (e.g., hand expressions, facial expressions, vocal expressions, body gestures, or eye tracking), measure biosignals (e.g., blood pressure, heart rate, body temperature, perspiration, or brain waves), identify a person (e.g., voice identification, retinal identification, facial identification, fingerprint identification, or electroencephalogram-based identification), and the like. The motion components934include acceleration sensor components (e.g., accelerometer), gravitation sensor components, rotation sensor components (e.g., gyroscope), and so forth. The environmental components936include, for example, illumination sensor components (e.g., photometer), temperature sensor components (e.g., one or more thermometers that detect ambient temperature), humidity sensor components, pressure sensor components (e.g., barometer), acoustic sensor components (e.g., one or more microphones that detect background noise), proximity sensor components (e.g., infrared sensors that detect nearby objects), gas sensors (e.g., gas detection sensors to detection concentrations of hazardous gases for safety or to measure pollutants in the atmosphere), or other components that may provide indications, measurements, or signals corresponding to a surrounding physical environment. The position components938include location sensor components (e.g., a GPS receiver component), altitude sensor components (e.g., altimeters or barometers that detect air pressure from which altitude may be derived), orientation sensor components (e.g., magnetometers), and the like. Communication may be implemented using a wide variety of technologies. The I/O components942further include communication components940operable to couple the machine900to a network920or to other devices922. For example, the communication components940may include a network interface component924or another suitable device to interface with a network920(e.g., a wide-area network (WAN) or a public network such as the internet). Another type of interface926may be used to interface with other devices922, which may be another machine or any of a wide variety of peripheral devices (e.g., a peripheral device coupled via a USB). In further examples, the communication components940may include wired communication components, wireless communication components, cellular communication components, Near-field Communication (NFC) components, Bluetooth® components (e.g., Bluetooth® Low Energy), WiFi® components, and other components to provide communication via other modalities. Moreover, the communication components940may detect identifiers or include components operable to detect identifiers. For example, the communication components940may include Radio Frequency Identification (RFID) tag reader components, NFC smart tag detection components, optical reader components (e.g., an optical sensor to detect one-dimensional bar codes such as Universal Product Code (UPC) bar code, multi-dimensional bar codes such as Quick Response (QR) code, Aztec code, Data Matrix, Dataglyph, MaxiCode, PDF417, Ultra Code, UCC RSS-2D bar code, and other optical codes), or acoustic detection components (e.g., microphones to identify tagged audio signals). In addition, a variety of information may be derived via the communication components940, such as location via Internet Protocol (IP) geolocation, location via Wi-Fi® signal triangulation, location via detecting an NFC beacon signal that may indicate a particular location, and so forth. The various memories (e.g., memory904, main memory912, static memory914, memory of the processors902), storage unit916may store one or more sets of instructions and data structures (e.g., software) embodying or used by any one or more of the methodologies or functions described herein. These instructions (e.g., the instructions908), when executed by processors902, cause various operations to implement the disclosed examples. The instructions908may be transmitted or received over the network920, using a transmission medium, via a network interface device (e.g., a network interface component included in the communication components940) and using any one of a number of well-known transfer protocols (e.g., hypertext transfer protocol (HTTP)). Similarly, the instructions908may be transmitted or received using a transmission medium via the coupling926(e.g., a peer-to-peer coupling) to the devices922. FIG.3is block diagram1000illustrating an example software architecture1004. The software architecture1004is supported by hardware such as a machine1002that includes processors1020, memory1026, and I/O components1038. In this example, the software architecture1004can be conceptualized as a stack of layers, where each layer provides a particular functionality. The software architecture1004includes layers such as applications1006, frameworks1008, libraries1010, and an operating system1012. Operationally, the applications1006invoke API calls1050through the software stack and receive messages1052in response to the API calls1050. An application programming interface (API) in some instances is a software-based intermediary that allows devices or applications to communicate with others. Different APIs can be designed and built for specific purposes. An API Call1050is a query or request for information. For example, a mobile device may execute and send an API Call1050to a particular application on the mobile device, which processes the query and returns a result (referred to as an API Message1052). In another example, a server may send an API Call1050requesting the configuration attributes associated with a particular application to a remote mobile device, which processes the query and returns a result including the attributes to the server. The term API is also used sometimes to describe discrete functions or features associated with an application. The operating system1012manages hardware resources and provides common services. The operating system1012includes, for example, a kernel1014, services1016, and drivers1022. The kernel1014acts as an abstraction layer between the hardware and the other software layers. For example, the kernel1014provides memory management, processor management (e.g., scheduling), component management, networking, and security settings, among other functionality. The services1016can provide other common services for the other software layers. The drivers1022are responsible for controlling or interfacing with the underlying hardware. For instance, the drivers1022can include display drivers, camera drivers, Bluetooth® or Bluetooth® Low Energy (BLE) drivers, flash memory drivers, serial communication drivers (e.g., Universal Serial Bus (USB) drivers), Wi-Fi® drivers, audio drivers, power management drivers, and so forth. The libraries1010provide a low-level common infrastructure used by the applications1006. The libraries1010can include system libraries1018(e.g., C standard library) that provide functions such as memory allocation functions, string manipulation functions, mathematic functions, and the like. In addition, the libraries1010can include API libraries1024such as media libraries (e.g., libraries to support presentation and manipulation of various media formats such as Moving Picture Experts Group-4 (MPEG4), Advanced Video Coding (H.264 or AVC), Moving Picture Experts Group Layer-3 (MP3), Advanced Audio Coding (AAC), Adaptive Multi-Rate (AMR) audio codec, Joint Photographic Experts Group (JPEG or JPG), or Portable Network Graphics (PNG)), graphics libraries (e.g., an OpenGL framework used to render in two dimensions (2D) and three dimensions (3D) in a graphic content on a display), database libraries (e.g., SQL or SQLite to provide various relational database functions), web libraries (e.g., a webKit® engine to provide web browsing functionality), and the like. The libraries1010can also include a wide variety of other libraries1028to provide many other APIs to the applications1006. The frameworks1008provide a high-level common infrastructure that is used by the applications1006. For example, the frameworks1008provide various graphical user interface (GUI) functions, high-level resource management, and high-level location services. The frameworks1008can provide a broad spectrum of other APIs that can be used by the applications1006, some of which may be specific to a particular operating system or platform. In an example, the applications1006include a geographic information system1030, an event processor1035, a control system1040, and other applications1045. Various programming languages can be employed to create one or more of the applications1006, structured in a variety of manners, such as object-oriented programming languages (e.g., Objective-C, Java, or C++) or procedural programming languages (e.g., C or assembly language). Referring again toFIG.1, the applications stored in or otherwise in communication with the data center90include a Geographic Information System (GIS)400, a Transportation Event Processor (TEP)500, a Universal Train Control System (UTCS)700, a Universal Train Message Router (UTMR)650, and a heater control application600, as described herein. The databases stored in or otherwise in communication with the data center90include a melter database200and an audit log220. The heater control application600, in some implementations, includes a graphical user interface (GUI) on a display component928, as described herein, for use by a system administrator, dispatcher60, or other authorized personnel. The melter database200, in some implementations, includes a heater identifier, a wayside10identifier, a switch identifier (for the switch(es) served by each particular heater30), a switch type, a train sensor identifier (for the sensor(s) associated with a particular heater30), a heater type (e.g., electric or gas), and a heater location (e.g., latitude, longitude, and elevation). The melter database200may be integrated with a database management system to create, retrieve, update, query, and otherwise manage the heater data. The audit log220, in some example implementations, is a database for maintaining a current and historical record associated with each heater30.FIG.5is an illustration of an example audit log220for a heater30. The heater data associated with all the record entries in this example audit log220include the heater location, the nearest weather station location, the heater type, and the switch type (expressed as a value or integer). The audit log220is organized by a system timestamp and, in this example, includes heater data as well as weather data. The heater control application600is configured to send a series of requests according to a predetermined time interval which, in this example, is every fifteen minutes. In this aspect, a request for another weather data set is made every fifteen minutes, unless and until the predetermined time interval is changed. The predetermined time interval is a variable that can be adjusted at any time. The predetermined time interval may or may not be the same as the periodic interval at which a weather data application gathers a new set of weather data. The heater data includes, in the column labeled Status, the command signal that was sent to the heater (e.g., On or no signal). The heater data in this example also includes the number of passing trains counted by the train sensor at the control point40associated with the heater30since the last record. For example, one train was counted between about 2:25 AM and 2:40 AM. In additional the command signal sent, the audit log220in some implementations includes one or more elements of the local forecast generated by the heater control application600as described herein. The weather data, in this example, includes a snowfall rate (SF), a snow depth code (SDC), a wind speed, and a temperature (TMPF). The weather data, as shown, includes observed conditions (wind speed and temperature) as well as derived values (SF and SDC). Although the systems and methods are described herein with reference to snow, snowfall, and snow depth, such terms are intended to include any type of precipitation (i.e., any product of the condensation of atmospheric water vapor), including but not limited to rain, freezing rain, sleet (ice pellets), hail, snow pellets (sometimes referred to as soft hail or graupel), snow (in any form), ice crystals, as well as vapor suspensions such as fog and mist, and any combinations thereof (sometimes referred to as mixed precipitation or a wintry mix). The term “snowfall” includes the active falling of any of these types of precipitation, by condensation or other process. Similarly, the term “snow depth” includes the accumulation of any of these types of precipitation. In some implementations, the observed snowfall rate as reported in a weather data set may be expressed as light (L), medium or moderate (M), and heavy (H). Snow falling at a rate of 0.04 inches or more (but less than 0.09 inches) every fifteen minutes may be classified as a light (L) snowfall rate. Snow falling at between 0.09 inches and 0.16 inches every fifteen minutes may be classified as a moderate (M) snowfall rate. Snow falling at 0.16 inches, or more, every fifteen minutes may be classified as a heavy (H) snowfall rate. These intensity thresholds are variables that may be adjusted at any time, for any particular heater30or subset of heaters, or for any other discrete subset. In some implementations, the observed snow depth as reported in a weather data set may be expressed in terms of a snow depth code (SDC) which is an integer representing a range of depths. SDC equals zero if no accumulated snow is observed. An SDC of1is used for an observed snow depth up to one inch. SDC equals 2 for an observed snow depth between one and three inches. SDC equals 3 for depths between three and six inches. SDC equals 4 for depths between six and twelve inch variables that may be adjusted at any time, for any particular heater30or subset of heaters, or for any other discrete subset. A weather data set, in some implementations, includes conditions observed at a weather station location together with data from other sources, such as radar scans, satellite images, and forecast models. For example, a weather data set may include temperature, wind speed, wind chill, precipitation type, precipitation intensity, snow depth, and other data points associated with the prevailing weather conditions. Some data are derived, at least in part. For example, precipitation type (none, rain, sleet, freezing rain, snow, etc., and mixtures thereof) may be observed and/or derived from a radar scan. Precipitation intensity may also be derived from a radar scan. Accumulated snow depth may be estimated, based on the current snowfall rate, solar conditions, winds, and temperature. The wind chill, or wind chill equivalent temperature, may be based on temperature and wind speed; some models include pressure or relative humidity. Weather data applications300may be configured to gather weather data at periodic intervals (e.g., every fifteen minutes, every hour) in order to create and maintain a current record of the prevailing conditions. FIG.4is a block diagram showing the modes, modules, and functions of an example heater control application600, in accordance with some implementations. The example heater control application600, in this example, includes a snowfall mode601and a maintenance mode602, both of which may access and otherwise use a melt duration function820. In one aspect, the modes601,602are part of a weather modeling function that is used to generate a local forecast for each heater30in the network. The heater control application600, in some implementations, operates as a system100for controlling a network of remote equipment, such as switch heaters. In this aspect, the heater control application600in its operation as a system100includes the weather data application30, the server or data center90or other computing devices acting as a server with a processor and a memory, and the network of dispatchers60and waysides10. The heater control application600is stored in memory and executed by the processor to perform the methods and processes described herein. The snowfall mode601, maintenance mode602, and melt duration function820operate as system elements within the heater control application600and are configured to cooperate and otherwise communicate with other elements of the system100, including the weather data application30, the data center90, and the network of dispatchers60and waysides10as described herein. The method of using the heater control application600to control a network of remote equipment, such as switch heaters, in some implementations, may be understood by considering how the process operates for a single heater. A first heater, for example, is associated with a first heater location. The method includes sending a request to a weather data application to obtain a plurality of weather data sets, each from a different weather station. Each weather data set may include a weather station location and one or more observed conditions, such as wind speed and temperature. Heater locations may be stored in the melter database200as described herein. For example, a heater location may include its latitude, longitude, and/or elevation. Weather station locations may also include latitude, longitude, and/or elevation. The method in some examples includes identifying the weather station located closest to the heater location, using latitude and longitude. The method may include establishing a first threshold distance associated with the heater, such as a radius or polygon mathematically situated near the heater location. A weather station within the first threshold distance would be identified as a first weather station to be associated with the first heater. The threshold distance, in some implementations, uses the latitude and longitude of the heater locations and the weather station locations, to an accuracy of two decimal places. In some cases, in which two or more weather stations are located within the first threshold distance, the method may select the closest station to be the first weather station. The data associated with the selected first weather station is called the first weather data set. The method further includes generating and transmitting a first command signal to the first heater. The first command signal is based on the first weather data set. After the weather modeling function generates a first local forecast (derived from the first weather data set), the first command signal is then based on the first local forecast. The step of sending a request to a weather data application, in some implementations, includes constructing an API call that is tailored to the weather data application such that the request seeks and obtains the weather data in a useful format for the heater control application600. The step of sending a request, in some implementations, is repeated according to a predetermined time interval. In this aspect, the method generates a current picture of the prevailing weather conditions and a historical record of the conditions. Each weather data set is stored in an audit log220, as described herein, and arranged according to a timestamp. Although the method is described in terms of a first heater, a first plurality of weather data sets, and so forth, the heater control application600is configured to send a subsequent request for a subsequent second heater, and so on, until weather data is gathered and applied to all the heaters in the network. The heater control application600, as shown inFIG.1, in some implementations, is stored on a device, such as data center90, which is in communication with a plurality of switch heaters in a vast network. The heater control application600includes a weather modeling function that includes a snowfall mode601and a maintenance mode602. The weather modeling function generates a local forecast associated with each heater30. The melt duration function820determines how long to operate each heater30, according to its local forecast, and returns a value in minutes. In this aspect, the first command signal may be generated based on a first local forecast associated with the first heater, instead of being generated based solely on the first weather data set. The heater control application600, in some implementations, first evaluates whether to operate in snowfall mode601or in maintenance mode602. Snowfall mode601applies for active snowfall conditions and, in most cases, generates a start signal commanding the heater30to be on. When snow is not actively falling, maintenance mode602applies and operates based on snow depth, temperature, wind speed, and in some implementations, the blowing of snow caused by passing trains. Each weather data set includes, in some implementations, at least a snowfall rate, a snow depth, a wind speed, and a temperature. The weather data application, in some implementations, generates one or more derived values based on the observed conditions. For example, a snowfall rate (SF) (e.g., light, moderate, or heavy) may be derived from the observed snowfall rate (measured in inches per hour, for example), as described above. Similarly, a snow depth code (SDC) as described above may be derived from the observed snow depth. In another aspect, the heater control application600, in some implementations, generates these or other derived values based on the observed conditions in each weather data set. Although the one or more flowcharts may depict the operations as a sequential process, many of the operations can be performed in parallel or concurrently. In addition, the order of the operations may be rearranged. A process is terminated when its operations are completed. The term process may correspond to method, procedure, and the like. The steps of a process or method may be performed in whole or in part, may be performed in conjunction with some or all of the steps in the same or other methods, and may be performed by or in conjunction with any of a variety of different systems, such as the systems described herein. FIG.6shows is a series of steps in applying active snowfall rules800, in some implementations, to decide whether the heater control application600should operate in snowfall mode601. The weather modeling function of the heater control application600may first determine, at operation801, whether the snowfall rate is greater than a threshold snowfall intensity. In some implementations, the threshold snowfall intensity may be set at 0.09 inches per fifteen-minute time period, which represents moderate (M) snowfall according to some models. As described above, the observed snowfall rate may be classified as light (L) for snow falling at a rate of 0.04 inches or more (but less than 0.09 inches) every fifteen minutes. Snow falling at between 0.09 inches and 0.16 inches every fifteen minutes may be classified as moderate (M). Snow falling at 0.16 inches, or more, every fifteen minutes may be classified as heavy (H). These intensity thresholds are variables that may be adjusted at any time, for any particular heater30or subset of heaters, or for any other sub set. As described herein, the term “snowfall” refers to and includes any type of precipitation. Accordingly, the snowfall rate and snowfall rules will apply when conditions, for example, are producing freezing rain, sleet, or a wintry mix. If the snowfall rate is greater than the threshold snowfall intensity, then the heater control application600(operating in snowfall mode), at operation802, calculates a melt duration (as calculated in operation802according to the melt duration function described herein) and configures a heater timer. The heater control application600generates a first command signal that includes a start signal for the first heater. In circumstances when a start signal is sent, the train counter value is reset to zero and the light-snow counter is reset to zero, at operation803. The start signal, at operation804, is sent to the heater. Under the active snowfall rules800, when snow is falling at rate above a threshold limit, the heater control application600starts the heater and it runs for a melt duration time—as long as no subsequent forecast generates a new start signal, in which case the counter values reset to zero and the heater timer starts again. If and when the heater timer reaches the melt duration limit, the heater control application600at operation805generates a command signal that includes a stop signal for stopping the heater. The heater timer starts again at zero (i.e., is reset to zero) every time the heater control application600generates and sends a start signal to the first heater. In this aspect, the heater timer is reset to zero and the melt duration is reset, thus extending the melting period. For example, if the heater control application600sends a start signal to the heater at 10:00 AM, the heater timer starts at zero and the melt duration is forty-five minutes (in this example); the heater timer will expire at 10:45 AM. At the next weather report, if the heater control application600again sends a start signal to the heater at 10:15 AM, then the heater time is reset to zero, and the melt duration of forty-five minutes starts again and will now expire at 11:00 AM. If the heater control application600does not send another start signal to the heater before 11:00 AM, the melt duration will continue to elapse and will expire and the heater control application600will send a stop signal to the heater at 11:00 AM. FIG.7is a series of steps in applying a light snow counter810, in accordance with some example implementations. The light snow counter810of the heater control application600, in some implementations, operates when the active snowfall rules800are not active. In other words, when the observed snowfall rate is less than the threshold snowfall intensity, the heater control application600at operation811selects snowfall mode601and initiates a light snow counter811. The light snow counter810, in some implementations, relies upon a light-snow counter value obtained every time a new weather data set is obtained. The light-snow counter resets to zero every time the heater control application600sends a start signal to a heater. If no start signal is indicated, then the light-snow counter value increments by one, at operation812(at every predetermined time interval in which no start signal is indicated). In some circumstances, the light-snow counter value may continue to increment for more than twenty-four hours, or longer, until it reaches the light-snow count threshold (as long as the snowfall intensity during that period does not increase and trigger a start signal based on medium or heavy snowfall, in which case the light-snow counter will reset to zero. The light snow counter810, in some implementations, evaluates historical data stored in the audit log220to determine, generally, how long light snow has been falling near the first heater. Light snow, as described above, may be defined as snow falling at a rate of 0.04 inches or more every fifteen minutes (greater than a light-snow threshold), but less than 0.09 inches every fifteen minutes (less than the threshold snowfall intensity). Light snow, if observed, is recorded in the audit log220in association with a timestamp for the observation. The time window corresponds to a number of weather data sets. For example, a time window of six hours, using a predetermined time interval of fifteen minutes (four per hour), corresponds to twenty-four weather data sets. In this example, at operation812, the heater control application600counts the quantity of occurrences of light snow found anywhere among the immediately previous twenty-four weather data sets. The occurrences need not be consecutive; in other words, light snow need not be observed on a continuous basis in order to be included in the light snow counter810. The heater control application600, at operation813, compares the quantity of occurrences of light snow counted (or, in some implementations, the light-snow counter value) to a light-snow count threshold which, in some implementations, may be set to twelve. If the light-snow counter value is greater than the light-snow count threshold, then the heater control application600at operation813calculates the melt duration and configures the heater timer. In circumstances when a start signal is sent, the train counter value is reset to zero and the light-snow counter is reset to zero, at operation814. The start signal, at operation815, is sent to the heater. If and when the heater timer reaches the melt duration limit, the heater control application600at operation816generates a command signal that includes a stop signal for stopping the heater. Using the light snow counter810, when light snow is observed during a minimum number of time windows, the heater control application600starts the heater and it runs for a melt duration time. When the heater timer reaches the melt duration limit, the heater control application600at operation816generates a command signal that includes a stop signal for stopping the heater. Light snow (or other light winter precipitation) is often prevalent along the edge of a winter storm. For example, over the course of six hours (twenty-four weather reports) the light snow counter810may record twelve or more occurrences of light snow. When the twelfth occurrence is counted, the heater control application600sends a start signal to the heater at 1:35 PM, the heater timer starts at zero and the melt duration is seventy-five minutes (in this example); the heater timer will expire at 2:05 PM. At the next weather report at 1:40 PM, the light snow counter810counts the occurrences of light snow in the preceding twenty-four weather reports. In this aspect, the preceding time window is a moving time window. If twelve occurrences are counted again, if the heater control application600again sends a start signal to the heater at 1:40 PM, the heater time is reset to zero, and the melt duration of seventy-five minutes starts again and will now expire at 2:20 PM. If the heater control application600does not send another start signal to the heater before 2:20 PM, the melt duration will continue to elapse and will expire and the heater control application600will send a stop signal to the heater at 2:20 PM. FIG.8is a series of steps in applying a melt duration function820, in accordance with some example implementations. The melt duration function820calculates a melt duration, in minutes, according to temperature and a number of variables associated with the heater type. Each heater type has a minimum melt duration (1), an extended interval (2) which is expressed as minutes per degree, an anchor temperature (3), and a step function (4). In general, the melt duration function820ensures that each heater, in response to a start signal, remains on for at least a minimum duration and stays on for an additional time in very cold weather. For a heater type that is electric, according to one example, the minimum melt duration (E1) is seventy-six minutes, the extended interval (E2) is four minutes per degree, the anchor temperature (E3) is forty-eight degrees, and the step function uses a value (E4) equal to fifteen. For a heater type that is gas (using P to indicate propane), according to one example, the minimum melt duration (P1) is forty-six minutes, the extended interval (P2) is five minutes per degree, the anchor temperature (P3) is forty-four degrees, and the step function uses a value (P4) equal to fifteen. The melt duration function820at operation821selects the variables associated with the particular heater type. Using the electric heater variables in this example, the melt duration (MD), in some implementations, is a function of the temperature (TMPF), a minimum melt duration (E1), an extended interval (E2), an anchor temperature (E3), and a step function (E4), according to the equation: MD=MAX(E1,1+E4×INT((E3−TMPF)/E2)). The operator MAX selects the maximum value from among the values listed inside the parentheses. The heater control application600at operation822selects the value of E1, which is the minimum melt duration (E1) of seventy-six minutes for an electric heater. The operator MAX will select either the minimum melt duration (E1) or the second value, if it is greater. The melt duration, therefore, will be at least equal to the minimum melt duration (E1). The value for E2is selected at operation823. The value for E3is selected at operation824. The second quantity in parentheses, referred to as an Extended Duration, is calculated at operation825and expressed as: 1+E4×INT((E3−TMPF)/E2) The operator INT is a function that rounds a quantity to the nearest integer value. The quantity in this expression equals the quantity (E3minutes the current temperature (TMPF)) divided by E2. For a temperature of eight degrees, the quantity equals ten. The resulting integer (ten, in this example) is multiplied times E4and the result is added to one. The result is an Extended Duration equal to one hundred fifty-one minutes (which is greater than the E1minimum of seventy-six minutes). At operation826, the operator MAX selects the maximum from among the values in parentheses; either the minimum melt duration (E1) or the Extended Duration, whichever is longer, and sets the melt duration (MD) for use with this heater type, at this temperature. FIG.9shows a series of steps in applying snow depth rules830, in some implementations, to decide whether the heater control application600should operate in maintenance mode602. In general, the maintenance mode602applies in the absence of active snowfall and in response to a snow depth and conditions (i.e, a low temperature) that are sufficiently severe to require melting. Snow depth varies according to a number of external forces, including wind and passing trains. The energy from passing trains has the capacity to move snow, both into and out of switches. Wind energy, under certain conditions, will move snow and change the snow depth. Snow melting at temperatures above freezing are seldom moved by wind. When snow re-freezes at low temperatures, with or without wind, the maintenance mode602includes rules to re-activate melting. The snow depth rules830, in some implementations, together with the local snow depth estimator840, the snow depth at rail function850, and the alternative snow depth matrix860are designed to facilitate lower energy costs by turning heaters off when the snow melts at temperatures above freezing and/or when the snow is cleared by wind or passing trains at any temperature. The weather modeling function of the heater control application600may determine, at operation831, whether (a) the snow depth is greater than a deep-snow threshold and (b) the temperature is less than a first temperature threshold. In some implementations, the deep-snow threshold is six inches and the first temperature threshold is thirty-four degrees. When such conditions are met, then the heater control application600(operating in maintenance mode), at operation831, calculates a melt duration (as calculated in operation831according to the melt duration function described herein) and configures a heater timer. In any circumstance when a start signal is sent, the train counter value is reset to zero and the light-snow counter is reset to zero, at operation832. The start signal, at operation833, is sent to the heater. If and when the heater timer reaches the melt duration limit, the heater control application600at operation834generates a command signal that includes a stop signal for stopping the heater. FIG.7Ais an example decision tree showing a series of steps related to the active snowfall rules800ofFIG.6, the light snow counter810ofFIG.7, and the snow depth rules830ofFIG.9, in accordance with some example implementations. The light snow counter value, in some implementations, increments by one at each weather check when the intensity condition is met, and is only reset to zero if (1) the current temperature exceeds a threshold (such as thirty-three degrees) or (2) some other condition results in a start signal being sent to the heater. FIG.10shows a series of steps in applying a local snow depth estimator840, in accordance with some example implementations. In this aspect, the heater control application600includes a weather modeling function that evaluates the impact of wind and train traffic conditions to establish a local forecast snow depth associated with each heater. The weather modeling function of the heater control application600may determine, at operation841, whether (a) the snow depth is less than the deep-snow threshold and (b) the temperature is less than a second temperature threshold. In some implementations, the deep-snow threshold is six inches and the second temperature threshold is thirty-three degrees. When such conditions are met, the heater control application600does not send a start signal to the heater, and instead proceeds to an evaluation of the train count and wind conditions, at operation842. At operation842, weather modeling function of the heater control application600, in some implementations, counts the number of trains that passed the first heater during a predetermined time period, as recorded in the audit log220. As described herein, the heater control application600, in some implementations, obtains a plurality of passing-train data sets associated with each heater30in the network and stores each data set in the audit log220. The passing-train data set includes a passage start time; the time at which a train arrives and starts to pass the wayside10. The heater control application600, at operation842, determines (a) whether the number of trains is greater than a train-count threshold, and (b) whether the wind speed is greater than a wind threshold. If either is true; that is, if (a) the number of trains is greater than a train-count threshold OR (b) the wind speed is greater than a wind threshold, then the heater control application600(operating in maintenance mode), at operation842, calculates a melt duration and configures a heater timer. In any circumstance when a start signal is sent, the train counter value is reset to zero and the light-snow counter is reset to zero, at operation843. The start signal, at operation844, is sent to the heater. If and when the heater timer reaches the melt duration limit, the heater control application600at operation845generates a command signal that includes a stop signal for stopping the heater. Using the local snow depth estimator840, if a particular combination of trains and wind is present, then the heater control application600generates a first command signal that includes a start signal for the first heater and configures a heater timer to start at zero and run for a melt duration (as calculated in operation842). When the heater timer reaches the melt duration limit, the heater control application600at operation845generates a command signal that includes a stop signal for stopping the heater. FIG.10Ais an example decision tree showing a series of steps related to the local snow depth estimator840and to an alternative snow depth matrix860, in accordance with some example implementations. The alternative snow depth matrix860, in some implementations, filters the weather data according to temperature, then snow depth, then wind speed, and then train count. In this implementation, these variables work together as part of the weather modeling function of the heater control application600in order to decide whether the local snow depth as modeled is sufficient to send a start signal to the heater. For example, in a first subset of rules861, as shown inFIG.10A: if the snow depth is greater than zero and less than one inch and the wind speed is greater than nineteen miles per hour, the heater control application600sends a start signal to the heater. If the wind speed is less than nineteen miles per hour, and the train counter is greater than eighteen, the heater control application600sends a start signal to the heater; otherwise no signal is sent. For example, in a second subset of rules862: if the snow depth is between one and three inches and the wind speed is greater than fourteen miles per hour, the heater control application600sends a start signal to the heater. If the wind speed is less than fourteen miles per hour, and the train counter is greater than eight, the heater control application600sends a start signal to the heater; otherwise no signal is sent. For example, in a third subset of rules863: if the snow depth is between three and six inches and the wind speed is greater than ten miles per hour, the heater control application600sends a start signal to the heater. If the wind speed is less than ten miles per hour, and the train counter is greater than four, the heater control application600sends a start signal to the heater; otherwise no signal is sent. In this aspect, the train counter may not have an impact on the snow depth estimation except in circumstances when the snow depth and wind thresholds are satisfied. FIG.11shows is a series of steps in applying a snow depth at rail function850, in accordance with some example implementations. In this aspect, the weather modeling function of the heater control application600evaluates the impact of passing trains, including velocity and length, to establish a local forecast snow depth associated with each heater. The snow depth at rail function850may be performed instead of or in addition to the local snow depth estimator840shown inFIG.10. The weather modeling function of the heater control application600may determine, at operation851, whether (a) the snow depth is less than the deep-snow threshold and (b) the temperature is less than a third temperature threshold. In some implementations, the deep-snow threshold is six inches and the third temperature threshold is thirty-four degrees. When such conditions are met, the heater control application600uses the weather modeling function to estimate the local snow depth near the heater30, known as the snow-depth-at-rail (SDR). The weather modeling function of the heater control application600, in some implementations, obtains a plurality of passing-train data sets associated with each train that passed the first heater during a recent-passage time window. The passing-train data sets include a passage start time, a train velocity (V), and a train length (L). The passing-train data sets are recorded in the audit log220. The Universal Train Control System (UTCS)700in some implementations is gathering not only the presence or absence of a train passing each train sensor at the control point40, but also capturing the velocity (V) and length (L). At operation852, weather modeling function of the heater control application600, in some implementations, identifies a select subset of the passing-train data sets having a passage start time that falls within the recent-passage time window. The select subset of the passing-train data sets, in some implementations, is limited to those data sets in the audit log220since the most recent stop signal was sent to the heater. The recent-passage time window, in some implementations, is equal to one preceding time interval (i.e., the immediately previous fifteen minutes). The heater control application600at operation852further calculates a train sweeping effect (TSE) associated with each train in the select subset. The train sweeping effect (TSE), in some implementations, is a function of the train velocity (V), the train length (L), a constant (C), and a switch-type value (N) associated with said first heater, according to the equation: TSE=(V×L)/(N×C). The switch-type value (N), in some implementations, is equal to one for a single-track switch, two for a crossover or double-track switch, and three for a multi-track switch. The constant (C), in some implementations, is equal to 2,640,000. The snow depth at rail function850at operation853, in some implementations, identifies the lowest train sweeping effect value (TSE-min) from among the values calculated. In this aspect, the local snow depth equation, as described herein, will be impacted only by the train which caused the least amount of snow-sweeping. The snow depth at rail function850at operation854, in some implementations, calculates a local snow depth (SDL) as a function of a previous snow depth at a previous time (SD(t−1), the current snowfall rate (SF), and the lowest train sweeping effect (TSE-min), according to the equation: SDL=SD(t−1)+SF−TSE-min The previous time (t−1) corresponds to a current time (t) minus one increment of said predetermined time interval. For example, if the current timestamp in the audit log220is 2:25 PM and the predetermined time interval is fifteen minutes, then the previous time is 2:10 PM. The snow depth at rail function850at operation855, in some implementations, determines whether the calculated local snow depth (SDL) is greater than the snow-depth threshold. If such condition is true, then the heater control application600at operation855, configures a heater timer to start at zero and run for a melt duration (as calculated in operation856) and generates a first command signal that includes a start signal for the first heater. When the heater timer reaches the melt duration limit, the heater control application600at operation857generates a command signal that includes a stop signal for stopping the heater. The heater control application600in some implementations includes one or more alarms that are activated to alert personnel about unexpected conditions, such as when weather data is not received or is otherwise unavailable. Under such circumstances an operator, dispatcher, administrator, or other authorized person may access and adjust the heater control application600as necessary. The heater control application600as described herein operates in a fully autonomous mode, without the participation of any operator, dispatcher, administrator, or other person. The heater control application600is configured to automatically and periodically send a request for weather data, process the weather data as described herein to generate a local or hyperlocal forecast of the current conditions associated with any heater location, and then prepare and send control and command signals to each heater30in the network. | 65,586 |
11859351 | DETAILED DESCRIPTION OF THE INVENTION Referring toFIG.1, there is shown the segmental concrete construction10in accordance with the teachings of the present invention. The segmental concrete construction10of the present invention includes a first concrete segment12, a second concrete segment14and a wet joint16. The first concrete segment12has a first duct18embedded therein. The first duct18has an end20extending outwardly of a side22of the first concrete segment12. The second concrete segment14has a second duct24embedded therein. The second duct24has an end26that extends outwardly of a side28of the second concrete segment14. The end20of the first duct18is in alignment with and faces the end26of the second duct24. As can be seen inFIG.1, there is a tubular member30that has one end32extending over and around the first duct18generally adjacent to the end20. The tubular member30has a second end34which extends over and around the second duct24generally adjacent to the end26. As such, the tubular member30will extend over the ends20and26so as to establish a liquid-tight seal therebetween. A first seal38is positioned over the outer diameter of the first duct18generally adjacent to the end20. The first seal38establishes a liquid-tight seal between the inner wall of the tubular member30and the outer surface of the first duct18. Another seal40extends around the second duct24generally adjacent to the end26. The seal40establishes a liquid-tight seal between the inner surface of the tubular member30and the outer surface of the duct24. Once the tubular member30has been applied over the ends of the first duct18and the second duct24, a proper liquid-tight sealing relationship is established between the ducts24. At this time, the wet joint16can be poured into the area between the side22of the first concrete segment12and the side28of the second concrete segment14. As such, the present invention allows concrete to be utilized as the wet joint16instead of the epoxy materials used in the prior art. FIG.2shows an initial step in the installation of the sealing system of the present invention. As can be seen inFIG.2, the first duct18has an end20extending outwardly of the side22of the first concrete segment12. The tubular member30is positioned in a first position so as to reside completely over the outer surface of the first duct18. The end34of the tubular member30will reside in a location inwardly of the end20of the first duct18. The second duct24is illustrated as having an end26extending outwardly of the side28of the second concrete segment14. The seal40is applied over the outer surface of the duct24. In order to complete the sealing arrangement (as shown inFIG.1), it is only necessary to slide the tubular member30from the first position (illustrated inFIG.2) to the second position (illustrated inFIG.1). As such, the ends34of the tubular member30will overlie the exterior surface of the second duct24inwardly of the end26. The seal40will bear against the inner wall of the tubular member30so as to establish the liquid-tight seal therein. After the tubular member30has been moved to the second position, then the concrete can then be poured so as to form the wet joint16. FIG.3illustrates the tubular member30. As can be seen, the tubular member30has an interior passageway50and an inner wall52. The inner wall52is suitably positioned so as to properly establish a sealing relationship with each of the seals30and40. The tubular member30should have a length suitable so as to extend between the ends of the respective ducts18and24. FIG.4illustrates, with particularity, the arrangement of the tubular member30as applied over the end20of the first duct18. As can be seen inFIG.4, the seal38is in the nature of an elastomeric O-ring seal that is applied over the exterior surface of the first duct18. The first duct18has a plurality of ridges54which extend outwardly of the exterior surface of the first duct18. In particular, the plurality of ridges54include a first ridge56and a second ridge58. The elastomeric seal38is located in a position generally adjacent to the first ridge56. The elastomeric seal38will have an outer diameter, when not compressed, which will be greater than the outer diameter of the ridges54of the first duct18. The tubular member30has an inner wall52which resides in close relationship over the first ridge56and the second ridge58. As such, the seal38can bear tightly against the inner wall52of the tubular member30so as to establish the liquid-tight relationship. The use of the first ridge56and the second ridge58establishes a limit of travel of the seal38during the movement of the tubular member30between the first position (illustrated inFIG.2) and the second position (illustrated inFIG.1). As such, if the seal38should move with the movement of the tubular member30, further movement is blocked by the first ridge56. As such, the seal38will properly reside against the ridge56and expand outwardly therefrom so as to establish the strong liquid-tight relationship with the inner wall52of the tubular member30. Each of the ducts and the tubular member30are formed of a polymeric material. In particular, each of these items can be easily formed through an injecting molding process. Unlike the prior art, a simple sliding motion applied to the tubular member30will establish the proper seal between the ends of the ducts. As such, the present invention effectively avoids the use of heat shrink or shrink wrap materials. The present invention also eliminates the need for any taping that may be required in the area of the wet joint. The system of the present invention effectively avoids any liquid intrusion into the interior of the ducts18and24or into the interior of the tubular member30. The foregoing disclosure and description of the invention is illustrative and explanatory thereof. Various changes in the details of the illustrated construction or in the steps of the described method can be made is the scope of the present claims without departing from the true spirit of the invention. The present invention should only be limited by the following claims and their legal equivalents. | 6,168 |
11859352 | DETAILED DESCRIPTION Like numbered elements in these figures are either equivalent elements or perform the same function. Elements which have been discussed previously will not necessarily be discussed in later figures if the function is equivalent.FIGS.1and2illustrate the manufacture of an artificial turf using an artificial turf carpet and artificial turf infill. InFIG.1an artificial turf carpet100can be seen. The artificial turf carpet100comprises a backing102. The artificial turf carpet100shown inFIG.1is a tufted artificial turf carpet in this example. The artificial turf carpet is formed by artificial turf fiber tufts104that are tufted into the backing102. The artificial turf fiber tufts104are tufted in rows. There is row spacing106between adjacent rows of tufts. The artificial turf fiber tufts104also extent a distance above the backing102. The distance that the fibers104extend above the backing102is the pile height108. InFIG.1it can be seen that the artificial turf carpet100has been installed by placing or attaching it to the ground110or a floor. FIG.2illustrates an artificial turf200manufactured from the artificial turf carpet100ofFIG.1. To manufacture the artificial turf200a infill202made up of a mixture of natural fibers204and rubber granulate206is spread out on the surface and distributed between the artificial turf fiber tufts704.FIG.2shows the artificial turf carpet200after artificial turf infill202has been spread out and distributed between the artificial turf fiber tufts704. The artificial turf infill202can be seen as comprising natural fibers204and rubber granulate206. In some examples the rubber granulate206and the natural fiber204are freely mixed. In other examples the natural fiber may be at least partially attached to the rubber granulate206by an adhesive or binding agent. FIG.3shows a flowchart which illustrates a method of manufacturing the artificial turf200shown inFIG.2. First in step300natural fibers204are mixed with a rubber granulate206. Next in step302an artificial turf carpet100is installed on a surface110. The artificial turf carpet100comprises a pile108. Then in step304the artificial turf200is formed by spreading the artificial turf infill202within the pile108. FIG.4shows a further example of the artificial turf200. In this example an automatic sprinkler system400has been integrated into the artificial turf200. The sprinkler400is depicted as spraying water402on an upper surface of the artificial turf200. The use of an artificial sprinkler may be beneficial in combination with the infill component that comprises both the rubber granulate and the natural fiber. The natural fiber may absorb water which through evaporation can help to keep the surface of the artificial turf200cool. FIG.5illustrates a further example of artificial turf infill202′. In this example there is a natural fiber204which is attached to the rubber granulate206by an outer coating500. The outer coating500serves as a binding agent and may glue the natural fiber204to the rubber granulate206. There may be various variations of the example illustrated inFIG.5. For example in some cases the rubber granulate206could have one or more initial coatings before the outer coating500is applied. In other examples the natural fiber204may be firstly attached to the rubber granulate206and then additional coatings may be placed on both the combined natural fiber204and the rubber granulate206. In some examples only a portion of the natural fibers204are bound to a rubber granulate206. FIG.6illustrates some equipment which may be used for manufacturing the artificial turf infill202′ as depicted inFIG.5.FIG.6depicts a mixing vat600. The mixing vat has a rotatable shaft602that is connected to a number of mixing paddles604. The mixing vat600is filled with rubber granulate206and natural fiber204. The rubber granulate206and the natural fiber204can then be manufactured into artificial turf infill by forming an initial composition. The initial composition comprises the rubber granulate206, the natural fiber, optionally at least one first type of pigment, and a fluid binding agent. The fluid binding agent, may for example, comprises at least one type of polymer component. Next in step the initial composition is mixed. During this step, the mixing water and catalyst are added to the initial composition to cure the fluid binding agent and the optional at least one type of pigment into an outer coating500that bonds at least some of the natural fibers204and rubber granulate206together. The apparatus depicted inFIG.6may be used for manufacturing the artificial turf infill as batches. It is also possible to manufacture the artificial turf infill as a continuous process using a flow reactor. FIG.7illustrates an example of a flow reactor700. The example shown inFIG.7is illustrative and is not drawn to scale. The flow reactor700comprises a rotatable shaft702that is connected to a screw conveyor704. The screw conveyor704is similar to an Archimedes screw, which is mounted horizontally. The flow reactor700can be shown as being filled with rubber granulate206and natural fiber204. As the rotatable shaft702is turned, it causes the natural fiber204and rubber granulate206to move through the flow reactor700. It also causes the natural fiber204and the rubber granulate206to be mixed. At an entrance there is an inlet708for adding the rubber granulate206and the natural fiber204. This may be done on a continual basis as the shaft702is rotated. This causes the natural fiber204and the rubber granulate206to go to a first inlet for adding fluid binding agent and, as option, at least one pigment. At inlet710the at least one pigment and the fluid binding agent are added to the natural fiber204and the rubber granulate206and become mixed with it as the shaft702is rotated. When they are thoroughly mixed, the natural fiber204and the rubber granulate206and the at least one pigment and the fluid binding agent form an initial composition720. This initial composition720is then transported beneath a first inlet for water and catalyst712. The water and catalyst may be added on a continual or intermittent basis at this inlet712. As the initial composition720is transported further along the flow reactor700fluid binding agent and at least one pigment cure into the outer coating500such as depicted inFIG.5. Some of the natural fibers204become attached to the rubber granulate206. At this point, the artificial turf infill has been formed. In some examples the flow reactor may additional inlets so that additional layers or coatings can be applied.FIG.7also depicts optional inlets714and716. After the outer coating500is formed, the initial composition720is transported underneath the second inlet714for adding fluid binding agent and, as option, at least one pigment. At this point more of the pigment and fluid binding agent are added and the initial composition720becomes the subsequent composition722. The pigments used for the initial composition and the subsequent composition may be identical or they may be different. The subsequent composition722is mixed and transported underneath the second inlet for water and catalyst716. The water and catalyst are then mixed with the subsequent composition722and over time are further transported to the end of the flow reactor700. By the time the subsequent composition722has reached the end of the flow reactor700the subsequent coating has formed on the rubber granulate206and or the natural fibers204. At the very end, then the artificial turf infill202′ exits the flow reactor700at an outlet717. The natural fiber204and the rubber granulate206at this point is then artificial turf infill202′. The artificial turf infill202′ is then shown as entering into an optional dryer718. It is clear fromFIG.7that the flow reactor may also be extended. For example if it is desired to put a third or fourth or even more coatings, the number of inlets in the flow reactor700can simply be increased. This may involve moving the granulate at a different rotational rate or possibly even making the flow reactor700longer. LIST OF REFERENCE NUMERALS 100artificial turf carpet102backing104artificial turf fiber tufts106row spacing108pile height110ground or floor200artificial turf202artificial turf infill202′ artificial turf infill204natural fiber206rubber granulate300mix natural fiber and rubber granulate302install an artificial turf carpet on a surface304spreading the artificial turf infill within the pile400sprinkler402water500outer coating600mixing vat602rotatable shaft604mixing paddles700flow reactor702rotatable shaft704screw conveyor708inlet for granulate710first inlet for at least one pigment and fluid binding agent712first inlet for water and catalyst714second inlet for at least one pigment and fluid binding agent716first inlet for water and catalyst717outlet718dryer720initial composition722subsequent composition | 8,928 |
11859353 | DETAILED DESCRIPTION FIG.1is a schematic side view of an asphalt paving machine10showing a screed assembly14positioned rearward of an auger system16. The asphalt paving machine10can comprise a vehicle portion18, which can be connected to the screed assembly14via a tow arm20A. The screed assembly14can additionally include an end gate14A and a main screed plate13. A second tow arm (not shown) can also be provided in some cases. The vehicle portion18can additionally comprise a propulsion element22, a conveyor system24and a hopper26. The paving material30can be asphalt, aggregate materials or concrete. In various embodiments, the paving material30can be deposited directly into the hopper26of the paving machine10. The paving machine10can travel in direction D, while the conveyor system24can move paving material in the opposite direction from the hopper26to the auger system16. The conveyor system24can be disposed within or below the hopper26. The conveyor26can transport the loose paving material30through the vehicle portion18toward the auger system16. A grading implement, such as the screed assembly14, can be attached to the rear of the vehicle portion18to receive the paving material30from the auger system16. The screed assembly14can be towed by tow arms20A, only one of which is shown inFIG.1. The propulsion system22can comprise a ground engaging element, such as an endless track as shown inFIG.1, wheels or the like for propelling the paving machine10along the work surface32. The loose paving material30can be deposited by the conveyor system24in front of the auger system16. The auger system16can disperse the loose paving material30along the width (into the plane ofFIG.1) of the screed assembly14. The screed assembly14can compact the loose paving material30into a mat34behind the paving machine10. More particularly, in order to facilitate formation of the mat34, the paving machine10can be outfitted with the main screed plate13and additional side screed screed plates (not shown). These side screed plates can be connected to the remainder of the screed assembly14via a screed extender. The end gate14A can be attached to the screed extender. Thus, the end gate14A can be fixed or otherwise attached to an end of the screed extender and the side screed plate. In operation, the end gate14A can be configured to restrict the spread of the paving material30beyond specified lateral limits, and therefore, the end gate14A can be used in combination with the side screed plate to form a desired mat width. The side plate(s) along with the main screed plate13can be configured to spread a smooth even layer of the paving material on the prepared roadbed as the mat34. The weight and/or a vibration of the screed assembly14aids in compressing the paving material and performing initial compaction of the paving material layer into the mat34. To facilitate laying of the paving material30as the mat34, the main screed plate13and other plates can be heated to a temperature in the range of about 82< to 171° C. (180° to 340° F.). Heating can assist the paving material30in flowing under the main screed plate13and side screen plates and can reduce adhesion of the paving material30to the main screed plate13and side screed plates. FIG.2shows a perspective view of the screed assembly14according to one example,FIG.2Ashows a top view of the part of the screed assembly14.FIGS.2and2Ashow the screed assembly14configured for operation to perform paving to create the mat. Thus, an end gate14A is shown attached to the screed extender50inFIG.2. The screed extender50and end gate14A are not shown in a fully extended position inFIGS.2and2Abut it is recognized that these can be extended laterally outward during paving operation to extend the lateral width of the mat as discussed previously.FIGS.2and2Ashow the screed assembly14configured as a front mount screed extension assembly. This arrangement positions the screed extender50and parts of the end gate14A forward of the main screed plate13. However, other configurations such as a rear mount screed extension assembly are contemplated herein. As shown inFIGS.2and2A, the screed assembly14includes the end gate14A, the screed extension50(shown inFIG.2only), a main screed assembly52that includes the main screed plate13, a walkway54and a stowage apparatus56. The end gate14A can be selectively connected to the screed assembly14via the screed extender50as shown inFIG.2. Such connection can be via a first one or more quick connection mechanisms according to some examples. Operation and construction of the first one or more quick connection mechanisms will be discussed in further detail subsequently. FIG.2shows the screed extender50can be extended or retracted laterally as indicated by arrow A. Thus, screed extender50and the end gate14A can be movable to extend and retract relative to the main screed assembly52to operably position the end gate14A relative to the main screed13. As discussed, the screed extender50and the end gate14A can be positioned forward of the main screed assembly52and the main screed plate13. FIGS.2and2Ashow the walkway54. This can extend generally laterally along a rear side of the main screed assembly52rearward of the main screed plate13. The walkway54can be used by personnel during paving operation to monitor laying of the mat and to perform various operations. As shown inFIGS.2and2Athe stowage apparatus56can be connected at a first end to the main screed assembly52. Such connection can be via linkage, joint, pin or another type of connection that allows for pivoting movement of the stowage apparatus56, for example. As best shown inFIG.2A, the stowage apparatus56can include a plurality of linkage arms60A and60B and a base. These plurality of linkage arms60A and60B can be pivotally or otherwise moveably connected to one another. The configuration of the stowage apparatus56allows the linkage arms60A and60B to be pivoted or otherwise moved to fold up or be positioned adjacent one another and/or the main screed assembly52. Although two linkage arms60A and60B are shown, it is contemplated that the stowage apparatus56can include relatively more or less linkage arms (e.g., three, four, five, etc). The stowage apparatus56can be pivoted or otherwise moved to a first position adjacent the rear of the main screed assembly52as shown inFIGS.2and2A. In the first position, the stowage apparatus56can be located inward of the walkway54or inward of a trailing edge54A (FIG.2A) of the walkway54, for example. In the first position, the stowage apparatus56can generally be out of the way of personnel using the walkway54during the operation of the paver, for example. FIG.3shows a top view of the screed assembly14with the stowage apparatus56moved to a second position for transport of the screed assembly14and/or paver between working locations.FIGS.3A and3Bshow the screed assembly14from a perspective view and a side view, respectively. As shown inFIGS.3-3B, the end gate14A has been selectively decoupled (disconnected) from the screed extender50and has been selectively connected to the stowage apparatus56. This connection can be via a second one or more quick connection mechanisms according to some examples. Operation and construction of the second one or more quick connection mechanisms will be discussed in further detail subsequently. FIGS.3-3Bshow various components that make up the first one or more quick connection mechanisms58. Recall, the first one or more quick connection mechanisms58can selectively connect the end gate14A to the screed extender50. In the second position ofFIGS.3-3B, the stowage apparatus52and the end gate14A can be positioned rearward of the main screed assembly52and the main screed plate13, and indeed, rearward of the walkway54(which can be tilted up as shown), for example. In this second position, the lateral width of the screed assembly14is reduced as the end gate14A no longer projects laterally therefrom. In the second position the end gate14A and the stowage apparatus56can be pivoted to a position adjacent a second end gate and a second stowage apparatus from an opposing side of the screed assembly14.FIG.3shows a locking mechanism61A such as a turnbuckle that can be used to couple the end gate14A and the second end gate together for transport of the paver. The locking mechanism61A can also hold a second one of the plurality of arms60B (FIG.2A) in the second position. The locking mechanism61A can comprise a member, a linkage, bar or other component that can be pinned or otherwise coupled to hold the stowage apparatus56and/or the end gates in place. FIG.3Cadditionally shows further components of the locking mechanism including a pin61C and apertures61B. The pin61C and apertures61B can fix the first one of the plurality of arms60A in the second position as shown inFIGS.3-3B.FIG.3Cshows the first one of the plurality of arms60A pivoted out of the second position to illustrate one of the apertures61B. The arm60A can form this aperture. A base59of the stowage apparatus56can firm a second one of the apertures61B. The apertures61B are configured to receive the pin61C. When aligned the apertures61B receive the pin61C and lock the first one of the plurality of arms60A in the desired second position. FIG.4Ashows a perspective view of the screed assembly14with the stowage apparatus56moved to a third position.FIG.4Bshows a top view of the screed assembly14with the stowage apparatus56in the third position.FIGS.4A and4Bshow the stowage apparatus56can be used to aid in mounting the end gate14A with the screed extender50. In particular, the stowage apparatus56can be manipulated to move the end gate14A to interface with the screed extender50. In this position, personnel can couple the end gate14A to the screed extender50and can decouple the end gate14A from the stowage apparatus56. Such coupling and decoupling can be performed using the first one or more quick connection mechanisms58(FIG.4A) and/or the second one or more quick connection mechanisms62as further described herein. However, it is also contemplated that personnel can accomplish such coupling and decoupling using more conventional mechanical connectors such as nuts and bolts that must be tightened using tools, according to some examples. As shown inFIGS.4A and4B, the stowage apparatus56can be configured to align the end gate14A with the screed extender50as desired as further described subsequently.FIGS.4A and4Bshow the end gate14A manipulated to be positioned as desired relative to the screed extender50with the stowage apparatus56in a third position. In this third position, the end gate14A interfaces and is aligned with the screed extender50as desired such that the end gate14A can be coupled to the screed extender50. Coupling and decoupling of the various components can be via the first one or more quick connection mechanisms58and the second one or more quick connection mechanisms62. Referring now toFIGS.5-5B, and specifically toFIG.5, to connect the end gate14A to the screed extender50, the first one or more quick connection mechanisms58can be properly aligned and then engaged to accomplish connection between the end gate14A and screed extender50. The second one or more quick connection mechanisms62(FIG.5A) that couple the end gate14A to the stowage apparatus56can then be disengaged. The stowage apparatus56can be retracted and can be pivoted to move to the first position ofFIGS.2and2A, for example. It should be noted that the third position can also be used to connect the end gate14A to the stowage apparatus56and disconnect the end gate14A from the screed extender50in a reverse of the process described above. Once the end gate14A is mounted to the stowage apparatus56, the stowage apparatus56and the end gate14A can be moved to the second position as shown inFIGS.3-3B, for example. According to one example, the present application contemplates the use of the first one or more quick connection mechanisms58and/or the second one or more quick connection mechanisms62. However, as discussed such mechanisms are not contemplated in all examples. The term “quick” as used herein is used connote connection mechanisms that do not require personnel to utilize tools such as a wrench, drill, etc. to accomplish connection and/or disconnection of the mechanisms. Such quick connection mechanisms can include, but are not limited to pins, bosses, latches, clamps, bayonets, casters, snaps, hooks or the like. Thus, although quick connection mechanisms can accomplish connection or disconnection more rapidly than other connection features in some circumstances, the use of the term “quick connection mechanism” as used herein is intended to encompass connection mechanisms that do not require personnel to utilize tools to accomplish connection and/or disconnection of the mechanisms. Rather, connection and disconnection of the mechanisms can be done by hand. FIGS.5-5Bshows the first one or more quick connection mechanisms58and the second one or more quick connection mechanisms62can each include bosses and/or pins64. These bosses and/or pins64were shown previously in various of the FIGURES. The bosses and/or pins64(which can be a single boss or single pin in some cases) can be configured to insert into mating recesses66(illustrated inFIGS.5and5B) of the end gate14A to carry a shear force caused by the weight of the end gate14A.FIG.5shows the bosses and/or pins64located on the screed extender50. Bosses and/or pins64can also be located on end gate14A and/or the stowage apparatus56as shown inFIG.5B. The end gate14A and/or the stowage apparatus56can include recesses66. However, it is contemplated that such a configuration could be reversed such that the end gate14A and/or stowage apparatus56could have the bosses and/or pins and the screed extender50could have recesses. Additionally, a combination of pins/bosses and recesses for one or more of the items is contemplated according to further embodiments. Thus, the end gate14A for example, can have both bosses and/or pins and recesses that could be used to mate with mating features of the screed extender50and the stowage apparatus56. In addition to the bosses and/or pins64and/or the recesses66, the first one or more quick connection mechanisms58and the second one or more quick connection mechanisms62can include a clamp or latch68as called out inFIG.5Aspecifically. An example of the clamp or latch68that can be used in the present application is illustrated throughout the FIGURES but is best shown inFIG.5B. The clamp or latch68can comprise, for example, a latch clamp, toggle clamp, clamping bolt, pneumatic clamp, or the like. The clamp or latch68can be configured to engage with one or more features on the screed extender50 As shown inFIGS.6and6Aone example of the clamp or latch68in further detail. According to the example ofFIGS.6and6A, the clamp or latch68can comprise an over-center compression clamp70. This over-center clamp70can include a pulling hook72and latch mechanism74. The latch mechanism74can be coupled to a handle76via a pin. Movement of handle76can extend the position of the pulling hook72and latch mechanism74as shown inFIG.6Afrom a first position where the latch mechanism and element72,74are engaged in compression to a second unlocked/unlatched configuration. In the first position, the latch mechanism72and pulling hook74apply a compression load on a mating feature (not shown) such a hook or other feature. An example of a suitable over-center clamp70or latch clamp comprises a model number MTP-D latch clamp manufactured by ELESA USA Corporation of Twinsburg, Ohio. INDUSTRIAL APPLICABILITY Example machines in accordance with this disclosure can be used in a variety of industrial, construction, commercial or other applications including paving. Such pavers can have the screed assembly14including main screed plate13, side screed plates and other components. The side screed plates and the end gate14A/14A can be retained and positioned by the screed extender50. When transporting the screed assembly14and/or paver10between job site locations, local regulations on machine width may necessitate that the end gate14A and/or the end gate14A be removed. The present application contemplates the stowage apparatus56can be used to safely retain the end gate14A and/or14A behind the main screed13to reduce the width of the screed assembly14during transport. The stowage assembly56can further be configured to fold up out of the way of personnel on the screed assembly14when not in use. The present application further contemplates the use of one or more quick connection mechanisms58and/or62that can be used in some embodiments. These mechanisms can be used by personnel without the need for additional tools and can facilitate rapid and efficient connection or disconnection of the end gate14A or14A. For example, the first one or more quick connection mechanisms58can connect the end gate14A and screed extender50. The second one or more quick connection mechanisms62can connect the end gate14A and the stowage apparatus56. The quick connection mechanisms can include bosses and/or pins66in addition to other features such as the clamp or lever70, for example. The quick connection mechanism(s) can reduce the time and complexity associated with removal of the end gate14A/14A from the screed extender50and attachment of the end gate14A/14A to the stowage apparatus56and vice versa. The quick connection mechanism with the stowage apparatus56can further reduce the time and complexity in that the end gate14A may simply be properly aligned using the stowage apparatus56then pushed laterally so as to engage the bosses and/or pins66. The clamp or lever70can then be actuated by hand to engage and to connect the end gate14A to the screed extender50or the stowage apparatus56. This avoids the need to tighten nuts or insert fasteners and further avoids personnel having to carry the end gate14A and position the end gate14A properly for proper fixation using the nuts and bolts or other connection features that require tools. The above detailed description is intended to be illustrative, and not restrictive. The scope of the disclosure should, therefore, be determined with references to the appended claims, along with the full scope of equivalents to which such claims are entitled. The claims should be considered part of the specification for support purposes. | 18,470 |
11859354 | DETAILED DESCRIPTION OF THE INVENTION The present invention relates to systems and methods for milling paving material. More particularly, the present invention relates to systems and methods that provide increased stability, support and power while grinding paving material. In the disclosure and in the claims the term “paving material” shall refer to any material that may be used to pave a road, path, sidewalk, parking lot, driveway, thoroughfare, or any other similar surface. Examples of paving materials include asphalt, tarmac, pavement, cement, clay, stone and dirt. Embodiments of the present invention take place in association with a self-powered milling system that may be used to mill or grind paving material, and that may be configured to be coupled to a vehicle (e.g., a bobcat, steer-skid, back hoe, excavator or other vehicle) that selectively pushes or pulls the self-powered milling system in a desired direction. The milling system includes a cutting head, such as a cylindrical mandrel that includes a variety of bits attached thereon. The mandrel spins on an axis to break up and mill the paving material, and is powered by a milling system motor that speeds up production and enables the milling of very thick paving material (e.g., 8 inch thick asphalt) in a single pass. In one embodiment, a breaker bar is coupled to the carriage. The breaker bar is continuously located at or near ground level to hold the asphalt down as it tries to lift up during the milling process. The breaker bar is further employed to assist in the breaking up the milled asphalt aggregate. As provided above, embodiments of the present invention take place in association with a self-powered milling system that may be used to mill or grind paving material, and that may be configured to be coupled to a vehicle (e.g., a front-end loader, steer-skid, back hoe, excavator or other vehicle), which selectively pushes or pulls the self-powered milling system in a desired direction. With reference toFIG.1, a representative embodiment of a self-powered milling system is illustrated as milling system10, which includes a housing11, a cutting head12having bits14attached thereon, and a carriage16. InFIG.1, milling system10is configured to be coupled to a vehicle using vehicle coupler18. In the illustrated embodiment, vehicle coupler18is adjustable to allow for a coupling of milling system10to any type of vehicle. Once coupled to milling system10, the vehicle (not shown) may be operated by a user to selectively push or pull milling system10in a particular direction. Milling system10comprises a motor which is separate from the motor of the vehicle. As such, milling system10is self-powered to enable the grinding or milling of paving material. More specifically, the motor (not shown) is dedicated to the actuating of cutting head12in order to grind or mill paving material under milling system10. Cutting head12rotates so that bits14cut upward or as shown inFIG.1in a counter-clockwise direction. After the material is ground by bits14, it passes over cutting head12and is redeposited into the original trench behind cutting head12. Retractable breaker bars20are shown in their retracted position. Material passes unimpeded past breaker bars20in this position. The use of cutting head12and more particularly, retractable breaker bars20, is more fully illustrated inFIG.2. As cutting head12is spinning, milling system10is pushed by a vehicle coupled thereto and mills paving material that passes underneath cutting head12. The ground material passes upward until it reaches retractable breaker bars20shown in their extended position. Breaker bars20retain material and prevent material from passing over the top of cutting head12. While retained, the material continues to be ground by bits14and becomes smaller in diameter. By varying the depth of extension of breaker bars20, the size of the material redeposited into the trench behind cutting head12may be controlled. Extension of breaker bars20and the resultant build-up of material between the road surface and breaker bars20, places a higher load on the engine rotating cutting head12. An operator of the vehicle to which system10is coupled may need to slow the progress of system10to compensate for the higher load on the engine driving cutting head12. In some instances, a worker walking alongside system10may be needed to communicate with the operator of the vehicle propelling system10to achieve the best balance of speed versus particle size output from system10and redeposited behind cutting head12. With reference now toFIG.3, a frame22is shown having two ends connected by bars24. Bars24have elongate slots through which pins28extend and travel within. Pins28are affixed to plates30. Also attached to plates30is a hydraulic ram32. When actuated, hydraulic ram32pushes plate30. Movement of plate30is constrained by pin28to travel only within slot26. Turning now toFIG.4, the travel of plate30within slot26is more clearly seen. The linear actuation by hydraulic ram32causes plate30to travel in slot26. FIG.5illustrates that a breaker bar34has diagonal slots36formed with in through which also passes pins28. Thus, as hydraulic ram32pulls plate30toward hydraulic ram32in slot26, pins28also move within diagonal slots36. Because slot36are diagonal, movement of pin28is translated into movement of breaker bar34toward cutting head12. FIG.6illustrates breaker bar34in the retracted position. Hydraulic ram32is fully extended and pins28have forced breaker bar34to be lifted by the movement of pin28traveling in diagonal slot36. In this retracted position, breaker bar34does not impede the flow of ground material around cutting head12. The described embodiments are to be considered in all respects only as illustrative and not restrictive. The scope of the invention is, therefore, indicated by the appended claims rather than by the foregoing description. All changes that come within the meaning and range of equivalency of the claims are to be embraced within their scope. | 6,053 |
11859355 | DETAILED DESCRIPTION Before the present disclosure is described in greater detail, it is to be understood that this disclosure is not limited to particular embodiments described, as such may, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting, since the scope of the present disclosure will be limited only by the appended claims. Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit (unless the context clearly dictates otherwise), between the upper and lower limit of that range, and any other stated or intervening value in that stated range, is encompassed within the disclosure. The upper and lower limits of these smaller ranges may independently be included in the smaller ranges and are also encompassed within the disclosure, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included in the disclosure. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. Although any methods and materials similar or equivalent to those described herein can also be used in the practice or testing of the present disclosure, the preferred methods and materials are now described. As will be apparent to those of skill in the art upon reading this disclosure, each of the individual embodiments described and illustrated herein has discrete components and features which may be readily separated from or combined with the features of any of the other several embodiments without departing from the scope or spirit of the present disclosure. Any recited method can be carried out in the order of events recited or in any other order that is logically possible. Embodiments of the present disclosure will employ, unless otherwise indicated, techniques of chemistry, inorganic chemistry, material science, and the like, which are within the skill of the art. Such techniques are explained fully in the literature. The following examples are put forth so as to provide those of ordinary skill in the art with a complete disclosure and description of how to perform the methods and use the compositions and compounds disclosed and claimed herein. Efforts have been made to ensure accuracy with respect to numbers (e.g., amounts, temperature, etc.), but some errors and deviations should be accounted for. Unless indicated otherwise, parts are parts by weight, temperature is in ° C., and pressure is in atmosphere. Standard temperature and pressure are defined as 25° C. and 1 atmosphere. Before the embodiments of the present disclosure are described in detail, it is to be understood that, unless otherwise indicated, the present disclosure is not limited to particular materials, reagents, reaction materials, manufacturing processes, or the like, as such can vary. It is also to be understood that the terminology used herein is for purposes of describing particular embodiments only, and is not intended to be limiting. It is also possible in the present disclosure that steps can be executed in different sequence where this is logically possible. It must be noted that, as used in the specification and the appended claims, the singular forms “a,” “an,” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a support” includes a plurality of supports. In this specification and in the claims that follow, reference will be made to a number of terms that shall be defined to have the following meanings unless a contrary intention is apparent. DISCUSSION Embodiments of the present disclosure provide for compositions and methods for waste-to-energy bottom ash an engineered aggregate in road construction. Incineration is one method of waste-to-energy (WTE) generation that results in ash byproducts, primarily fly coal ash and bottom ash (BA). It can be possible to recycle and reuse WTE ash by-products, BA especially. A potential application for WTE BA recycling is the use of WTE BA in road construction. Portland Cement Concrete (PCC) and hot mix asphalt (HMA) compositions can be formulated with WTE BA and can be used to construct roads. WTE BA aggregates can also be used as a granular aggregate base course. While there are numerous applications for the use of recycled WTE BA, the use of WTE BA is problematic currently in road construction because of environmental concerns and concerns relating to the strength of the finished concrete and/or road product. Described herein are compositions of WTE BA and methods that can alter the composition of WTE BA aggregates and make WTE BA aggregates more suitable for use in road construction and materials related to road construction. Size and composition of WTE BA aggregates can be a factor relating to the suitability of recycled WTE BA in road construction. Total WTE BA can be separated with one or more separation devices as described herein to create a composition or alter the composition of WTE BA and produce specific WTE BA gradations, aggregate fractions, and/or separated WTE BA aggregates of a desired size. As used herein, compositions of WTE BA can be WTE BA aggregates that constitute an aggregate fraction, and a composition of WTE BA can be a WTE BA aggregate fraction comprised of WTE BA aggregates. The one or more separation devices can be sieves, and can comprise a ⅜ inch sieve and/or a ¾ inch sieve. As used herein, ⅜ of an inch can be about 9.5 mm and ¾ of an inch can be about 19.05 mm. Alternatively, 9.5 mm can be about ⅜ of an inch and 19.05 mm can be about ¾ of an inch. In an embodiment, WTE BA can be separated with one or more separation devices, which can be sieves, and can comprise a ⅜ inch sieve and/or ¾ inch sieve, until aggregates and/or an aggregate fraction of a desired size are produced. In an embodiment, WTE BA can be separated until separated WTE BA aggregates having a size of about ⅜ of an inch to about ¾ of an inch are the only aggregates remaining. In an embodiment, WTE BA can be separated until separated WTE BA aggregates having a size of about ½ of an inch to about ⅝ of an inch are the only aggregates remaining. The size of the aggregates as used herein can be the radius, diameter, circumference, length, width, area, volume, cross-sectional surface area, or other physical dimension of a WTE BA aggregate. After separation with one or more separation devices, separated WTE BA aggregates having a size of about ¾ inch to about ⅜ inch can be used according to the systems and methods described herein. Separated WTE BA aggregates for use according to the systems and methods described herein can be within a WTE BA aggregate fraction that represents about 12% to about 30%, or about 15% to about 30%, or about 15% of total WTE BA that passes through a ⅜ inch sieve. WTE BA aggregates can be with a WTE BA aggregate fraction that represents about 12% to about 25%, about 15% to about 25%, or about 20% of total WTE BA that passes through a ¼″ sieve. In an embodiment, a separation device can be used to first remove WTE BA aggregates and/or particulates smaller than about 9.5 mm, producing a WTE BA aggregate fraction. The WTE BA aggregate fraction can be subjected to a second separation device wherein WTE BA aggregates and/or particulates of about 19.05 mm or larger are removed from the aggregate fraction. In another embodiment, WTE BA can be subjected to a first separation device where WTE BA aggregates and/or particulates of about 19.05 mm or larger are removed, producing an aggregate fraction, and then the aggregate fraction subjected to a second separation device that removes WTE BA aggregates and/or particulates of about 9.5 mm or less. In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates ranging in size of about 9.5 mm to about 19.05 mm or about ⅜ of an inch to about ¾ of an inch. Alternatively, a separation device can be comprised of one or more grates that separate out and/or isolate a WTE BA aggregate fraction containing WTE BA aggregates sized about 9.5 to about 19.05 mm for use in road construction. In an embodiment, a trommel screen can be a separation device used to separate out and/or isolate a WTE BA aggregate fraction containing WTE BA aggregates sized about 9.5 to about 19.05 mm. Separation devices as described herein can optionally be vibrated or coupled to a vibration device or device that vibrates the one or more separation devices to aid in size-separation of WTE BA aggregates. The systems and methods of WTE BA separation as described herein can alter the composition of WTE BA and remove elements, alloys, particulates, and/or other contaminants from the WTE BA that are detrimental to the use of WTE BA in road construction. One or more separation devices as described above can be used to change the size and/or composition of WTE aggregates and can remove metals, elements, alloys, and/or other contaminants from the WTE BA. Examples of metals and elements that can be removed from WTE BA aggregates and/or a WTE BA aggregate fraction are aluminum (Al), arsenic (As), boron (B), barium (Ba), calcium (Ca), cadmium (Cd), cobalt (Co), iron (Fe), potassium (K), magnesium (Mg), sodium (Na), antimony (Sb), strontium (Sr), zinc (Zn), alloys of any combination of these, or others. The one or more separation devices can alter the composition of WTE BA aggregates and produce WTE BA aggregates that generate less hydrogen (H2) gas when incorporated into road construction materials than naïve, unseparated and/or unaltered WTE BA aggregates, which is advantageous because it can increase the structural integrity and/or strength of resulting road products. Environmental Protection Agency (EPA) testing method 3050b (acid digestion of sediment, sludge, and soil) is one method that can be used to determine composition of WTE BA aggregates and/or aggregate fractions. Other tests that can be used to determine composition (elemental, alloy, contaminant, and/or otherwise) of WTE BA aggregates and/or aggregate fractions can include acid digestion with nitric and/or hydrofluoric acid (EPA Method 3052 for example), x-ray fluorescence, and reaction with hydroxides (OH—). Tests using reaction with hydroxides can evaluate composition (such as Al content) through the volumetric measurement of hydrogen gas produced by the reaction of the element, alloy, or contaminant (which can be Al or contain Al) in WTE BA aggregates and/or aggregate fractions. Exact measurements produced by different tests may produce different results as some testing methodology is destructive (and destroys some of the components to be measured, producing lower measurements than non-destructive methods) and some testing methods are non-destructive. In an embodiment, a WTE BA aggregate fraction contains a total Al content of about 5,200 mg/kg (dry) to about 14,200 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains a total Al content of about 9,670 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains a total Al content of less than about 25,000 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains a total Al content of less than about 14,200 mg/kg (dry). In an example, a WTE BA aggregate fraction contains a total Al content of less than about 25,000 mg/kg (dry) as determined by EPA testing method 3050b. In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm, and the WTE BA aggregate fraction has a total Al content of about 9,670 mg/kg (dry) or less. In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm, and the WTE BA aggregate fraction has a total Al content of about 25,000 mg/kg (dry) or less. In an example, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm and the aggregate fraction has a total Al content of less than about 25,000 mg/kg (dry) according to EPA testing method 3050b. In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm, and the WTE BA aggregate fraction has a total Al content of less than about 25,000 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 4/8 of an inch to about ⅝ of an inch, and the WTE BA aggregate fraction has a total Al content of less than about 25,000 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm, and the WTE BA aggregate fraction has a total Al content of less than about 14,200 mg/kg (dry). In an embodiment, a WTE BA aggregate fraction contains WTE BA aggregates sized about 9.5 mm to about 19.05 mm, and the WTE BA aggregate fraction has a total Al content between about 5,200 mg/kg (dry) and about 14,200 mg/kg (dry). In an embodiment, elements contained in WTE BA and a WTE BA aggregate fraction containing aggregates ⅜″ to ¾″ in size can be seen inFIG.1(a). Further characterization data can be seen inFIG.1(b)and FIG.1(c). In an embodiment, a WTE BA aggregate fraction can: contain WTE BA ash aggregates sized about 9.5 mm and about 19.05 mm; have a total Al content of less than about 14,200 mg/kg (dry); and/or have a dry bulk specific gravity of about 2.1 to about 2.5, a saturated surface dry specific gravity of about 2.2 to about 2.6, an apparent specific gravity of about 2.3 to about 2.7, and an absorption of about 2.0% to about 5.0%. Following separation with the one or more separation devices, WTE BA aggregates or a WTE BA aggregate fraction can be optionally be placed in a lined unit for curing and aging. The unit can be of any material of suitable strength to retain and/or hold an aqueous mixture containing ash. The lining of the unit can be any suitable material that does not permit the passage of water and/or liquid between an aqueous suspension in the lined unit and the unit itself. The lining can be a hydrophobic material and can be a material that does not absorb water or other liquids. The lining of the unit can be constructed of plastic or a plastic-like polymer. The lined unit can be designed to collect and/or retain rainwater or other moisture from the atmosphere. The lined unit can be constructed and/or configured to receive water from a water source. The lined unit can be constructed and/or configured to receive carbon dioxide (CO2) from a carbon dioxide source (CO2source). An example of a lined unit is shown inFIG.2. Once in the lined unit, WTE BA aggregates or aggregate fraction can optionally be mixed with water to form an aqueous suspension. The water can be rainwater that is collected in the lined unit, or can be another type of water from a water source that is delivered into the lined unit, such as water from a well or a reservoir. Over a period of time, the pH of the aqueous suspension, which can generally be alkaline, can decrease and the WTE BA aggregates and/or aggregate fraction cures. The pH of the aqueous suspension can be measured by a pH measuring device. The pH measuring device can be any pH measuring device known in the art, such as litmus paper or a commercially available pH meter. WTE BA aggregates or aggregate fraction in an aqueous solution with a pH of about 10.5, or about pH 8.0 to about pH 11.0, can be suitable for use in PCC and HMA. WTE BA aggregates or aggregate fraction in an aqueous solution with a pH of about 10.5 to about 9.5 can be suitable for use in PCC and HMA. WTE BA can be left in the lined unit in the presence of water until the pH of the aqueous solution is about 11.0 or about 10.5 or less basic. As the WTE BA aggregate and/or aggregate fraction in the aqueous solution cures, regions of low solubility within the aggregate and/or aggregate fraction can be created for heavy metals (such as Sb, Al, and Pb) contained within the aggregates and/or aggregate fraction. Water in or added to the aqueous suspension can also create a washing effect and decrease the concentration of other contaminants (such as molybdenum, Mo and chloride, Cl−) in the WTE BA. It may be possible to base the endpoint for curing WTE BA in an aqueous suspension as herein described by changes in concentrations of the heavy metals and contaminants in the WTE BA aggregates or aggregate fraction or the aqueous suspension. WTE BA aggregates can be reacted with carbon dioxide (CO2) so that the WTE aggregate fraction or WTE BA aggregates within an aggregate fraction are carbonated. Carbonation of the aggregates can reduce hydrogen gas formation or other detrimental effects of the WTE BA aggregates in materials related to road construction. A WTE BA aggregate fraction as described herein can be used in road construction as a part of granular base course. In an example, a WTE BA aggregate fraction used as a partial aggregate replacement in granular base course has a total Al content of about 25,000 mg/kg (dry) or less and the WTE BA aggregate fraction contains WTE BA aggregates sized from about 9.5 mm to about 19.05 mm. In an embodiment, a WTE BA aggregate fraction as described above or otherwise herein is aged in a lined unit with water as an aqueous solution until the pH of the aqueous solution is about 10.5 or about pH 8.0 to about pH 11.0, and then is used as a granular base course. In an embodiment, a WTE BA aggregate fraction as described above or otherwise herein is reacted with CO2from a CO2source and then used as a granular base course. WTE BA aggregates can be mixed with tricalcium silicate, dicalcium silicate, tricalcium aluminate, tetracalcium aluminoferrite, free calcium oxide, sulfur trioxide, and/or gypsum to form a PCC mixture. In an example, WTE BA aggregates or WTE BA aggregate fractions as described herein can be mixed with cement type I/II, lime rock, sand, and water to form a PCC mixture. In an embodiment, the PCC mixture as previously described can be admixed with coal fly ash. In an embodiment, coal fly ash is admixed with the PCC mixture at about 2% to about 4% or about 2.63% of the total PCC mixture by weight.FIG.14shows an embodiment of a PCC mixture according to the present disclosure. In an example, WTE BA aggregates or WTE BA aggregate fractions as described herein can be mixed with cement type I/II, crushed stone, sand, and water to form a PCC mixture. In an example, WTE BA aggregates or WTE BA aggregate fractions as described herein can be mixed with cement type I/II, gravel, sand, and water to form a PCC mixture. In an example, WTE BA aggregates or WTE BA aggregate fractions as described herein can be mixed with cement type I/II, recycled concrete aggregate, sand, and water to form a PCC mixture. In an embodiment, silica fume is admixed with the PCC mixture at about 0.5% to about 1.5% or about 0.8% of the total PCC mixture by weight. WTE BA aggregates can be mixed with RAP, course aggregate, screenings, and sand to form a HMA mixture. Examples of asphalt mixes and mix properties according to the present disclosure can be seen inFIGS.15(a) and15(b). WTE BA aggregates can be mixed with course aggregate, screenings, and sand to form a HMA mixture. WTE BA aggregates can be mixed with recycled asphalt pavement (RAP), gravel, screenings, and sand to form a HMA mixture. WTE BA aggregates can be mixed with RAP, crushed stone, screenings, and sand to form a HMA mixture. WTE BA aggregates can be mixed with RAP, lime rock, screenings, and sand to form a HMA mixture. WTE BA aggregates can be mixed with RAP, lime rock, and sand to form a HMA mixture. WTE BA aggregates can be mixed with RAP, gravel, and sand to form a HMA mixture. WTE BA aggregates can be mixed with RAP, crushed stone, and sand to form a HMA mixture. In embodiments, PCC or HMA mixtures can be formed with a WTE BA aggregate fraction having a total Al content about 25,000 mg/kg (dry) or less, and the WTE BA aggregate fraction contains WTE BA aggregates sized between about 9.5 mm to about 19.05 mm. PCC or HMA mixtures can be formed according to the embodiments above, where the WTE BA aggregate fraction is also optionally aged in a lined unit in an aqueous suspension until the aqueous suspension has a pH of about 11 or less basic. PCC or HMA mixtures can be formed according to the embodiments above, wherein the WTE BA aggregate fraction is also reacted with CO2from a CO2source. A CO2source as described herein can be the atmosphere. PCC or HMA can include a WTE BA aggregate fraction as described herein at about 50% or less of the total mixture. A WTE BA aggregate fraction can be about 0.001% to about 50% of the total mixture in PCC or HMA. A WTE BA aggregate fraction can be about 5% to about 45% of the total mixture in PCC or HMA. A WTE BA aggregate fraction can be about 10% to about 40% of the total mixture in PCC or HMA. A WTE BA aggregate fraction can be about 15% to about 35% of the total mixture in PCC and HMA. A WTE BA aggregate fraction can be about 20% to about 30% of the total mixture in PCC or HMA. A WTE BA aggregate fraction can be about 25% of the total mixture in PCC or HMA. Optionally, a WTE BA aggregate fraction as described herein can be admixed with coal fly ash in compositions for road construction, such as PCC and/or HMA, and the WTE BA aggregate fraction admixed with coal fly ash can be non-aged or aged, and optionally reacted with CO2. Coal fly ash admixed with WTE BA for PCC or HMA can have the following specifications: a total mineral composition of SiO2, Al2O3, and Fe2O3greater than 70% by mass, maximum SO3content of 4.0% (by mass), maximum moisture content of 3%, and maximum loss on ignition of 6%. In accordance with ASTM C618 the physical requirements for Class F coal fly ash include: <34% of the material retained on a 45 um (No. 325) sieve when wet-sieved (with a variation of less than 5% points from average). A strength activity index (done in accordance with ASTM C311) of 75% or greater when compared to the control cements at 7 and 28 days, and a water requirement of less than 105% of the control. Also described herein is a method for altering the elemental composition of WTE BA. The method can be comprised of the steps of separating WTE BA with one or more separation devices. In an embodiment, WTE BA can be separated with a separation device that removes WTE BA aggregates and/or particulates smaller than about 9.5 mm to produce an aggregate fraction. The separation device can be a ⅜ inch sieve. The aggregate fraction can then be separated with a second separation device to remove WTE BA aggregates and/or particulates about 19.05 mm in seize or greater. WTE BA separation can reduce to the total Al content of the resulting WTE BA aggregate fraction to less than 25,000 mg/kg (dry) or less than 14,200 mg/kg (dry). In another embodiment, the order of the separation steps are be reversed. In an embodiment, the aggregate fraction that remains after separation can be reacted with CO2from a CO2source to further alter the composition. The CO2source can be the atmosphere. In another embodiment, the aggregate fraction that remains after separation can be aged to further alter the composition by placing the aggregate fraction in a lined unit and mixing the aggregate fraction with water to form an aqueous suspension. The WTE BA aggregate fraction can remain in the aqueous mixture in the lined unit until the pH of the aqueous suspension is about 10.5 or about pH 7.0 to about pH 11.0. The resulting WTE BA aggregate fraction can then be used in road construction, either as a granular base course or in PCC or HMA or other materials as described herein, with or without the optional CO2reaction steps or aging steps. EXAMPLES Now having described the embodiments of the disclosure, in general, the examples describe some additional embodiments. While embodiments of the present disclosure are described in connection with the example and the corresponding text and figures, there is no intent to limit embodiments of the disclosure to these descriptions. On the contrary, the intent is to cover all alternatives, modifications, and equivalents included within the spirit and scope of embodiments of the present disclosure. Example 1 1.0 Introduction It is well known that waste-to-energy (WTE) bottom ash (BA) represents a potential material for inclusion into the circular economy and that this resource is underutilized in many parts of the world [1]. Many uses for WTE BA have focused on reuse as a component in roadway construction projects including: the use of WTE BA as a roadway base course [1-4] or as an aggregate replacement in hot mix asphalt (HMA) or Portland cement concrete (PCC) pavements [5-10]. The use of recycled WTE BA as a road base course can result in the release of relatively small amounts of certain trace elements into the environment [2, 3, 11]. Additionally, because heavy metals are enriched in the ash during the combustion processes, total concentrations of certain trace elements have been found to exceed risk-based concentration thresholds for direct human exposure (depending on the thresholds used) [1, 12, 13]. One avenue to mitigate some of the potential concerns (leaching and direct human exposure risks) related to the beneficial use of WTE BA in roadway construction projects is to encapsulate the material inside an asphaltic or concrete matrix. Encapsulation of WTE BA and other similar combustion residues has been demonstrated to decrease contaminant leaching into the environment [6, 8, 14, 15] and to reduce direct human exposure risk by minimizing the opportunity for contact. Research has illustrated that the use of WTE BA as a partial aggregate replacement in HMA [7, 8, 14, 16-21] and PCC [5, 6, 9, 10, 22] is feasible. However, studies have pointed to possible deleterious effects when the material is added in high replacement quantities (> approximately 30%). These effects include an increase in binder content when the material is added to HMA [8, 17, 18] and hydrogen gas generation, resulting in spalling and decreased compressive strength, when added to PCC [9, 10, 22]. The risk of contamination to water supplies from a waste material is typically assessed using a leaching test. Batch leaching tests provide essential information on contaminant release from size-reduced waste materials, but are often considered a conservative estimate of trace element leaching from a waste placed as part of a large, semi-impermeable monolith (such as a pavement) [23, 24]. Test methods have been developed to better assess element release from waste materials in these forms [23, 25]; these tests allow for the measurement of the time dependent release of elements and the calculation of observed elemental diffusivity [23, 25]. Monolithic leaching tests have been used by a number researches to evaluate trace element mobility from waste materials that have been encapsulated with asphalt or cement [15, 26-30]. In an effort to further the body of scientific knowledge related to WTE ash reuse, a pilot project was conducted for a municipality in Florida during the summer of 2014. This project involved the construction of a series of roadway test strips, two of which incorporated WTE BA as a partial aggregate replacement in pavements (one in HMA and one in PCC). Control tests strips were constructed using the same mix designs except that virgin construction materials were used for the portion of the aggregate previously replaced with ash. This provided the research team with the unique opportunity to sample ash-amended and control pavements (both HMA and PCC) produced at full scale batch plants using the same base mix design. Additionally, it allowed for the comparison of element release between HMA and PCC. Although comparisons have been made between ash-amended and control pavements (HMA or PCC) this study represents a novel contribution in comparing the relative degree of encapsulation between the two pavement types Samples of both the control and ash-amended HMA and PCC were taken during the pilot project; batch and monolithic leaching tests and total environmentally available element composition were conducted. This data was used to quantify the relative risk posed by these materials when placed as a pavement, as well as assess any increased leaching risk that might occur when the material was crushed (a common method of recycling HMA and PCC). A comparison of the element release from the ash-amended pavements to the pavements constructed with the virgin materials was performed to assess whether any of the constituents of potential concern (COPC) were a consequence of the inclusion of the WTE BA into the pavement structure. The leachability index (LI), an indicator of the mobility of trace elements from a monolith (in a diffusion controlled scenario), was calculated for the pavements along with the observed elemental diffusivity. The results of this study provide valuable insight into contaminant release from ash-amended products and are of significance to parties (scientists, municipalities, regulators, and industry) interested in moving forward with ash reuse in these types of applications. 2.0 Materials and Methods 2.1 Facility Description, Sample Collection, and Pavement Design The ash used in the construction of the pilot scale roadway originated from a 1,000 ton per day, mass burn WTE facility in Florida, US. Following combustion, this facility employs both ferrous and non-ferrous metals recovery; this is conducted on solely the bottom ash (as opposed to metals recovery being conducted on the mixed ash stream, typical of many US WTE facilities). The result of this practice is an increased percentage of metals recovery; this is important as it is known that the fraction of metals contained in WTE ash can have a significant impact on its leachability [31]. A grain size distribution of the “as-used” ash, description of the ash processing, the HMA and PCC mix designs, and photographs of roadway construction are provided in the supplementary information (SI) section available following the references. Prior to use, the material was aged for a period of 2.5 months, representative samples were collected from the aging pile for characterization prior to production of the HMA and PCC. The screened BA was used as a 20% replacement of the course aggregate in the PCC mixture (13% by mass) and 20% of the aggregate in the HMA mixture (19% by mass). PCC samples were collected from each truck in accordance with ASTM C172 [32]. HMA samples were collected by coring the roadway at three locations (representing the beginning, middle and end of the pavements) approximately one week after placement. 2.2 Total Concentration The total environmentally available concentration of the elements in the pavements and WTE BA were assessed using EPA method 3050b, five replicates of each material (PCC, HMA and BA) were tested. The digestion entails heating the samples at a constant temperature of 95±5° C. while adding nitric and hydrochloric acids, as well as hydrogen peroxide [33]. 2.3 Leaching Tests EPA method 1312, the synthetic precipitation leaching procedure (SPLP), was conducted on the HMA and PCC pavements as well as the WTE BA. The SPLP is a batch leaching test conducted at an “as is” liquid to solid (L/S) ratio of 20:1 with samples size reduced to pass a 9.5 mm sieve prior to testing; all SPLP testing was conducted on a homogenized sample representing samples either taken from all of the trucks (PCC) or cores from each of the three locations of the roadway (HMA), SPLP tests were conducted in triplicate. Determination of mass flux and observed diffusivity from monolith leaching tests is an approach that has been used by a number of researches when evaluating COPC release from media where mobility would likely be to be governed by diffusion [e.g., a waste incorporated in concrete or treated with solidification/stabilization (S/S)] [27, 34-36]. A similar approach was included as a part of the US-EPA's new compendium of leaching tests and was used in this study [25]. EPA method 1315 (monolith test), was conducted on the intact HMA and PCC samples. Method 1315 is a tank test where an intact sample is submerged in a vessel with reagent water, and the water is renewed at set time intervals (0.08, 1.0, 2.0, 7.0, 14, 28, 42, 49, and 63 days), all method 1315 tests were conducted in triplicate [33]. The samples tested were cylindrical in shape, with a diameter of 10.16 cm and ranged in height from 9.52 to 10.16 cm for the PCC samples and 6.19 to 7.77 cm for the HMA samples. A liquid to exposed surface area ratio (L/SA) of 9±1 mL reagent water per cm2of pavement area was used throughout the method 1315 test. The equations for the calculation of the cumulative mass release, flux, and observed diffusivity from the results of method 1315 can be found in the SI section. To calculate the observed elemental diffusivity for each interval (Diobs) from the pavement samples, an analytical solution derived from Crank (1975) [37] for diffusion from a cylinder into an infinite bath was used. The leachability index (LI) is calculated by taking the −1*log10(Diobs), where Diobsis expressed in units of cm2/s; wastes with a leachability index of <6.5 are considered to have high mobility, 6.5<L<8.0 moderate mobility, and L>8.0 limited mobility [28, 36, 38, 39]. 2.4 Analytical Methods Leachate samples were prepared for analysis by conducting an acid digestion in accordance with EPA Method 3010a [33] (Acid Digestion of Aqueous Samples and Extracts for Total Metals for Analysis by FLAA or ICP Spectroscopy). Following the digestion, all samples (leaching test extracts and total digestions) were analyzed for the following inorganic elements: Al, As, B, Ba, Be, Ca, Cd, Cr, Cu, Fe, K, Mg, Mn, Mo, Na, Ni, Pb, Sb, Se, Sn, Sr, V, and Zn using Inductively Coupled Plasma—Atomic Emission Spectrometry (ICP-AES) (Thermo iCAP 6200 Atomic Emission Spectrometer). 2.5 Risk Assessment Approach Often times, as a mechanism of quantifying the relative risk associated with the beneficial use of a waste material, leachate concentrations are compared to regulatory thresholds. In this study, the Florida Groundwater Cleanup Target Levels (GCTL) were used as the regulatory threshold for comparison; many of these thresholds are set at the US primary drinking water standards. The GCTLs were not specifically developed for a beneficial use assessment, but do represent a conservative method for a screening analysis to identify COPC [40]. 3.0 Results and Discussion 3.1 Batch Leaching Tests Al, Mo, and Sb were the three elements which leached above GCTLs in the WTE BA and were identified as COPCs along with Pb, an element known to leach from fresh WTE BA due to its high pH [1]; the mean SPLP pH for the WTE BA was 10.97. Similarly Al, Mo and Sb were found to leach above their respective GCTLs in the SPLP for one or more of the pavement samples. The results of the SPLP tests are provided inFIG.6. The incorporation of the BA to the PCC did not cause any additional elements to leach above their respective risk thresholds. Mo, the only element with a health-based risk threshold found to leach above its GCTL, was present at higher concentrations in the control SPLP leachates than in the ash-amended leachates. Pb, an element known to be highly soluble in BA in the elevated pH range seen (11.5-12.0) [41] did leach; however, the measured concentrations were low, indicating that even when the material was size reduced, Pb (and other trace elements present in the BA) can be bound in the PCC matrix. The total environmentally available concentrations of select elements (Al, Sb, Pb, Mo) in the pavements are reported inFIG.7. The total concentrations, along with variations in pH between the PCC and HMA, help to elucidate differences in the SPLP tests. Mo, found naturally in PCCs and known to be present as an oxyanion [42], was measured at a higher total concentration in the control PCCs (compared to the ash-amended PCCs). A higher concentration could likely have resulted in the increased leaching of Mo seen in the controls. Total concentrations of Al were found to be higher in the ash-amended PCC, as Al is known to be present in BA in significant quantities (as seen inFIG.7) [43]. The speciation of the Al and other elements in the PCC could drive leaching along with concentration and pH, prior study has reported the formation of aluminum carbonate hydrates (Ca4Al2O6(CO3)0.5·12H2O; Ca8Al4O14·12H2O) [22] in WTE BA amended concrete which could be soluble at the pH values seen here. Sb and Al can be found in concentrations above their respective GCTLs for the ash-amended HMA samples. Magee et al. (1999) found that the SPLP pH of ash-amended HMA (10.4) was approximately 0.4 pH points higher than that of controls [16]. The data here differed from what was observed in the previous study; however, a different ash sample was used and the natural pH of the control HMA (from Magee et al.) was elevated with respect to the natural pH seen here. In regards to the pH, it may be possible that the alkalinity of the BA can influence the leachate pH, as the SPLP of the WTE BA can be higher than the values measured for the HMA samples. The ash-amended HMA was found to leach Al and Sb in different amounts than controls. Sb, present in the BA [44], was not detected in the totals analysis for the control pavements. Differences in Al leaching could be due to the difference in pH (≈1.5), which can create a more favorable environment for Al release. The ash-amended HMA was found to leach in different amounts than the ash-amended PCC, even if the differences in ash replacement percentage were accounted for (HMA-19% PCC-13%, a 6% difference). For Sb, Sb release could be more favorable at a lower pH value [45]. The opposite may be true for Al however, as the pH observed for the PCCs could be more likely to facilitate Al release [41]. Because of this, it may be possible that there are other mechanisms which could potentially act to reduce leaching of the ash-amended PCC. The reactivity of BA when placed in PCC has been reported by a number of authors [5, 6, 9, 10], and these reactions could potentially chemically bind certain trace elements (such as Pb, which would be suitable to be released at pH of 12) within the PCC matrix. Additionally the permeability of PCC can be several orders of magnitude lower than that of HMA [46, 47], and therefore reduced leaching of elements due to a slower rate of moment of water within the material could be possible. Batch leaching tests conducted on the ash-amended pavements indicated that certain COPC (Al, Mo, Sb) were found to leach above the regulatory risk thresholds used in this assessment. For the PCC pavements, the control samples were also found to leach concentrations of these elements above GCTLs, indicating that no additional COCPs were created by the inclusion of the BA. Ash-amended HMA did leach Sb, in concentrations less than an order of magnitude over its GCTL which was not seen in the control samples. The crushed material form used in the SPLP test would be a conservative method of estimating COPC release when the material is placed as a pavement, but may provide important data on element release if the pavement materials were to be crushed or milled for reuse or disposal. In these types of applications, appropriate considerations for the management of these residues would need to be taken into evaluation. Weathering of pavements over their lifetime would likely result cracking and an associated increase in exposed surface area. However, as the material would still be in a monolithic, instead of a granular form (e.g. a milled pavement) the calculated flux from the 1315 test (mass leached/area) could still be used as a input concentration provided the increase in exposed surface area (due to cracking) was accounted for appropriately. 3.2 Element Release from Monolithic Pavements EPA Method 1315 was conducted to evaluate COPC release from the pavements in their intact form to: (i) quantify the differences in release between ash-amended PCC and HMA (ii) provide a comparison of the cumulative mass release [MCumu(mg-COPC/kg-dry-pavement)] between the monolith and the batch tests, (iii) assess the mechanisms of element release from the pavements (diffusion, dissolution), and (iv) determine the Dobsand LI to quantify the release rates of diffusion controlled elements. The pH of the control PCC concrete pavements (in the monolith test) began at a mean value of 10.25 (day 0.08) and decreased throughout the course of the test to a final pH of 8.65 (day 63); this was similar to the trend observed in the ash-amended PCCs, where the pH began at 10.20 (day 0.08) and decreased to a final pH of 8.85 (day 63).FIG.8presents the method 1315 pH and COPC leaching data for the PCC pavements. Similar to the results of the SPLP test Sb was not detected in any of the PCC samples despite the decrease in pH seen throughout the test. Sb may be well encapsulated in the PCC matrix. Pb was not measured in the method 1315 test, and the release of Pb from the batch tests could be related to the increased surface area of the PCC (due to size reduction) or the increased pH of the batch extractions. FIG.9displays the pH and COPC concentrations for the HMA asphalt pavements. The pH values for the control and ash-amended HMAs became more acidic over time. The pH of the HMA pavements in the monolith test displayed a different trend seen for the HMA pavements in the SPLP; for the monolith test, the pH values for the ash-amended and control samples were similar. For both the control and ash-amended HMA, the concentrations of Al were found to be above the GCTL in all instances. Leached Al concentrations were higher for the ash-amended HMA with respect to the control; however, the large discrepancy in leached concentrations (over an order of magnitude) between the control and ash amended samples (seen in the SPLP) was not observed in the monolith test. The pH differences between the monolith and SPLP test could be one of the factors that resulted in lower concentrations of Al leached in the monolith test. Similarities in pH between the ash-amended and control monoliths highlight that other factors besides pH (i.e. the concentrations of Al contained in the samples and potentially the speciation of the Al in the pavement) may contribute to the increased Al leaching seen in the ash-amended HMA. Concentrations of Sb in the ash-amended samples were found to be above the GCTLs for half of the leaching intervals (FIG.9). As a general trend, leached concentrations of Sb increased over time. For all of the leaching intervals, Sb concentrations were within the same order of magnitude as the GCTL. Mo and Pb leaching from the ash amended HMA was similar to the results seen in the SPLP extractions, Pb was found to leach below detection limits in all intervals and Mo was measured in low concentrations throughout the course of the tests. 3.2.1 Cumulative Mass Release in Batch and Monolith Testing FIG.3presents the MCumuof Al for the ash-amended and control pavements (HMA and PCC) in Method 1315. For comparison, the cumulative mass release (mg/kg) from the SPLP tests is provided inFIG.6. The cumulative release of Mo from the PCCs in Method 1315 was found to be similar (0.262 mg/kg—ash-amended, 0.255 mg/kg—control) for both of the pavements (ash and control); this data, along with the data seen in the SPLP test, suggest that the leaching of Mo may primarily be from components of the PCC and not a result of the addition of the BA into the PCC matrix. Previous study on the leaching of recycled PCC aggregate (RCA) by Engelsen et al., (2010) found Mo release (in the same pH region observed here) to be within the same order of magnitude as the values measured in the monolith leaching test [42]. Sb MCumufrom the monolithic sample (0.172 mg/kg) was again different than the SPLP test (0.701 mg/kg), despite a lower pH that might be more conducive to the leaching of Sb as an oxyanion. In all instances, leaching the pavements in their monolithic form can result in a substantial reduction in element release in comparison to the batch tests. A large pH difference was not seen for the monolith; this may be one factor that resulted in less of a difference in MCumubetween the ash-amended and control HMAs. The similarity in the measured pH values for both the HMA samples could be related to the fact that the BA is encapsulated during the monolith test, while once the sample is size-reduced (for the SPLP), a portion of the BA could become exposed resulting in the observed increase in pH. 3.2.2 Release Mechanisms for COPC in HMA and PCC Pavements The results of Method 1315 demonstrate that the release of COPC from the pavements could be markedly reduced if the materials were contacted with water in their monolithic form. To determine the release mechanisms for the COPC present, an approach developed by De Groot and Van der Sloot was employed [48]. Similar approaches have been used to evaluate the release mechanisms for a number of stabilized waste produces [28, 36, 38]. First, a graph of the Miand the cumulative leaching time was plotted on a log-log scale; next the slope of the line was determined through regression analysis. The slope was then used as an indicator of the leaching mechanism present; for diffusion to be indicated as the governing release mechanism, the slope of the line needs to fall between 0.35 and 0.65. A slope of greater than 0.65 indicates dissolution, while a slope less than 0.35 indicates depletion as the dominant form of release [25, 38, 48]. The first leaching interval (0.08 days) was omitted from the regression analysis as it was not conducted for all of the pavement types. FIG.4presents the log-log comparison of Miand the cumulative leaching time for Al release from the PCC pavements. The slope of lines and the R2values are indicated in the figure. In all instances, the R2values were greater than 0.94, indicating a good fit of the regression to the measured data points. For all of the pavements tested (HMA and PPC), the release mechanism for Al may be indicated as diffusion; Sb release from ash-amended HMA may also be found to be governed by diffusion (R2=0.991, Slope-0.519). Shown inFIG.5is the log-log comparison and regression analysis for Mo release from the PCC pavements. For both the ash-amended and control pavements, depletion could be the dominate release mechanism, as the slopes of both lines were less than 0.35. These result supports that Mo release may be related to components in the PCC. The release of Mo can be found to be governed by depletion from the material, and not by the diffusion of the element through the PCC matrix. Galvin et al. (2014) evaluated leaching of concrete produced with recycled aggregates and found the slope in the later stages of a tank leaching test to be similarly governed by depletion [49]. If Mo release were to be attributed to the inclusion of the BA, it may be expected to be released through diffusion, as the BA is encapsulated within the PCC matrix. Diffusion can be the primary release mechanism for waste materials encapsulated in PCC or treated with S/S [28, 30]. This can support the trends seen for Al in all pavement types as the inclusion of the BA was not found to change the dominant release mechanism in any instance. There are limited data available related to the release mechanisms for waste materials encapsulated in HMA pavements. It is important to note that the slope of the regression line for the control and ash amended HMA did increase from 0.42 (control) to 0.606 (ash-amended HMA). This is consistent with the increased LI seen for the ash-amended HMA and could suggest that other WTE BA samples could potentially result in a change to the Al release mechanism present. Release due to diffusion, seen for Sb (in the ash-amended HMAs) illustrates that Sb mass transfer can occur by the migration of Sb through the pore structure of the HMA (driven by a concentration gradient), the dominant mechanism of constituent release that can be present in other methods of waste encapsulation. 3.2.3 Observed Diffusivity (Diobs) and Leachability Index (LI) FIG.10presents the min, max, and mean values of the LI and Diobsfor Al and Sb for the ash-amended HMA. The diffusion coefficients for Sb in the ash-amended HMA pavements ranged from 1.66×10−10to 2.87×10−9cm2/s, when classified using the LI these values fell between 8.5 and 9.75. Based on the results of the LI the mobility of Sb in the HMA can be characterized as low (LI>8). Although both of the LI's (ash-amended and control HMAs) indicated that Al release from diffusion may be limited, there was an observable increase related to the addition of the BA. These results support that the inclusion of the BA (into the HMA) can facilitate an increase in Al release and mobility. For the PCC pavements the LI and Dobsvalues did not vary dramatically between the ash-amended and control samples with mean values on the order of (1×10−12cm2/s). Therefore, the diffusivity of Al in the PCC matrix may not be substantially affected by the BA addition. The calculated Lis for the COPCs were found to be below the threshold for low mobility. With respect to Sb, this supports the results of the Method 1315 test, which indicate that the release of Sb into the environment could be limited when material is in its monolithic form. Al mobility was also low, however, differences in LI and Diobswere observed between the ash amended and control HMAs, supporting the difference in cumulative Al release can be seen in the monolith leaching test. 4.0 Conclusions Overall, encapsulation of the BA in the PCC matrix proved encapsulation can be a suitable method of reducing the leachability of COPC from the ash. HMA samples amended with WTE BA can exhibit a certain degree of leaching, however, results from this study support that when in its monolith form, element mobility from the pavements could be very limited. It is important to note that all leaching tests are designed as tools to determine the magnitude of aqueous release for a particular media (e.g. WTE amended pavement). These concentrations can then be used in a fate and transport evaluation to assess environmental risk, which could be heavily dependent on the site hydrogeologic conditions (e.g. aquifer depth and thickness) and construction practices. Therefore, it is recommended that this type of evaluation be conducted, prior to beneficial use of these materials in practice. This study illustrates that both PCC and HMA used as a partial aggregate replacement in pavement construction can encapsulate potential COPC within the pavement matrices to some degree. REFERENCES [1] C. C. Wiles, Municipal solid waste combustion ash: State-of-the-knowledge, J. Hazard. Mater. 47 (1996) 325-344.[2] D. Dabo, R. Badreddine, L. De Windt, I. Drouadaine, Ten-year chemical evolution of leachate and municipal solid waste incineration bottom ash used in a test road site, J. Hazard. Mater. 172 (2009) 904-913.[3] L. De Windt, D. Dabo, S. Lidelöw, R. Badreddine, A. Lagerkvist, MSWI bottom ash used as basement at two pilot-scale roads: comparison of leachate chemistry and reactive transport modeling, Waste Manage. 31 (2011) 267-280.[4] R. Forteza, M. Far, C. Segui, V. Cerda, Characterization of bottom ash in municipal solid waste incinerators for its use in road base, Waste Manage. 24 (2004) 899-909.[5] R. Cioffi, F. Colangelo, F. Montagnaro, L. Santoro, Manufacture of artificial aggregate using MSWI bottom ash, Waste Manage. 31 (2011) 281-288.[6] O. Ginés, J. Chimenos, A. Vizcarro, J. Formosa, J. Rosell, Combined use of MSWI bottom ash and fly ash as aggregate in concrete formulation: environmental and mechanical considerations, J. Hazard. Mater. 169 (2009) 643-650.[7] N. W. Garrick, K. Chan, Evaluation of domestic incinerator ash for use as aggregate in asphalt concrete, Transp. Res. Rec. (1993).[8] C. Huang, C. Chiu, K. Li, W. Yang, Physical and environmental properties of asphalt mixtures containing incinerator bottom ash, J. Hazard. Mater. 137 (2006) 1742-1749.[9] U. Müller, K. Rübner, The microstructure of concrete made with municipal waste incinerator bottom ash as an aggregate component, Cem. Concr. Res. 36 (2006) 1434-1443.[10] J. Pera, L. Coutaz, J. Ambroise, M. Chababbet, Use of incinerator bottom ash in concrete, Cem. Concr. Res. 27 (1997) 1-5.[11] O. Hjelmar, J. Holm, K. Crillesen, Utilisation of MSWI bottom ash as sub-base in road construction: First results from a large-scale test site, J. Hazard. Mater. 139 (2007) 471-480.[12] C. Saranko, C. Halmes, K. Tolson, S. Roberts, Development of Soil Cleanup Target Levels (SCTLs) for Chapter 62-777, FA C, Center for Environmental & Human Toxicology, University of Florida: Gainesville FL (1999).[13] US EPA OSWER, Supplemental Guidance for Developing Soil Screening Levels for Superfund Sites (2002).[14] J. Chen, P. Chu, J. Chang, H. Lu, Z. Wu, K. Lin, Engineering and environmental characterization of municipal solid waste bottom ash as an aggregate substitute utilized for asphalt concrete, J. Mater. Civ. Eng. 20 (2008) 432-439.[15] J. B. Hayes, J. Wang, J. G. Roessler, C. C. Ferraro, C. Wu, D. Deford, T. G. Townsend, Evaluation of leaching of trace metals from concrete amended with cement kiln baghouse filter dust, Resour. Conserv. Recycling. 94 (2015) 92-98.[16] B. H. Magee, J. Hahn, C. M. Jones, G. Murata, Environmental testing of municipal solid waste ash-amended asphalt. Proceedings of the 1999 North American Waste to Energy Technical Conference, (1999) 103-118.[17] V. Ogunro, H. Inyang, F. Hooper, D. Young, A. Oturkar, Gradation control of bottom ash aggregate in superpave bituminous mixes, J. Mater. Civ. Eng. 16 (2004) 604-613.[18] C. N. Musselman, T. Taylor Eighmy, D. L. Gress, M. P. Killeen, The New Hampshire Bottom Ash Paving Demonstration US Route 3, Laconia, N. H. 16 (1994) 83-83.[19] M. M. Hassan, H. A. Khalid, Compressive Deformation Behaviour of Asphalt Mixtures Containing Incinerator Bottom Ash Aggregate, Road Materials and Pavement Design. 11 (2010) 633-652.[20] S. W. Goh, Z. You, A preliminary study of the mechanical properties of asphalt mixture containing bottom ash, Canadian Journal of Civil Engineering. 35 (2008) 1114-1119.[21] S. W. Goh, Z. You, The Dynamic Modulus of Asphalt Mixture with Bottom Ash Aggregates (2008) 1008-1015.[22] X. Qiao, B. Ng, M. Tyrer, C. Poon, C. Cheeseman, Production of lightweight concrete using incinerator bottom ash, Constr. Build. Mater. 22 (2008) 473-480.[23] D. Kosson, H. Van der Sloot, F. Sanchez, A. Garrabrants, An integrated framework for evaluating leaching in waste management and utilization of secondary materials,Environ. Eng. Sci. 19 (2002) 159-204.[24] D. S. Apul, K. H. Gardner, T. T. Eighmy, A probabilistic source assessment framework for leaching from secondary materials in highway applications, Clean technologies and environmental policy. 5 (2003) 120-127.[25] A. C. Garrabrants, S. A. Thorneloe-Howard, Background Information for the leaching environmental assessment framework (LEAF) test methods, US Environmental Protection Agency, Office of Research and Development, National Risk Management Research Laboratory, 2010.[26] V. Bednarik, M. Vondruska, M. Koutny, Stabilization/solidification of galvanic sludges by asphalt emulsions, J. Hazard. Mater. 122 (2005) 139-145.[27] F. Sanchez, C. Gervais, A. C. Garrabrants, R. Barna, D. S. Kosson, Leaching of inorganic contaminants from cement-based waste materials as a result of carbonation during intermittent wetting, Waste Manage. 22 (2002) 249-260.[28] T. S. Singh, K. K. Pant, Solidification/stabilization of arsenic containing solid wastes using portland cement, fly ash and polymeric materials, J. Hazard. Mater. 131 (2006) 29-36.[29] E. L. National Renewable, University of New Hampshire Environmental, Research Group, The Laconia, N. H. Bottom Ash Paving Project, National Renewable Energy Laboratory, Golden, CO, 1996.[30] A. C. Garrabrants, D. S. Kosson, R. DeLapp, H. A. van der Sloot, Effect of coal combustion fly ash use in concrete on the mass transport release of constituents of potential concern, Chemosphere. 103 (2014) 131-139.[31] W. N. Oehmig, J. G. Roessler, J. Zhang, T. G. Townsend, Effect of ferrous metal presence on lead leaching in municipal waste incineration bottom ashes, J. Hazard. Mater. 283 (2015) 500-506.[32] ASTM International, Standard Practice for Sampling Freshly Mixed Concrete, ASTM (2014).[33] US EPA, Test Methods for Evaluating Solid Waste, Physical/Chemical Methods, sw846 (2014).[34] D. Kosson, H. Van der Sloot, F. Sanchez, A. Garrabrants, An integrated framework for evaluating leaching in waste management and utilization of secondary materials, Environ. Eng. Sci. 19 (2002) 159-204.[35] F. Sanchez, C. Mattus, M. Morris, D. Kosson, Use of a new leaching test framework for evaluating alternative treatment processes for mercury-contaminated soils, Environ. Eng. Sci. 19 (2002) 251-269.[36] D. Dermatas, D. H. Moon, N. Menounou, X. Meng, R. Hires, An evaluation of arsenic release from monolithic solids using a modified semi-dynamic leaching test, J. Hazard. Mater. 116 (2004) 25-38.[37] J. Crank, The mathematics of diffusion (1975).[38] J. Torras, I. Buj, M. Rovira, J. de Pablo, Semi-dynamic leaching tests of nickel containing wastes stabilized/solidified with magnesium potassium phosphate cements, J. Hazard. Mater. 186 (2011) 1954-1960.[39] V. Dutré, C. Kestens, J. Schaep, C. Vandecasteele, Study of the remediation of a site contaminated with arsenic, Sci. Total Environ. 220 (1998) 185-194.[40] Florida Department of Environmental Protection, Florida Groundwater and Surfacewater Cleanup Target Levels F.A.C 62-777.[41] J. A. Meima, R. N. Comans, Geochemical modeling of weathering reactions in municipal solid waste incinerator bottom ash, Environ. Sci. Technol. 31 (1997) 1269-1276.[42] C. J. Engelsen, H. A. van der Sloot, G. Wibetoe, H. Justnes, W. Lund, E. Stoltenberg-Hansson, Leaching characterisation and geochemical modelling of minor and trace elements released from recycled concrete aggregates, Cem. Concr. Res. 40 (2010) 1639-1649.[43] J. A. Meima, R. N. Comans, Application of surface complexation/precipitation modeling to contaminant leaching from weathered municipal solid waste incinerator bottom ash, Environ. Sci. Technol. 32 (1998) 688-693.[44] G. Cornelis, C. A. Johnson, T. Van Gerven, C. Vandecasteele, Leaching mechanisms of oxyanionic metalloid and metal species in alkaline solid wastes: A review, Appl. Geochem. 23 (2008) 955-976.[45] G. Cornelis, T. Van Gerven, C. Vandecasteele, Antimony leaching from uncarbonated and carbonated MSWI bottom ash, J. Hazard. Mater. 137 (2006) 1284-1292.[46] K. Kanitpong, C. H. Benson, H. U. Bahia, Hydraulic conductivity (permeability) of laboratory-compacted asphalt mixtures, Transportation Research Record: Journal of the Transportation Research Board. 1767 (2001) 25-32.[47] S. H. Kosmatka, W. C. Panarese, Portland Cement Association, Design and control of concrete mixtures (2002).[48] G. J. de Groot, van der Sloot, Hans A, Determination of leaching characteristics of waste materials leading to environmental product certification, ASTM Spec. Tech. Publ. (1992) 149-170.[49] A. P. Galvin, F. Agrela, J. Ayuso, M. G. Beltrán, A. Barbudo, Leaching assessment of concrete made of recycled coarse aggregate: Physical and environmental characterization of aggregates and hardened concrete, Waste Manage. 34 (2014) 1693-1704. SI Section 1: Supplementary Information Related to Materials and Methods SI Section 1.1 WTE Ash Processing Information The BA sample used to produce the ash-amended pavements can be screened within the facility to remove any materials smaller than 9.5 mm, then further screened to remove particles greater than 19.05 mm. This can be performed so that the ash can achieve the appropriate gradation for use in HMA, and to help mitigate issues related to hydrogen gas formation in PCC. An example of grain size distribution according to the present compositions and methods can be seen inFIG.11. Examples of roadway construction using the present compositions and methods can be seen inFIGS.12and13. Embodiments of PCC and HMA mixtures using compositions and methods as described herein can be seen inFIGS.14,15(a), and15(b). SI Section 1.2 Equations Used to Calculate Release from Method 1315 The cumulative mass release (MCumu.-mg-element/kg-pavement) of elements from the monoliths were calculated using Equation 1 below where: MCumu.is equal to the sum of the measured leachate concentrations at each time interval (Ci-mg/L) multiplied by the volume of the tank (Vtank) and divided by the dry mass (mdry) of the pavement sample. The elemental mass flux of the monoliths was calculated using Equations 2 and 3 below. First the mass release per exposed surface area (Mi) of each collection period was determined using Equation 2 below where: Vtankis the volume of the extraction solution in the tank (C and SA have been previously defined). Miwas then divided by the interval leaching time (seconds) to calculate the flux, as shown in Equation 3 below. To calculate the observed elemental diffusivity from the pavement samples, an analytical solution derived from Crank (1975) [37] for diffusion from a cylinder into a infinite bath (of zero concentration) was used (Equation 4, as shown below). In addition to variables that have been previously defined (Mi, t), the dry density of the sample (p), and the initial concentrations of the trace elements (C0— determined using EPA Method 3050b and the approach in Section 2.2) were used in the calculation. C0concentrations can be found inFIG.7, and are discussed previously. The dry density and exposed surface area values for the pavements are provided in the SI section. For each pavement, the Diobswas calculated for each leaching interval; a minimum, maximum, and mean value were then obtained and these were used to calculate three values for the LI. Pavement dry density and exposed surface area data according to embodiments of the present disclosure and EPA method 1315 can be seen inFIG.16. Data relating to leached elements in SPLP and total concentrations of leached elements in SPLP test in embodiments of the present disclosure can be seen inFIG.17.FIG.18shows the total environmentally available concentrations of trace elements in embodiments of ash-amended and control pavements according to the present disclosure. Equations Mcumu.=Σ[Csample*Vtankmdry]Eq.1Mi=[Ci*VtankSA].5Eq.2Fi=MiTi-Ti-1Eq.3Diobs=π[Mi2ρC0(ti-ti-1)]2Eq.4 Example 2 1.0 Introduction The beneficial use of waste-to-energy (WTE) bottom ash (BA) as a construction material has been a significant focus of civil and environmental researchers for the past several decades [1-7]. Because the particle size of the ash is similar to that of most conventional pavement aggregates, reuse in road construction projects is often cited as the most practical beneficial use application. In many countries, including the United States, WTE BA represents a relatively high volume material stream that is normally landfilled and could be acquired at a reasonably low cost. This provides an additional incentive for recycling [8]. The beneficial use of WTE BA in construction applications around the world have focused on use as a road base [9, 10] as well as the use of BA as an aggregate in Portland cement concrete (PCC). However use in PCC has been challenging in some instances as components within the ash can cause deleterious effects within the concrete matrix to some degree [6, 7, 11, 12]. Aging of WTE BA has been cited as a method of reducing the leaching of certain trace elements, particularly Pb, from the material prior to beneficial use. Because BA is heated and then rapidly cooled in a quench, it is classified as a meta-stable material and as the ash ages it reacts with atmospheric carbon dioxide to produce new mineral forms. WTE bottom ash is known to contain large percentages of Ca by mass (5.0-10%), carbonation of WTE bottom ash can occur through the reaction of atmospheric CO2with Ca in the bottom ash, resulting in the production of calcite (CaCO3) [5, 8, 13]. Although aging the ash can be essential in creating in an environmentally stable product for reuse as a road base course, encapsulation within a PCC matrix can reduce the leaching of trace elements from WTE ash as well as other combustion residuals [14-16]. The logistical and operational costs associated with the aging of WTE BA could be significant when conducting a full-scale construction project; the concept of fully encapsulating WTE BA in concretes provide benefit, as many of the environmental concerns associated with use in an unencapsulated application (such as a road base) would be mitigated. Thus, the question has been posed as to the impacts (if any) the generation of these secondary mineral forms in the aging process might have on the material properties of the ash-amended product. One of the key concerns is that as the BA hydrates and produces these secondary mineral forms, the expansion of the ash within PCC could create stresses that result in cracking. Because relative amounts of many of the mineral forms present in fresh and aged WTE BA could differ, the impact of this on ash-amended concrete strength and durability can be assessed. Some of the factors that have been cited as adverse effects related to the use of WTE BA in PCC include: decreased compressive strength, increased risk of alkali-silica reaction (ASR), and the formation of hydrogen gas. Reaction of metallic aluminum with the hydroxides contained in the Portland cement has been demonstrated to produce hydrogen gas; in a basic environment Al reacts to form aluminate (AlO2−) while liberating H2[6, 7, 11, 12, 17]. The voids formed by the gas have been shown to contribute to a decrease in the compressive strength of the material [7, 12]. Additionally, Muller and Rubner hypothesized that the one of the primary reasons for the degradation of the concrete amended with WTE BA was due to the reaction of deleterious (glass and aluminum) compounds in the ash. However, the concrete mixture used in the research, incorporated a relatively high water to cement ratio (W/C) (0.65) and did not utilize mineral admixtures, such as coal fly ash [12]. Accordingly, the mix used by Muller and Rubner was more susceptible to degradation due to the relatively high permeability (because of the elevated W/C) and the absence of mineral admixtures that are known to reduce permeability of concrete [18, 19]. The example herein investigates methods (e.g. use of mineral admixtures, size fractionation of ash) that could help reduce some of the problems associated with the use of WTE BA as a partial aggregate replacement in PCC. The impacts of aging of the ash itself, were evaluated through the use of a lab-scale accelerated carbonation process. Accelerated carbonation experiments simulate long-term ash exposure to atmospheric CO2over shortened time scales, and have been used by concrete researchers, as well as scientists examining the properties of waste combustion residues [20-22]. The occurrence of carbonation can be verified by measurement of the pH of the ash when immersed in water, as the alkaline calcium minerals are known to be depleted through carbonation resulting in a decrease in mineral pH [5, 23]. Compressive strength testing from ash-amended and control concretes was performed and surface resistivity and length change testing were conducted to evaluate the impact of WTE BA addition on the relative durability and reactivity of ash-amended concretes. These data are useful for engineers, scientists, and practitioners. 2.0 Materials and Methods 2.1 Ash Description and Sample Collection WTE ash samples were collected from a 1,000 ton per day mass burn WTE facility in Florida, US. Two different size fractions of the BA were collected. The facility sampled utilized municipal solid waste from the surrounding communities as a feedstock, employs a moving grate type boiler for combustion, and operates at an approximate combustion temperature of 1,000° C. Both BA fractions were sampled following ferrous and non-ferrous metals recovery. The facility sampled, size-separates their BA in-process, using a 9.5 mm screen, following separation the material greater than 9.5 mm is passed through a conventional eddy current separator to recover non-ferrous metal. No advanced non-ferrous metal recovery technologies were employed on the fraction of the material finer than 9.5 mm. The two samples collected represent the fraction retained on the 9.5 mm screen and the fraction passing through it. A composite sample of each ash size fractions [less than 9.5 mm (LT-9.5) and greater than 9.5 mm (GT-9.5)], was generated for a period of 7 days. To produce the composite sample, sub-samples (of each size fraction) were collected every 30 min for 2 8-hour intervals each day. These 14 samples were then combined in a large baffled mixer and rotated for a period of 30 minutes to produce the composite sample (for each size fraction). The GT-9.5 ash was then further screened to remove any particles larger than 19.05 mm (since these particles may not be suitable for use in concrete applications). BA samples were then stored in sealed 19 L buckets until time of use. Following screening a totally environmentally available acid digestion was conducted on the ash flows to ascertain the concentration of aluminum in the bottom ash (mg/kg-dry). This acid digestion was conducted in accordance with EPA method 3050b, fourteen replicates of each sample were tested [24]. The coal fly ash employed as an admixture in the PCC was purchased from a local vendor, and previously sourced from a coal-fired power generation facility. The coal fly ash used conformed to all of the specifications for Class F coal fly ash outlined in ASTM C618 Standard Specification for Coal Fly Ash and Raw or Calcined Natural Pozzolan for Use in Concrete [25]. These specifications include: a total mineral composition of SiO2, Al2O3, and Fe2O3greater than 70% by mass, maximum SO3content of 4.0% (by mass), maximum moisture content of 3%, and maximum loss on ignition of 6%. In accordance with ASTM C618 the physical requirements for Class F coal fly ash include: <34% of the material retained on a 45 um (No. 325) sieve when wet-sieved (with a variation of less than 5% points from average), a strength activity index (done in accordance with ASTM C311) of 75% or greater when compared to the control cements at 7 and 28 days, and a water requirement of less than 105% of the control. 2.2 Aggregate Characterization, Concrete Batching, and Mix Design The aggregates for the concrete and the WTE BA were evaluated for specific gravity, adsorption, and percent loss by Los Angeles abrasion (LA loss) in accordance with ASTMs C127 and C131 respectively [26, 27]. For the LA abrasion testing the number of charges and the particle size fractions tested are based on the initial particle size distribution of the aggregate being evaluated. For the GT-9.5 material a B grade (2.5 kg 19.05 mm-12.5 mm; 2.5 kg 12.5 mm-9.5 mm) was selected, as the LT-9.5 material had a finer particle size distribution, a C grade (2.5 kg 9.5 mm-6.35 mm; 2.5 kg 6.35-4.75 mm) was utilized. Concrete specimens were prepared in the laboratory in accordance with ASTM C192. The course aggregate and 50% of the total volume of the mix water were first added to the mixer while stationary, the mixer was then started and the fine aggregate and cement added, finally the remaining 50% of the mix water was then added. The sample was then mixed for a period of 3 minutes, allowed to rest for 3 minutes, and then mixed for an additional two minutes. The sample was then discharged to a pan and remixed with a trowel to eliminate segregation. Both the (10.16 cm-dia×20.32 cm-height) cylinder molds and the (152×152×559 mm) beam molds used for length change testing were compacted using a vibratory effort until large air bubbles were not visible. Samples were removed from all molds 24±8 hours after casting [28]. Control and ash-amended concrete specimens were produced using the same mix design (provided inFIG.19), except that a portion of the coarse aggregate was replaced with WTE BA, and the volume of mix water was adjusted to account for differences in aggregate adsorption while preserving a constant w/c for each mix. A grain size distribution of both ashes is contained inFIGS.29and30. The virgin aggregate used in the study was Florida lime rock, a typical aggregate used in concrete production in the southeastern U.S. The lime rock conformed to the gradation requirements of an American Association of State and Highway Transportation Officials (AASHTO) #57 stone, a table containing the gradation requirements for an AASHTO #57 stone is provided in the SI section below [29]. 2.3 Concrete Compressive Strength Testing All concrete tests were conducted in triplicate; 12 cylinder molds and three beam molds were produced for each of the concrete mixes. Subsequent to mixing, and the initial 24 hour curing period, the samples were immersed in a lime water Ca(OH)2curing solution per the requirements of ASTM C192 until the time of testing [28]. Compressive strength testing was conducted in accordance with ASTM C39 [30]. Compressive strength testing was performed on a hydraulic compression machine and run on laboratory batch specimens at ages of 3, 7, 28, and 56 days. 2.4 Accelerated Carbonation Accelerated carbonation experiments were conducted on the GT-9.5 ash, because of the initial strength results of the mixtures produced using the LT-9.5 ash this sample was omitted from further testing. The pH of the ash when immersed in reagent-grade water (water with a measured resistivity of >18.2 MΩ·cm) was used as a surrogate indicator of carbonation. Numerous published studies have demonstrated that calcium species present in the WTE BA (portlandite, gibbsite, ettrinigite, calcite) control the pH, and that this pH is reduced through carbonation [5, 31]. The pH can be reduced as the species buffering the pH of the BA at highly alkaline values (portlandite, ettringite) are depleted during carbonation (transformed primarily to calcite). These reactions not only affect the geochemical structure but can decrease trace element leachability [5, 31]. Approximately 25 kg of the GT-9.5 ash was placed in a sealed chamber lined with low-density polyethylene. Perforated tubing was placed within the ash, to allow the CO2to diffuse through the material, and the chamber was filled with a 100% CO2atmosphere for a period of 4 days. The pH of the BA when immersed in reagent water was measured prior to (pH=10.5) and following carbonation (pH=9.1). This reduction in pH was used to demonstrate that carbonation of the BA had occurred. The exact amount of time that would be required for these pH changes to occur in a natural setting was not determined. However, other published literature indicates that the pH changes seen for the size fractions carbonated would be expected to occur over a number of months depending on the local conditions [23, 32]. Chimenos et. al found that the 6-16 mm size fraction of WTE BA from a Spanish WTE facility decreased from a pH of approximately 10.8 to a pH of 10.0 over the period of 170 days [32]. Arickx et al., (2006) found that the fine fraction of WTE BA (0.1-2 mm) decreased in pH from 12.1 to 10.7 after a period of three months of natural aging, and stated that three months was a sufficient time interval to decrease the pH of the 2-6 mm and 6-50 mm fractions to a value where elements would leach below Belgian standards (although the final pH values of the larger fractions were not reported) [23]. 2.5 Concrete Length Change and Surface Resistivity Concrete length change testing was conducted on the ash-amended and control PCC mixes to assess changes caused by factors other than applied external force or temperature. All concrete length change testing was completed in accordance with ASTM C157 [33]. Length change testing occurred under both dry and wet conditions. Specimens subject to dry storage were stored in an environmental chamber with a set relative humidity of 50±4% and a temperature of 73±3° F. (22.7±1.66° C.) (per ASTM 157). All length change tests were conducted for a period longer than 365 days. Surface resistivity testing was employed to assess the relative permeability of the ash-amended concretes and to examine the concrete's resistance to chloride ion penetration, in accordance with AASHTO TP-95. Specimens were tested in triplicate using a concrete resistivity meter at 6 intervals over a period of 92 days. 3.0 Results and Discussion 3.1 Preliminary Strength Testing An uncarbonated sample of the LT-9.5 BA was used to replace 10, 20, and 30% of the course aggregate in a series of PCC mixes. The 28 day compressive strength results for the LT-9.5 and GT-9.5 ash mixes are shown inFIGS.21and22. The measured LA loss for the LT-9.5 (38%) and GT-9.5 (42%) ash (FIG.20) did meet the specification of 45% set by the Florida Department of Transportation, indicating it can have suitable strength for use as an aggregate in PCC [34]. The GT-9.5 ash was used to produce a series of PCC mixes where 25%, 50%, and 75% of the coarse aggregate was replaced with BA. In this experiment, an uncarbonated ash sample was used. The compressive strength of the ash-amended mixes were lower than that of the control mix. The 28 day strength for the GT-9.5 ash-amended and control specimens is shown inFIG.2. As the aggregate replacement percentage of ash added increased, the resulting compressive strength of the PCC can change. The compressive strength changes measured for the GT-9.5 ash were not as substantial as the strength changes seen for the PCC amended with the LT-9.5 ash. A number of authors have suggested hydrogen gas can be produced during the early phases of hydration for concretes amended with WTE BA; these authors cite that reaction can occur between aluminum in WTE BA ash and hydroxides found in the concrete as a mechanism of gas production [7, 12, 35, 36]. This reaction can decrease strength (due in part to an increase void space within the hardened cement paste) as well as spalling for conditions where aluminum is present near the surface of the concrete [7, 12, 35]. Hydrogen gas formation is a mechanism that can reduce the strength in the LT-9.5 ash mixes. At a typical WTE facility (including the facility where the BA was sampled), non-ferrous metals (primarily aluminum) can be recovered from the ash following combustion by utilizing an eddy-current separator [37, 38]. The eddy-current separator can remove a percentage of the aluminum from the ash, but a significant fraction can remain in the waste stream following processing. Grosso et al., reported average eddy current separator efficiencies of approximately 30% and indicated that majority of the remaining aluminum can be concentrated in the smaller size fractions (<12 mm) as eddy current separators can increase in efficiency with increasing particle size [37]. A 2013 study conducted by Biganzoli et al. (2013) reported Al concentrations in the range of 8% by mass for the fine (<4 mm) fraction of BA [38]. The results of the total environmentally available digestions found the aluminum content for the GT and LT material can be 2.6% and 3.3% respectively. Note that the results of the EPA 3050b test do not differentiate between metallic Al and mineral Al, such as the aluminum contained in ettringite (Ca6Al2(SO4)3(OH)12·26H2O), a calcium based aluminosulfate known to be contained in WTE ash. However, they can provide a reference concentration for total aluminum (for comparison to other studies) as well as a quantitative indicator that the LT fraction can contain more total aluminum. As the LT-9.5 ash can contain a higher percentage of fine material than the GT-9.5 ash, it may also contain a higher percentage of metallic Al. This could result in the impact of hydrogen gas production (in the LT-9.5 ash-amended mixes) being greater, and may decrease the compressive strengths. The strength of the LT-9.5 ash mixes could be improved by better processing the ash to improve the non-ferrous metals recovery rate. The results from the compressive strength testing indicate that size fractionation of the WTE BA can be important with regard to the consideration for use in as an aggregate in PCC. The larger fraction, which serves as replacement for coarse aggregate (19.05 mm-9.5 mm) can achieve suitable compressive strength. However, the LT-9.5 fraction, was unable to achieve suitable compressive strength even at low replacement percentages, and it was excluded from further testing accordingly. 3.2 Impacts of Aging To examine the impacts of ash aging, a series of PCC mixes were produced using ash that had been carbonated in accordance with the procedure outlined in Section 2.4. The GT-9.5 ash was utilized as partial course aggregate replacement (25%, 50%, and 75% of the course aggregate) in the laboratory mixes. For the purposes of comparison, uncarbonated ash mixes were batched at the same replacement percentages as well as a control mix, which contained no ash. Compressive strength, surface resistivity, and length change testing were conducted on the carbonated and uncarbonated ash samples. 3.2.1 Compressive Strength The results of the compressive strength testing of the control and experimental specimen groups amended with the carbonated and uncarbonated ash concretes are presented inFIGS.23and24. At 28 days, the carbonated ash concretes yielded mean compressive strengths of 19.4, 21.8, and 26.9 MPa for the 75%, 50%, and 25% replacements respectively, and the uncarbonated samples produced mean compressive strengths of 17.2, 20.7, and 29.2 MPa for the 75%, 50%, and 25% ash concretes. 3.2.2 Concrete Length Change To evaluate the reactivity of the WTE BA, concrete length change testing was conducted. Previous researches have cited hydrogen gas formation [7, 12, 36] as well as ASR [12] (due to the glassy fraction of the BA) as potential expansive effects related to using WTE BA in PCC. This is supported by the results of the 56 day compressive strength testing in the ash amended samples. Coal fly ash is known to decrease expansion due to ASR [39-41] and can be included in the concrete mix design to offset potential deleterious effects of ASR in the concrete. Both wet and dry length change testing was conducted for specimens produced using both the carbonated and uncarbonated WTE BA. A total of seven experimental mixes were tested, the carbonated and uncarbonated GT-9.5 ash were each used as a 25%, 50%, and 75% percent replacement of the coarse aggregate, and a control mix without ash was also produced for reference. Specifications related to concrete length change vary between state and country. As a relative indicator of the magnitude of the changes observed, the Florida Department of Transportation (FDOT) has currently set a concrete length change standard for concrete used in repair (using ASTM C157) of 0.12% at 28 days; the maximum measured change (50% uncarbonated sample—0.032%) was less than 30% of this value. Thresholds for ASR are often set based on expansion tests that rely on the immersion of the samples in a NaOH solution (ASTM 1260, CAN/CSA A23.2-14A). [42, 43] These tests were not conducted, so a direct comparison is not applicable; however the measured wet length change at 110 days was substantially lower than the guidance set by ASTM C1260 of <0.1% within 14 days. The results of the dry length change testing for the carbonated and uncarbonated ashes are presented inFIGS.25and26respectively. Dry length change testing did not produce the high percentages (>0.12%) of expansion that would be attributed to H2formation or ASR. It is possible that the addition of the CFA may have contributed to the lack of expansion as CFA has been cited as a mechanism of reducing ASR due to a denser pore structure and a reduction in available alkalis consumed during the pozzolanic reaction [44, 45]. Additionally, the larger size of the ashes may have limited the total surface area available for reactivity, further research incorporating analysis of the concrete microstructure would be needed to confirm these hypotheses; other mechanisms to reduce ASR such as metakaolin addition can also be evaluated as to their effectiveness. Possible mechanisms for controlling expansion in WTE ash-amended concretes include limiting ash replacement percentages and utilizing a mineral admixture (CFA) that is known to reduce ASR [19]. 3.2.3 Surface Resistivity Surface resistivity testing was conducted on PCC specimens produced using the GT-9.5 ash. Similar to length change and compressive strength testing, seven PCC samples were tested (carbonated and uncarbonated BA as a 25%, 50%, and 75% course aggregate replacement, and a control). Surface resistivity testing was used to evaluate differences in the durability (relative permeability and resistance to chloride ion penetration) between the carbonated and uncarbonated ash concretes and to assess variances between the ash-amended concretes and the control. It is know that the addition of pozzolans to PCC can result in increased surface resistivity and resistance to chloride ion penetration [45, 46]. Therefore this test provides an additional indicator of the evidence of a pozzolanic activity related to the addition of the WTE BA. The surface resistivity (kΩ-cm) of the uncarbonated and carbonated ash concretes are plotted as a function of time inFIGS.27and28; data on the control surface resistivity is provided for reference in each figure. As expected, the surface resistivity of all of the samples can increase with time, due to the densification of the concrete microstructure. Tanesi and Ardani, and Kessler et al. compared values from the chloride ion penetration test (ASTM C1202) [also known as the rapid chloride permeability test (RCPT)] to measured surface resistivities from a myriad of difference concrete mixtures to develop a relationship between surface resistivity and RCPT [47, 48]; based on these relationships, the majority of the measured values (for all of the samples) were found to have a moderate resistance to chloride ion penetration (12-21 kΩ-cm or 2,000-4,000 coulomb for ASTM C1202). In all instances, surface resistivity values for the carbonated ash concretes were found to be similar to or elevated above the control sample. There were no substantial differences in measured surface resistivities between the carbonated and uncarbonated ash-amended samples, although the carbonated samples did display a trend of increasing resistivity with increasing ash replacement percentage. In 5 out of the 6 ash-amended samples tested, the surface resistivities were found to be higher than the measured values for the control. Based on the resistivity trends seen for other SCM [45, 46] these results provide evidence of a pozzolanic reaction, related to the addition of the WTE BA. This is further substantiated by the trend of increasing surface resistivity with increasing ash replacement percentages seen for the carbonated ashes. Surface resistivity testing of the ash-amended concretes demonstrated no substantial difference in resistivity with respect to carbonation of the BA. These results indicate that the aging of WTE BA may not affect the material properties of PCC when BA is included as a coarse aggregate replacement. When comparing the surface resistivity data of the ash-amended concretes to the controls, the majority of the samples yielded a slightly higher surface resistivity. This suggests the potential for pozzolanic activity related to the addition of the ash. As leaching risk is an important consideration for concretes containing WTE BA, and leaching is function of the amount of water in contact with the material, these tests provide some evidence that the permeability of WTE BA amended and control concretes may not be expected to be significantly different. 4.0 Conclusions The incorporation of WTE bottom ash into PCC as a partial aggregate replacement can have an impact on concrete compressive strength. Impacts for both of the BA size fractions tested (LT-9.5 ash, GT-9.5 ash) can be seen. In the case of the LT-9.5 ash, differences in strength can be seen even at low replacement percentages; this may attributed to the formation of H2gas within the concrete microstructure (cited by a number of other authors in previous studies [6, 7, 12]). This effect may be amplified due to the higher percentage of Al (and its relatively large surface area) contained in the smaller size fraction of the ash. Concrete specimens batched with only the GT-9.5 size fraction of the ash were found to perform suitably at low replacement percentages (<25%) and can exceed the 28-day design strength set for the control mix design. Carbonation may or may not significantly affect the physical properties of the WTE BA amended concretes. All ash-amended samples were found to have different properties than that of the controls, but can be comparable to controls at low replacement percentages. Length change tests illustrate the potential benefits of using a mineral admixture to reduce the potential for expansion caused by the inclusion of the ash aggregate. The results of this study suggest that WTE BA could be a viable option for use as a partial course aggregate replacement with or without being aged, provided that appropriate measures are taken to mitigate reactivity and meet strength requirements. REFERENCES 1. Abbá, A., Collivignarelli, M. C., Sorlini, S., Bruggi, M.: On the reliability of reusing bottom ash from municipal solid waste incineration as aggregate in concrete. Composites Part B: Engineering (2014)2. Chimenos, J., Segarra, M., Fernandez, M., Espiell, F.: Characterization of the bottom ash in municipal solid waste incinerator. J. Hazard. Mater. (1999)3. Filipponi, P., Polettini, A., Pomi, R., Sirini, P.: Physical and mechanical properties of cement-based products containing incineration bottom ash. Waste Manage. (2003)4. Li, X., Lv, Y., Ma, B., Chen, Q., Yin, X., Jian, S.: Utilization of municipal solid waste incineration bottom ash in blended cement. J. Clean. Prod. (2012) doi: http://dx.doi.org/10.1016/j.jclepro.2012.03.0385. Meima, J. A., Comans, R. N.: Geochemical modeling of weathering reactions in municipal solid waste incinerator bottom ash. Environ. Sci. Technol. (1997)6. Pan, J. R., Huang, C., Kuo, J., Lin, S.: Recycling MSWI bottom and fly ash as raw materials for Portland cement. Waste Manage. (2008) doi: http://dx.doi.org/10.1016/j.wasman.2007.04.0097. Pera, J., Coutaz, L., Ambroise, J., Chababbet, M.: Use of incinerator bottom ash in concrete. Cem. Concr. Res. (1997)8. Wiles, C. C.: Municipal solid waste combustion ash: State-of-the-knowledge. J. Hazard. Mater. (1996)9. Forteza, R., Far, M., Segui, C., Cerda, V.: Characterization of bottom ash in municipal solid waste incinerators for its use in road base. Waste Manage. (2004)10. Hjelmar, O., Holm, J., Crillesen, K.: Utilisation of MSWI bottom ash as sub-base in road construction: First results from a large-scale test site. J. Hazard. Mater. (2007)11. Kim, J., Tasneem, K., Nam, B. H.: Material Characterization of Municipal Solid Waste Incinerator (MSWI) Ash as Road Construction Materials12. Müller, U., Rübner, K.: The microstructure of concrete made with municipal waste incinerator bottom ash as an aggregate component. Cem. Concr. Res. (2006)13. Meima, J. A., van der Weijden, R. D., Eighmy, T. T., Comans, R. N. J.: Carbonation processes in municipal solid waste incinerator bottom ash and their effect on the leaching of copper and molybdenum. Appl. Geochem. (2002) doi: http://dx.doi.org/10.1016/S0883-2927(02)00015-X14. Breslin, V. T., Roethel, F. J.: Long-term diffusion of elements from municipal solid waste combustor ash blocks in the marine environment. Estuar. Coast. Shelf Sci. (1995)15. Kosson, D. S., Garrabrants, A. C., DeLapp, R., van der Sloot, Hans A: pH-dependent leaching of constituents of potential concern from concrete materials containing coal combustion fly ash. Chemosphere (2014)16. Roethel, F. J., Breslin, V. T.: Behaviour of dioxins, furans and metals associated with stabilized MSW combustor ash in sea water. Chem. Ecol. (1995)17. Cioffi, R., Colangelo, F., Montagnaro, F., Santoro, L.: Manufacture of artificial aggregate using MSWI bottom ash. Waste Manage. (2011) doi: http://dx.doi.org/10.1016/j.wasman.2010.05.02018. Mindess, S., Young, J. F., Darwin, D.: Concrete (2003)19. Neville, A. M.: Properties of concrete. Pitman London (1973)20. Atiş, C. D.: Accelerated carbonation and testing of concrete made with fly ash. Constr. Build. Mater. (2003) doi: http://dx.doi.org/10.1016/S0950-0618(02)00116-221. Khunthongkeaw, J., Tangtermsirikul, S., Leelawat, T.: A study on carbonation depth prediction for fly ash concrete. Constr. Build. Mater. (2006) doi: http://dx.doi.org/10.1016/j.conbuildmat.2005.01.05222. Roessler, J. G., Oehmig, W. N., Blaisi, N. I., Townsend, T. G.: Chemical Characterization of High-Temperature Arc Gasification Slag with a Focus on Element Release in the Environment. Environ. Sci. Technol. (2014)23. Arickx, S., Van Gerven, T., Vandecasteele, C.: Accelerated carbonation for treatment of MSWI bottom ash. J. Hazard. Mater. (2006) doi: http://dx.doi.org/10.1016/j.jhazmat.2006.01.05924. US-EPA: Acid Digestion of Sediments, Sludges, and Soils (1996)25. ASTM C618: Standard Specification for Coal Fly Ash and Raw or Calcined Natural Pozzolan for Use in Concrete (2012)26. ASTM C127: Standard test method for density, relative density (specific gravity) and absorption of fine aggregate (2008)27. ASTM C131: Standard Test Method for Resistance to Degradation of Small-Size Coarse Aggregate by Abrasion and Impact in the Los Angeles Machine <br/> (2014)28. ASTM C192: Standard Practice for Making and Curing Concrete Specimines in the Labratory (2014)29. AASHTO: Sizes of Aggregate for Road and Bridge Construction. AASHTO M43 (2009)30. ASTM C39: Standard Test Method for Compressive Strength of Cylindrical Concrete Specimens (2010)31. Dijkstra, J. J., van der Sloot, Hans A, Comans, R. N.: The leaching of major and trace elements from MSWI bottom ash as a function of pH and time. Appl. Geochem. (2006)32. Chimenos, J., Fernandez, A., Miralles, L., Segarra, M., Espiell, F.: Short-term natural weathering of MSWI bottom ash as a function of particle size. Waste Manage. (2003)33. ASTM C157: Standard Test Method for Length Change of Hardened Hydraulic-Cement Mortar and Concrete (2008)34. Florida Department of Transportation: Standard Specifications for Road and Bridge Construction (2013)35. Bertolini, L., Carsana, M., Cassago, D., Quadrio Curzio, A., Collepardi, M.: MSWI ashes as mineral additions in concrete. Cem. Concr. Res. (2004) doi: http://dx.doi.org/10.1016/j.cemconres.2004.02.00136. Pecqueur, G., Crignon, C., Quénée, B.: Behaviour of cement-treated MSWI bottom ash. Waste Manage. (2001) doi: http://dx.doi.org/10.1016/S0956-053X(00)00094-537. Grosso, M., Biganzoli, L., Rigamonti, L.: A quantitative estimate of potential aluminium recovery from incineration bottom ashes. Resour. Conserv. Recycling (2011) doi: http://dx.doi.org/10.1016/j.resconrec.2011.08.00138. Biganzoli, L., Ilyas, A., Praagh, M. v., Persson, K. M., Grosso, M.: Aluminium recovery vs. hydrogen production as resource recovery options for fine MSWI bottom ash fraction. Waste Manage. (2013) doi: http://dx.doi.org/10.1016/j.wasman.2013.01.03739. Dunstan, E.: The effect of fly ash on concrete alkali-aggregate reaction. ASTM Cement, Concrete and Aggregates (1981)40. Shehata, M. H., Thomas, M. D. A.: The effect of fly ash composition on the expansion of concrete due to alkali-silica reaction. Cem. Concr. Res. (2000) doi: http://dx.doi.org/10.1016/S0008-8846(00)00283-041. Thomas, M.: Field studies of fly ash concrete structures containing reactive aggregates. Magazine of concrete research (1996)42. Ramlochan, T., Thomas, M., Gruber, K. A.: The effect of metakaolin on alkali-silica reaction in concrete. Cem. Concr. Res. (2000) doi: http://dx.doi.org/10.1016/S0008-8846(99)00261-643. ASTM C1260: Standard Test Method for Potential Alkali Reactivity of Aggregates (Mortar-Bar Method) (2014)44. Kou, S. C., Poon, C. S.: Properties of self-compacting concrete prepared with recycled glass aggregate. Cement and Concrete Composites (2009) doi: http://dx.doi.org/10.1016/j.cemconcomp.2008.12.00245. Papadakis, V. G.: Effect of supplementary cementing materials on concrete resistance against carbonation and chloride ingress. Cem. Concr. Res. (2000) doi: http://dx.doi.org/10.1016/S0008-8846(99)00249-546. Geso{hacek over (g)}lu, M., Özbay, E.: Effects of mineral admixtures on fresh and hardened properties of self-compacting concretes: binary, ternary and quaternary systems. Mater. Struct. (2007)47. Tanesi, J., Ardani, A.: Surface Resistivity Test Evaluation as an Indicator of the Chloride Permeability of Concrete (2012)48. Kessler, R. J., Powers, R. G., Vivas, E., Paredes, M. A., Virmani, Y. P.: Surface resistivity as an indicator of concrete chloride penetration resistance (2008) Ratios, concentrations, amounts, and other numerical data may be expressed in a range format. It is to be understood that such a range format is used for convenience and brevity, and should be interpreted in a flexible manner to include not only the numerical values explicitly recited as the limits of the range, but also to include all the individual numerical values or sub-ranges encompassed within that range as if each numerical value and sub-range is explicitly recited. To illustrate, a concentration range of “about 0.1% to about 5%” should be interpreted to include not only the explicitly recited concentration of about 0.1% to about 5%, but also include individual concentrations (e.g., 1%, 2%, 3%, and 4%) and the sub-ranges (e.g., 0.5%, 1.1%, 2.2%, 3.3%, and 4.4%) within the indicated range. In an embodiment, the term “about” can include traditional rounding according to significant figure of the numerical value. In addition, the phrase “about ‘x’ to ‘y’” includes “about ‘x’ to about ‘y’”. Unless defined otherwise, all technical and scientific terms used have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. Although any methods and materials similar or equivalent to those described can also be used in the practice or testing of the present disclosure, the preferred methods and materials are now described. Embodiments of the present disclosure will employ, unless otherwise indicated, techniques of separating, testing, and constructing materials, which are within the skill of the art. Such techniques are explained fully in the literature. It should be emphasized that the above-described embodiments are merely examples of possible implementations. Many variations and modifications may be made to the above-described embodiments without departing from the principles of the present disclosure. All such modifications and variations are intended to be included herein within the scope of this disclosure and protected by the following claims. | 99,981 |
11859356 | DETAILED DESCRIPTION OF THE FIGURES While the presently disclosed inventive concept(s) is susceptible of various modifications and alternative constructions, certain illustrated embodiments thereof have been shown in the drawings and will be described below in detail. It should be understood, however, that there is no intention to limit the inventive concept(s) to the specific form disclosed, but, on the contrary, the presently disclosed and claimed inventive concept(s) is to cover all modifications, alternative constructions, and equivalents falling within the spirit and scope of the inventive concept(s) as defined in the claims. FIG.1illustrates a temporary light strip system in use in conjunction with a road way2, and more particularly to illustrate a construction area12. Two light strips4are positioned across the roadway. The light strips have a plurality of LED lights5that are configured to direct light from the LEDs at the oncoming vehicle18. The LED lights are arranged in separate groups7,9that can be configured for alternating illumination or simultaneous illumination, or individual LEDs within each group can be configured to alternate illumination and de-illumination. A power source10is proved to power two light strips via connection6. The power source can be the depicted solar panel and associated battery or other energy storage device (not shown) or alternatively a connection to an energy grid. A sensor8is positioned to detect an oncoming vehicle18. The depicted system is configured such that when the sensor senses the oncoming vehicle, the system causes the lights to be illuminated and/or flash (hereinafter illuminated and/or flash is referred to generically as “illuminate or illuminated”). The light strips illuminate to alert the oncoming vehicle of the construction workers14positioned in the construction zone F, alternatively called an active zone. The light strips are constructed so as to withstand the rigors of vehicles driving over the light strips. A preferred embodiment of the light strips and associated system is shown inFIGS.5-11. The light strips are preferably removably attached to the road surface, such as by adhesive, mounting anchors, double sided tape, straps, or other attachment mechanism. FIG.2illustrates a preferred embodiment of a temporary light strip system in use in conjunction with a temporary cross walk in a roadway20. The embodiment ofFIG.2illustrates a preferred embodiment in which the temporary light strip systems are constructed in a modular arrangement.FIG.2illustrates two light strips23,25positioned in neighboring lanes24,26. The light strips are configured such that light from each LED is directed at the on-coming vehicle22and not at vehicle21that has traveled over the light strip Each light strip section is preferably twelve (12) feet in length to extend the width of a standard lane of traffic, although alternate lengths can be utilized. In this system a series of light strips can be connected to extend across wider roadways, such as two, three, or four lane roadways. The connector mechanism29to connect two adjacent light strips to one another is also configured to connect the end of the light strip at connection36to a power supply35, such as the depicted solar panel, an energy storage device and/or a power grid. FIG.2further depicts a sensor38positioned on a pole32. The sensor can be configured to detect the presence of a pedestrian or other person or animal prepared to cross at the location. The sensor can be a manual sensor such as a push button sensor39that is manually actuated by the pedestrian. The solar panel is mounted on the pole. A pedestrian and bicyclist40are shown prepared to cross at the crosswalk. The sensor can further be configured to sense ambient light conditions for adjusting the brightness of the LEDs, or alternatively a separate sensor can be utilized. FIG.3illustrates a top schematic of a road surface having a temporary light strip system attached having a series of vehicles46stopped at the light strip in the roadway. The light strip42is positioned to delineate the construction zone or active zone40, or alternatively a cross walk area, from oncoming traffic in the roadway44. The light strip is configured such that light emitted by the LEDs48is directed at the oncoming vehicles.FIG.4illustrates a cross section of a roadway52having a light strip50attached to the roadway. As illustrated, light from the LEDs of the light strip is directed generally horizontally away from the light strip at oncoming traffic. FIG.5illustrates an embodiment of a female connector70positioned at an end of the light strip, or alternatively on a power supply or power supply cord. The depicted connector has four female electrical pins76. The light source is embedded in the light strip housing71. The light strip housing in the depicted embodiment is a rectangular channel, preferably of metal although other material that will allow the light strip to withstand vehicular traffic over the light strip can be utilized. The light will be directed outward from the sidewall72of the housing. The light strip housing is configured to provide protection to the internal wiring of the light strip, as well as to the light source(s). The female pins are shown housed in a rubber connector pin housing74to prevent water and other contaminants, such as dust, from entering the channel. The female end connections are configured for mating engagement with male pins found on a corresponding light strip, or alternatively of a power input. The male and female configuration can be reversed with female pin receptacles provide on the power input and configured to mate with male pins of the light strip. FIG.6illustrates an embodiment of a male connector80positioned at an end of the light strip, or alternatively on a power supply or power supply cord. The depicted connector has four male electrical pins. The depicted male connector utilizes an alignment sleeve86for aligning the male connector end of the light strip with a female connector end of a second light strip, or alternatively with a power supply cord. The male pins84are shown housed in a rubber connector pin housing82to provide protection from water and other contaminants, such as dust.FIG.7illustrates a cross section view of the assembled connector ends ofFIG.5andFIG.6. The male connector end has been inserted into the female connector end. FIG.8depicts a view of a preferred embodiment of a light strip housing90having LED lenses91installed in the side wall93of the housing. A circuit board strip with integral LEDs (illustrated inFIG.10) is positioned within the metal housing. Lenses are positioned in openings96and extend through the housing proximate to each LED. The Lenses have a flange that is positioned so as to be countersunk in the sidewall of the channel in recess94. Lenses92are shown partially positioned within openings. FIG.9illustrates a plurality of components in a modular LED crosswalk system. A plurality of light strips100are provided. The light strips each has a series of lenses configured to direct light from LEDs positioned within the rectangular channel104out the sidewall106of each light strip. Each light strip is configured for attachment to a roadway via flanges108that have an opening110through which a connector is positioned to anchor each light strip to the roadway. Power connectors112are configured for mating engagement with the end109of each light strip. The power connectors are provided with flanges112that are configured for attaching the power connectors to the road surface. The flanges of the light strips can be aligned with the flanges of the power connector for mounting with a single connector through overlaid flanges. Similarly the end flanges of each light strip can be overlaid for concentric mounting. L-shaped sections116are provided at generally a ninety (90) degree angle to the light strips to direct light at pedestrians to signal to the pedestrians when the light strips have illuminated. A power source118is provided to power the lights strips. Rubber matting120is provided to protect the electrical cable, for example for us on the shoulder of a roadway. The light strips ofFIG.9are configured to provide continuous power between connected light strips. Preferably power conductors in the strip are continuous to minimize potential failure points. Preferably multiple power conductors are provided within each light strip to provide redundancy in the event of failure of a power line. The use of multiple power conductors can allow for control of groups of LEDs independently, thus allowing for alternating illumination to create a flashing effect between groupings. FIG.10illustrates a partially exploded light strip showing printed circuit board130in a strip having LEDs132integral to the strip. A spacer134is provided to align the strip within the channel housing. The circuit board is inserted into the housing136with a lens extending through the sidewall to the LED to direct light from the LED outward (seeFIG.11). The void138in the channel is then filled with a protective material, such as a polymer resin, for example polyurethane. The protective material serves to protect the circuit board and LEDs from water and other elements, such as dust, as well as to provide flexibility to the light strip and channel. FIG.11illustrates a lens140extending through a sidewall142of the rectangular channel144. The lens is cylindrical in the shape of a pipe and configured to direct light from the LED148of the circuit board146through the cylindrical lens and outward. A flange extends around the cylindrical pipe and is positioned in a recess in the side wall of the metal channel for mounting the lens in the sidewall. Recessing the lens in the sidewall increases protection of the lens. While certain preferred embodiments are shown in the figures and described in this disclosure, it is to be distinctly understood that the presently disclosed inventive concept(s) is not limited thereto but may be variously embodied to practice within the scope of the following claims. From the foregoing description, it will be apparent that various changes may be made without departing from the spirit and scope of the disclosure as defined by the following claims. | 10,322 |
11859357 | DETAILED DESCRIPTION In the drawings, there are exemplary illustrations of features with the indicated reference numbers:blade12handle14upper end portion16lower end portion18front face (of blade)20back face (of blade)22leading edge24trailing edge26first side edge27second side edge28handle attachment means30handle receiving socket32securing means34knob36deflector flange37front face portion (of deflector flange)38fillet portion (of deflector flange portion)40forward bend44rib46corrugation50side wall51joining means52inboard face (of a side wall)53arcuate fillet portion (of a side wall)54outward bend100snow oar implement200User300charge of snow, slush, or ice Referring toFIG.1andFIGS.2A-2C, snow oar100comprises a blade portion, or blade10, and an elongated handle portion or handle12having an upper end portion14and a lower end portion16. The blade portion comprises a forward, or front face or surface18, and rearward, or back face or surface20which are bounded by a lower, or leading edge22and by an upper, or trailing edge24. A blade height, or longitudinal, direction is generally defined as running upwardly and downwardly between the upper edge and lower edge. A blade width direction runs generally transverse to the blade height direction and generally parallel to the front face of the blade. In the Width direction the blade is bounded by a first side edge26and a second side edge,27. The blade is shallowly arcuately curved in the height direction between upper edge and lower edge so that the front face is generally concave, that is, in the blade height direction the front face of the blade forms upwardly and downwardly a generally concave profile. Referring toFIGS.2A-2B, the blade portion comprises a handle attachment means28to fixedly secure or detachably secure the lower end portion of the handle portion centrally to the blade near, that is, at and in the neighborhood of the trailing edge which handle portion then extends generally upwardly and away from the blade and the trailing edge in a direction approximately parallel to the blade height direction. In one embodiment, the handle portion defines a handle length direction approximately parallel to the direction of elongation of the handle and parallel with this handle length direction is defined a handle length measure, or handle length, designated by “L” taken along the handle portion starting from, or relatively near, and relatively central to, the upper edge of the blade portion and extending to the furthest upward extent of the handle portion away from the blade portion, that is, extending to the furthest extent of the handle's upper end portion away from the blade portion. Referring toFIG.3which is a section taken fromFIG.2A, in at least one embodiment, the handle attachment means28comprises a handle receiving socket30, or socket portion which can be integral with the blade; for example, it can be molded as an integral part of the blade and centrally positioned at and in the neighborhood of the trailing edge so that said socket opens upwardly away from the blade and which receives by insertion the lower end portion of the handle and which handle is secured or detachably secured, to the socket by securing means32such as a screw, pin, or nail. In other embodiments (not shown), the securing means can comprise stapling, threading, riveting, crimping, gluing, welding, tack-welding, ratchet locking, detenting, detenting with a spring-loaded pin, press fitting, employing friction. In another embodiment (not illustrated) the handle attachment means can be means to detachably secure the handle to the blade. For example, the handle can be fitted with a spring tensioned pin mechanism, or a push-pin, which engages a mating hole in a blade's socket so the handle can be slipped into the socket until the pin can insert into the hole to lock the blade in place. This then could permit easy and quick replacement of the blade, or handle, as well as allow various sized and shaped blades to be employed to suit particular conditions. For example, if the User wished to clear a relatively light layer of fallen snow from an area by employing the pusher plowing mode described earlier, the implement could be fitted for that task with a relatively wide “pusher” type blade having a bluntly oriented front face so that larger swathes could be cleared in each pass, and thus perhaps shorten the time needed to complete the work. In at least one embodiment the blade is of a substantially rectangular shape when viewed in a direction generally perpendicular to front or back blade faces. Referring toFIGS.2A and2B, the blade defines an overall maximum blade height, or blade height, designated by H which is the maximum extent of the blade height in the blade height direction between upper edge and lower edge, and an overall maximum blade width, or blade width, designated by W, which is the maximum extent of the blade width in the blade width direction between the side edges. A blade depth of curvature designated by D is the maximum depth of curvature of the front surface measured in a plane generally normal/transverse to the blade width direction and in a direction approximately normal/transverse to the blade height direction. Referring toFIG.4A, which is a section taken fromFIG.2A, in at least one embodiment, the profile of the front surface of the blade can be substantially straight or slightly concavely or convexly curved when viewed in this cross sectional view taken transverse to the height direction of the blade and parallel to the front face. Referring toFIG.4Bwhich is a section taken fromFIG.2A, in the case where the blade comprises a handle receiving socket30, the front surface of the blade in the upper central portion may comprise a generally convex-shaped bump or bulge of the front surface shape resulting from the protrusion of a socket. In another embodiment, the socket may be offset rearwardly as shown inFIG.4Cso that little or no bump or bulge occurs in the front surface of the blade. The leading edge22of the blade portion when viewed looking toward the Front Face of the blade can form or define a generally straight lower boundary or edge for the blade, said boundary being aligned generally in the width direction as inFIG.5A, or the leading edge can form or define a downwardly convex arcuate or rounded lower boundary or edge22B of the blade as inFIG.5B. Further, the leading or lower edge can define a lower boundary for the blade that is more or less straight in the central or middle portion of the leading edge and that has rounded or arcuately defined corner portions, such as a rounded corner edge22C as shown inFIG.5C. Another embodiment provides a chamfered-corner edge22D as shown inFIG.5D, having corner chamfers defined between the straight middle portion and the side edges. The foregoing embodiments described for the lower or leading edge are listed here as some examples of embodiments contemplated, yet it should not be construed to mean that the leading edge should be limited to the embodiments described since the leading edge can define other shapes than those herein described. For example, suitable combinations of the foregoing descriptions can be employed, such as an asymmetric leading edge where one corner is chamfered and the other is arcuate in shape or is a squared off corner (not illustrated). Yet other leading edge shapes can be defined (not illustrated) than those described above. Deflector Flange In another embodiment,FIG.6, the blade portion at and in the neighborhood of the trailing edge24may form at least one deflector flange portion, or deflector flange36further comprising a front face portion37which is the front face18of the blade at and in the neighborhood of trailing edge24and wherein the front face portion37of the deflector flange portion36of the blade portion is angled forward relative to the below adjoining arcuately curved front face portion of the blade portion and extends upwardly and forwardly therefrom. An obtusely angled forward bend40of angle θ inFIG.7A, which is a cut-away taken fromFIG.6, is the angle between the below adjoining arcuately curved front face portion of the blade portion and corresponding front face portion of a deflector flange portion of the blade when viewed in any cross sectional plane taken approximately normal to the blade width direction. Thus, in this embodiment the forward bend can be relatively abrupt, forming a corner as depicted inFIG.7A, when viewed in any cross sectional plane taken approximately normal to the blade width direction, while the remainder of the front face portion of the blade portion adjoining and below the front face portion37of the deflector flange portion can be shallowly arcuately curved as previously described. In this case, the blade height H can be more accurately defined as the maximum extent of the blade in the blade height direction between lower edge and deflector flange portion so, in the case of the forward bend being an abrupt corner, the height would be measured from the lower edge to the corner as inFIG.7A. In another embodiment exemplified inFIG.7Ba sectional view using a like cut-line as used inFIG.7A, the deflector flange's front face portion37further comprises a fillet portion38wherein the fillet portion38forms upwardly and downwardly an arcuate concave fillet profile. The forward bend40can then be the result of a gradual bending of the front face of the blade comprising the fillet portion of the deflector flange which gradually bends the front face of the blade forwardly as depicted inFIG.7B. An obtusely angled forward bend40of angle θ inFIG.7Bis the angle between the below adjoining arcuately curved front face portion of the blade portion and corresponding front face portion of a deflector flange portion of the blade when viewed in any cross sectional plane taken approximately normal to the blade width direction. In the case of a gradual forward bend due to a fillet transition, the blade height H can be measured from the lower edge of the blade to the fillet portion of a deflector flange as inFIG.7B. A deflector flange maximum length E in any cross sectional plane or station oriented approximately normal to said blade width direction can be defined in that cross sectional plane as the maximum surface length running upwardly and downwardly in that plane along the front face of the deflector flange portion of said blade portion as depicted inFIGS.7A and7C, respectively. In one embodiment, a deflector flange can be formed as an integral continuous extension of the blade portion; for example, the blade portion and a deflector flange portion, if both are made of a plastic, can be molded as one integral component. In another embodiment, the blade and one or more deflector flange portions, if made of a metal, can be formed out of a single metal sheet. A deflector flange feature can enhance the upward and forward motion of a charge. For example, when employing a manual plowing, including a modified pusher snow clearing operation as previously described, a deflector flange can aid in deflecting or urging the charge in a more upward and forward direction and speed which can send the charge further away, despite the blade's front face being oriented more or less face-on or tilted backward relative to the direction of motion of the blade. Various Further Embodiments Described In other embodiments, handle portion12and blade portion10can each be formed of one or more parts made of plastic, or of a composite such as graphite epoxy or fiberglass, or of wood, or of laminated wood, or of a metal such as aluminum or steel, or also can be formed of suitable combinations of any of these materials and constructions. In another embodiment, the handle can be made of tubing made of aluminum or plastic or steel or of combinations of two or more of these materials. Other materials and constructions beyond those mentioned here can be employed as well. In various embodiments, the handle can possess a substantially straight elongated rod shape. In some embodiments, the handle can possess a substantially straight elongated rod shape with cross sections, taken transverse to the direction of elongation, that are generally circular. In some embodiments, the handle is an elongated rod shape of generally uniform diameter. In some embodiments, the blade portion can be comprised of a plastic selected from the group consisting of an ethylene plastic, a blend that includes an ethylene plastic, polyethylene, polypropylene, polyvinyl chloride, polycarbonate, a blend of at least two of these plastics, and the handle portion can be comprised of wood or tubular steel. In some embodiments, the blade portion and the handle portion can be one integral part comprised of a molded plastic (as in for example see U.S. Pat. No. 4,280,727 to Germain, 1981) or of a composite such as graphite epoxy. Various Further Embodiments Described With reference toFIGS.8A through11, for blades constructed of molded plastic, or of a composite such as graphite epoxy, there are known methods that can be used to reinforce and stiffen the blade, if needed, against unduly large flexure and stress, as for example by means of employing integrally molded or discrete stiffening ribs, or keels44, corrugations46, or combinations of these, as an integral part of the blade. Also, in the case of composites such as graphite epoxy, judicious arrangements of the orientations of fibers can be employed to selectively stiffen and strengthen the blade. Corrugations In some embodiments of a blade there can be one or more corrugations46formed in the blade10which can act to stiffen the blade against undue bending or flexure in a plane more or less normal to the blade's width direction, and can also function as guides for a charge by preventing or discouraging a charge from sliding sideways across the front face of the blade toward or off a side edge of the blade. Referring toFIG.8A(which is a view looking toward the front face of the blade) and8B (which is a side view of the blade looking in a direction parallel to the blade width direction), a corrugation46is generally a forward jog, or forward protrusion or bulging, of the blade material, and which corrugation is elongated generally in the blade height direction, although in some embodiments (not shown), all or some portion of a corrugation may elongate in other directions. Thus, referring toFIG.9, which is a local sectional view taken fromFIG.8A, a local cross section of the blade taken generally at a corrugation and transverse to the direction of the corrugation's elongation, that is to the blade height direction H, shows that at each corrugation the blade cross section can form on the front face of the blade a convex forward projecting jog, bump, bulge, or protrusion of the front surface of the blade, typically of a shallow U-shape or profile, and the rearward or back surface can form a corresponding though concave forward projecting depression or hollow of the rear surface of the blade. Note that the corrugation's shallow “U” shaped cross section as depicted inFIG.9does not have to be an actual U-shape. Rather any shape that increases the blade's flexural stiffness can be employed, so that for example, a rectangular or box shaped, or triangular shaped, and many other shaped jogs could also be employed. Referring toFIG.10, in some blade constructions a plurality of corrugations46, integrally formed in the blade, are spaced in the blade's width direction Wand each corrugation46extends substantially between the leading edge and trailing edge. Corrugations46typically may taper down in cross sectional size until they vanish near the leading edge of the blade and may also taper down in cross sectional size as they approach or near the trailing edge of a blade, or they can extend without tapering in size to the trailing edge or they can intersect with and terminate at a deflector flange, at any rate a corrugation can extend upwardly approximately in the blade's height-wise direction to at least approach the neighborhood of the trailing edge. In the case of a blade constructed of a metal sheet, corrugations may also be employed as blade stiffeners and guides and may be formed by being stamped or embossed into the metal sheet forming the blade. Ribs Refer toFIGS.11A and11B, which are sectional views fromFIG.8A, but which now also show the rib embodiments, mentioned previously, which are to be described in more detail here. A rib or keel portion, or rib44, of a blade portion is typically a longitudinally oriented fin-like emanation elongated generally in the blade's height direction and that projects away from and generally perpendicular to the front or back face of the blade and whose main purpose is to stiffen, or reinforce, the blade against undue deformation and stress. A rib can also function as a wear surface where a rib or keel can contact the pavement or ground. Rearward projecting ribs and keels are the most common. A rib or keel can also be oriented so its direction of elongation is at an angle to the blade's height direction for some or all its length. Forward projecting ribs and corrugations may provide for blade stiffening and can also function as guides for a shoveled charge by helping to prevent a charge from sliding sideways across the front face of the blade toward or off a side edge of the blade. A rib and a corrugation may be combined. Referring again toFIG.11AandFIG.11B, for example, a forward projecting corrugation46may be combined with a rearward projecting rib44where, in a cross section through the blade taken in a plane generally normal to the direction of elongation of the corrugation and rib, the rib44extends from the back face of the blade and projects rearwardly therefrom and is disposed centrally to the corrugation and extends therealong. As illustrated inFIGS.8B and11B, one embodiment may have a rib44B that is taller than the other ribs centrally positioned in the blade width direction and emanating from back face20of the blade, and upwardly terminating at its intersection with handle receiving socket30. This demonstrates the earlier mentioned idea of a rib that can also function as a keel or wear feature because it can first contact the ground or pavement over which the blade is sliding and thus protect the blade to some degree from wearing down prematurely. A rib such as rib44B can also offer extra rigidity to the blade against stress and deflection due to its greater size and also because it offers a convenient structural tie into the socket portion of the blade. Side Wall Referring toFIG.12, Blade Portion10can further comprise a side wall portion, or side wall50, which is a rib-like, wing-like, or fence-like element that can project forwardly or both forwardly and outwardly—that is, the side wall can project forwardly, at least to some degree within a range hereinbelow specified, from a side edge of the blade- and can further comprise means51for joining said side wall portion to said side edge of said blade portion along at least a portion of at least one of a said blade portion's said first and second side edges, each side wall having an inboard face52adjoining the corresponding front face18of the blade portion along at least a portion of a blade's side edge, so that the inboard face52is thereby oriented to face, to some degree, inwardly/inboardly toward the blade. Referring toFIGS.13A and13B, in one embodiment a side wall50can project forwardly in a direction approximately normal to the blade width direction. Referring toFIG.13A, a side wall height F can be defined as the maximum inboard height, F, of the inboard face52of the side wall50, the direction of measure of the side wall height being oriented approximately perpendicular to the blade width W direction when viewed in any cross sectional plane or station oriented approximately normal to the blade height direction. Referring toFIG.13B, in another embodiment, the inboard face of a side wall50adjoining the front face portion of a blade portion can comprise a concave arcuate fillet portion53that forms a concave arcuate fillet profile between the front face of the blade and adjoining inboard face of a side wall when viewed in any cross sectional plane or station oriented approximately normal to the blade height direction. To clarify in this case, a side wall height F can again be defined as the maximum inboard height of the inboard face of the side wall including the arcuate fillet portion, the direction of measure of the side wall height being oriented approximately perpendicular to the blade width direction when viewed in any cross sectional plane or station oriented approximately normal to the blade height direction. In addition, to clarify the Width, W, of the blade in any cross section taken approximately normal to the blade height direction is again the maximum extent of the blade portion in the blade width direction to include any side wall portions. Referring toFIGS.14A and14B, in another embodiment, a side wall50can also be made to project or extend forwardly, and also outwardly away from the central portion of the blade. Here the inboard face52of the side wall forms an outward bend54of angle #, depicted inFIGS.14A and14B, wherein said angle β can range from approximately a right angle to an obtuse angle, and which angle β is the minimum angle between the inboard face52of the side wall and front face of said blade portion there adjoining when said blade portion is viewed in any cross sectional station or plane approximately normal to said blade height direction. This angle β can vary between about 90 and 180 degrees. Configurations are also possible wherein the angle β does not have to be constant for a side wall from height-wise station to station, but rather can be the same or different at different height-wise stations of the side wall when viewed in any cross sectional plane or station oriented approximately normal to the blade height direction. Referring again toFIG.14B, in one embodiment, the inboard face52of a side wall50adjoining the front face portion of a blade portion can comprise a concave arcuate fillet portion53that forms a concave arcuate fillet between the front face of the blade and adjoining inboard face of a side wall. To clarify in this case, a side wall height F can again be defined as the maximum inboard height of the inboard face of the side wall, to include the arcuate fillet portion, the direction of measure of the side wall height being oriented approximately perpendicular to the blade width direction when viewed in any cross sectional plane or station oriented approximately normal to the blade height direction. FIGS.13A,13B,14A, and14Bare also exemplary illustrations of one embodiment for the means51for joining a side wall portion to a side edge of a blade in which the blade and sidewall may be integrally molded or formed as one piece, so the side wall is an integral extension of the blade material. Such a one-piece construction might result for a one-piece blade molded of a plastic, or of a composite, or the blade and one or more side walls can be formed out of a single metal sheet such as a roll-formed or stamped sheet metal blade. A multitude of other side wall constructions are possible. Multi-piece constructions (not illustrated) are also possible such as attaching a separate plate or fence piece to the side edge of a blade by employing an adhesive or heat induced (fusion) bond. Thus, means for joining51may include, but are not limited to, integrally molding, integrally machining, roll forming, stamping, screwing, pinning, adhesive bonding, fusion bonding, interlocking, any combination of these joining means. Note that the configurations shown inFIGS.13A and13Bare special cases of those ofFIGS.14A and14B, respectively, wherein forFIGS.13A and13B, the angle #P is about 90 degrees; that is, angle #forms approximately a right angle in the configurations shown inFIGS.13A and13B. Furthermore, the configuration ofFIG.14Ais a special case of that ofFIG.14Bwhere, inFIG.14A, the size of the fillet is vanishingly small. It should be noted that the case of a vanishingy small, or at least sufficiently minute, fillet really represents a sharp, or relatively sharp, re-entrant corner as depicted inFIGS.13A and14A, and such corners, while generally may not be a hindrance to the blade function, are still generally to be avoided especially in the manufacture of one-piece blade constructions of, say, a molded plastic, because high stresses can exist at the sharp corner which can tend to form a crack in the blade material along the corner. Such stresses can result from the molding process or as a result of mechanical stresses imposed on such a blade in service. Aside wall can also function to help guide and cradle and direct a charge so that it can freely move upwardly or downwardly along the front face of the blade and at the same time prevent or discourage some or all a charge from moving sideways, thus helping to prevent some or all of a charge from sliding toward or off the side edges of the blade. In a manual plowing or pusher plowing mode as described earlier, a side wall can aid a charge in moving in a direction more uniformly ahead of and forward of a blade and thus discourage or prevent sideways motion through the air of some or parts of an airborne charge as it is launched. A side wall can also function to stiffen, or reinforce, the blade against undue flexure. Other blade stiffening, or reinforcing, means may be employed such as, for example, diagonally oriented rearward projecting stiffening ribs. All of these and other features, that is, corrugations, ribs, side walls, are optionally employable as embodiments and their presence or absence should not be construed to limit the scope of any embodiment. Particular Embodiments and Field Test Models Described In one embodiment, the snow oar can comprise a one-piece molded plastic blade portion having an overall height, H, of between about 254 and 318 mm (10 and 12 ½ inches), an overall width, W, of between about 101 and 242 mm (4 and 9½ inches), and a blade depth of curvature D of between about 12 and 38 mm (0.5 and 1.5 inches), and can comprise a handle made of solid pine wood of circular cross section having a diameter d for gripping of between about 25 and 35 mm (1 and 1.375 inches) and a length, L, of between about 1397 and 1900 mm (55 and 75 inches). In a further embodiment, the snow oar can comprise a blade having the above ranges of blade and handle dimensions and in addition comprises a deflector flange, with a relatively abrupt corner type forward bend, that is integrally molded with the blade portion and for which the deflector flange length E is in the range of between about 12 and 50 mm (0.5 and 2 inches) and for which the forward bend angle θ is between about 120 and 150 degrees. Field test models of snow oars were built to a number of embodiments. One embodiment of a field test model comprises a one-piece molded plastic blade having a blade height, H, of about 305 mm (12 inches), a blade width, W, of about 216 mm (8.5 inches), a blade depth of curvature D of about 32 mm (1.25 inches), and a handle made of solid pine wood of circular cross section having a uniform diameter d for gripping of about 30 mm (1.18 inches) and a length, L, of about 1,650 mm (65 inches). One embodiment further comprises a deflector flange with a length E of about 38 mm (1½ inches) integrally molded with the blade and formed along substantially the entire trailing edge of the blade and relatively abruptly angled forward relative to the blade forming an obtuse angle θ of approximately 135 degrees between the deflector flange's front face and the adjacent front face of the blade (the deflector flange comprised a small fillet portion representing less than about 0.1 inches of the length E). One test model embodiment further comprised a wooden knob affixed to the uppermost end of the upper end portion of the handle portion, the wooden knob having a maximum diameter of 51 mm (2 inches) measured in a plane oriented approximately perpendicular to the handle length direction and having a length measured in the handle length direction of about 25.4 mm (1 inch) and which purpose was to act as a safety stop so that if the handle of the snow oar implement were to begin to slide through or away from the User's hands during operation of the implement, the knob, by virtue of its relatively large diameter compared to that of the handle, was intended to serve to arrest the handle's sliding motion against the User's hands and thus aid in preventing the User engaged in operating the implement from inadvertently “throwing” the snow oar implement away from the User. The wooden knob also could act as a hand-hold point for gripping the handle at the upper end, especially useful when operating the implement in a pusher plowing mode described previously. The above described field test models, with the mentioned variations, were constructed more or less as depicted inFIG.10. These field test model dimensions can be contrasted against those of a conventional snow shovel having a blade width of about 450 mm (17.75 inches), that is, about twice that of the widest snow oar test model embodiments above described, and having a handle length of about 787 mm (31 inches), that is about half that of test model embodiments above described. One field test model embodiment was as the first-described field test model embodiment above, except the blade portion further comprised a rounded leading edge and one side wall per side edge, each side wall extending along and adjoining along substantially their entire respective adjacent side edges of the blade. Each side wall projected forwardly with a maximum side wall height, F, of approximately 76 mm (3 inches) measured at approximately central in the blade height direction of each of the blade's side walls. The forward projection of each side wall tapered to substantially a zero forward projection as each side wall neared the lower edge of the blade and also as each side wall neared, and joined with, the deflector flanges in the neighborhood of the trailing edge of the blade. The side walls were formed of 0.031 inch thick flat sheet aluminum fastened with screws to an integrally molded rearward projecting rib portion running along each side edge of the blade. A non-slip PTFE film adhesive-backed tape was applied on the inboard faces of each aluminum side wall with the intention that the film would aid in preventing snow or ice from adhering to the side walls and offer low sliding friction to snow or ice. The blade of this unit appeared approximately as depicted inFIG.12. The field test unit with the aluminum side walls just described above was also employed in clearing deep snow as described, both with the side walls attached and also with the side walls removed. This unit, like the others, performed well in deep snow and when employing a more or less rearward manual plowing method and whether the handle was held angled close in to the User or was angled out considerably so the blade was positioned much further away from the user. Since the leading edge was rounded this unit, even when angled out relatively far from the User as described, and in this operating mode could fairly cleanly scrape snow down to the hard surface underlying the snow which may afford Users some additional versatility as to how they may usefully operate this implement, and for some Users the ability to angle out the handle in this way may offer a more ergonomic or comfortable mode of use for them. Two other field test models having narrower width blades, one of about 114 mm (4.5 inches) and also one of about 152 mm (6 inches), were also employed in manual plowing of relatively deep snow of about 254 to 305 mm (10 to 12 inches) depth. The unit with the 144 mm (4.5 inch) wide blade was otherwise similar to the side walled one described in the previous paragraph except that it also possessed a relatively straight, as opposed to a round leading edge. It was initially found that this 114 mm (4.5 inch) wide blade without the side walls was as apt to split the snow it was driven into so that a large portion of the charge would slide or be deflected off the side edges of the blade rather than be sent up and ahead of the blade. This was rectified by attaching the side walls of flat aluminum sheets, similar to the ones previously described, to each of the blade's two side edges, the side walls running up and down the length of the side edges except for about one-inch length of side edge portions adjacent to the leading edge, and the side walls having a maximum height of about 76 mm (3 inches). PTFE film adhesive tape was also applied to the inboard faces of these side walls to provide a non-stick sliding surface. Yet another test implement that employed a 152 mm (6 inch) wide blade possessed side walls which were integrally molded by roll forming the heat-softened plastic blade along each side edge of the plastic blade approximately as depicted inFIG.13B. For this unit the side walls were only about 25 mm (1 inches) tall and ran the length of each side edge. This unit performed well in relatively deep snow as built. Given the above described field experiences, at least for blade units of the height as described above, the need for employing side walls and the dimensioning thereof are to some extent related to the width of the blade. A blade with a width equal or larger than perhaps about 150 mm (6 inches) may still benefit from the sidewalls to some degree by, as mentioned earlier, aiding all or most of a launched charge to move more forwardly through the air as opposed to some of the charge, especially portions near the side edges, from diverging from the forward direction with some component of sideways motion as the charge is launched ahead of the blade. Operation Background—Traditional Snow Shovel As mentioned previously, a traditional short-handled shovel has a wide blade that interferes with a User's body when attempting to effect rearward (oar-like) or side wise (oar- or sweeping-like) motions previously described. This manner of operation is not typically employed when using a traditional snow shovel. But assume a traditional snow shovel were so employed. First, short handles, and possibly bent (i.e. Z-jogged) handles, make such maneuvers awkward at best. Moreover, drawing a wide-bladed snow shovel face-on into fallen snow would likely require a very large manual force to be applied, especially for deep snow. To limit the necessary “manual plowing” force required, the User could compensate for the wide blade by positioning the blade so that it merely skims the top off fallen snow. This could work to reduce the manual force required, but with a wide blade it is difficult to prevent one or the other lower edge corners of the blade impacting the snow unevenly, and the impact on one or the other of the outboard corners of the wide blade tends to impose a large torque on the blade and handle causing the blade and handle to twist about the handle axis—posing additional strains and awkwardness on the part of the User who now must work to keep the shovel's handle and blade from twisting or spinning around in their hands. In addition, consistent control of the depth of the skim cut is awkward and difficult. A skimming method may be employed with the disclosed snow oar implement as well, and in this case, by virtue of the relative narrowness of the blade, it is not as susceptible to uneven impacts, and not as susceptible to a corner or side impact imposing relatively large torques on the handle tending to twist it (the available moment arm through which such a torque could be developed being smaller due to the narrower width of the blade and for some embodiments described previously due to the lower corners of the blade being rounded or chamfered off). Moreover, also due to the narrowness of the snow oar's blade, the User now has the option to skim snow with the side of the blade, and again, the narrowness of the blade is not as susceptible to developing large torques that either spin the implement or impose additional strains on the User reacting to these side loadings. The User of a traditional wide-bladed shovel could avoid bodily interference with a wide blade in sideways or rearward plowing motions by angling out the handle to keep the blade away from the operator; however, this then likely means an inboard portion or corner of the wide blade is virtually guaranteed to first impact the snow resulting in the aforementioned tendency for the blade and handle to twist in the operator's hands with each pass. Additionally, at least for traditional short handled shovels, the relatively short handle will limit the amount of angling out that can be given to the handle, and furthermore the relatively short handle will not be suitable for working in an upright standing stance, and the short handle will not furnish the necessary stability and leverage the operator needs to adequately control and impart sufficient velocity to the blade. Attempting to perform a rapid modified pusher motion, as previously described, with a short-handled wide-bladed type of traditional pusher or snow shovel means when drawing the short handle back, the blade will likely come back enough to interfere with the User's feet and legs (body) and hinder the amount of pull back, plus, again due to the short handle, the User will have to stoop or bend down to achieve the shallow blade angle limiting any overhand or side-armed arcing, swinging arm motion which, if performed would be awkward at best. Alternatively, the User could remain upright and hold the handle downward at a shallow angle using an under arm/hand position. In either case the distance, and perhaps also the speed, which the User could employ to drive the blade along would be limited by the short handle, thus increasing the need to walk behind to make up the distance, and the awkwardness of the motions would likely result in much reduced efficiency, and more walking may increase risk of the User slipping and thus may also decrease the safety of the operation. Operation—Snow Oar In one mode of operation, snow oar implement100can be grasped with two hands in a manner similar to grasping a hand-held “kitchen” broom (as opposed to a push-broom). Snow oar100can then be operated by User200to manually plow, propel, send, launch, eject, fling, or otherwise move charge of snow, slush, or ice300in, for example, a forward direction (that is, a direction in front or ahead of User200) which will tend to move or send snow300in a direction ahead of User200. With reference toFIGS.15A and15B, one example is shown where User200can grasp the handle with both hands in an “overhand grip” (i.e., wherein thumb side of each hand is facing away from shovel end) as if sweeping forwardly, that is, using an upper hand position and lower hand position with some spacing between the hands. User200can rotate the handle so the front face of the blade is facing more or less in the User's forward direction, and User200then can manipulate the handle so the blade is swung, swept, drawn, or otherwise driven approximately face-on into fallen snow, slush or ice in front of and ahead of User200, and by also imparting perhaps a slight upward-sweeping blade motion the snow can be sent in a forward trajectory through the air ahead of User200toward a desired resting spot. In a similar way, snow300can be sent both forward and at an angle toward one side or the other by User200manipulating handle12so blade10faces and can be swung, swept, drawn, or otherwise driven in a direction that is to some degree angled off the forward direction toward one side or the other ahead of User200. It is again the relative narrowness of the blade and the elongated handle, compared to the traditional snow shovel, that allows User200to manually swing, sweep, pull, push or otherwise draw or drive the blade more or less face-on into fallen snow, slush, or ice in one relatively continuous motion that in the process imparts velocity and momentum to the charge of snow so that it then can follow a trajectory through the air toward a relatively distant resting spot. Moreover, unlike in a traditional shoveling technique, since the snow oar's blade does not first need to be lowered face up and shoved or shoveled under and into a charge of snow, a User can remain in a relatively upright standing and stationary or semi-stationery stance when operating the snow oar in this way. With each swing or pass of the blade, additional snow can then be cleared away. With reference toFIGS.16A and16B, User200can also operate the snow oar in such a way as to send or move snow300in a more or less sideways direction relative to User200by manipulating handle12to rotate front face18of the blade10to point out approximately toward a User's right or left and again User200can swing, sweep, or otherwise draw or drive blade10more or less face-on into fallen snow, slush, or ice300in this direction to send the snow in this direction. A User can also send snow in both a sideways and rearward direction by pointing the front face of the blade in a more or less rearward-angled direction, then the User can manipulate the handle to pull, swing, sweep, or otherwise draw or drive the blade more or less face-on into the snow in this same direction and thus can impart a sideways and rearward trajectory to the snow sending it through the air toward a distant desired resting spot. With reference toFIGS.17A and17B, similarly User200can move snow300in a more or less rearward direction depending on the degree of rearward angle imparted by User200to both face blade10in that direction and manually pull, swing, sweep, or otherwise draw or drive blade10into the fallen snow, slush, or ice300in the same direction. Generally, it has been found when moving the blade sideways or rearwards or some combination of the two, that one ergonomic position for the User's hands is that the User's hand on the User's side that is in the direction the snow oar is to be swept or pulled, grasps the handle at the lower hand position. This lower positioned hand is then employed to pull the handle to that side and/or rearward. The opposite hand grasps the handle at the upper hand position. This is similar to the hand position when sweeping a kitchen broom to the side or rearward, and also somewhat similar to the hand position a canoeist employs when pulling an oar back through the water to propel the canoe forward. With reference toFIGS.18A,18B and18C, User200can also operate snow oar100in modified pusher mode, by typically grasping handle12with at least one hand near or at handle upper end14, or grasping a knob34(obscured by User200right hand; seeFIG.1) or handle-hold or grip attached to, or near, the handle upper end14, and holding the handle so the blade will be oriented in a tilted-back orientation so it's angled more shallowly to the ground. Then User200can employ a relatively large linear or arcing swinging or pushing motion of the driving hands or hand to drive implement blade10relatively rapidly forwardly into fallen snow, slush, or ice so that charge300rides up the front face of the moving blade and depending on the speed imparted to the blade, charge300can be either moved ahead of the blade to a desired spot, or if a more rapid motion us used, charge300can be ejected forward and upward ahead of blade10and User200, and charge300can again be sent in a trajectory through the air toward a desired resting spot. This modified pusher mode can also be performed in a both forward and angle off to one side or other of a User, or even in a sideways direction relative to User200. A deflector flange feature previously described can enhance the upward and forward motion of the charge and thereby aid in deflecting or urging the charge in a more upward and forward direction and speed which can send the charge further away despite the blade being tilted backward. Most of the motion or momentum imparted to the charge can be imparted by the User's arm and upper body motion while the User can remain in a stationary or semi-stationary upright stance, rather than by User having to impart the motion by walking the implement along. A User has the flexibility to manipulate the blade in various other advantageous ways. For example, if clearing snow on steps with parapet side walls, a User can impart more of an upward trajectory to the snow by so manipulating the handle and blade so that the trajectory of the snow will clear the walls. The implement can permit a User to clear steps from the top down, for example going from the porch to the sidewalk, while remaining in a more or less upright stance. The snow oar can also be operated in a traditional shoveling mode as well, which helps in clearing detailed areas where it makes more sense to employ a traditional “shoveling” method. Performing the above described processes using a traditional snow shovel, having a short handle and a relatively wide blade, would be awkward at best and in some cases not practical or possible due to the enormous manual force that would likely be required to draw or drive such a wide blade face-on through snow, and as well for rearward motions where the wideness of the blade would increase the chance the blade will strike the User's legs. The physical characteristics of the snow oar also make it convenient to employ in various other ways. For example, it is useful to owners of large vehicles such as SUVs for clearing snow and ice off the front hood and windshield of the vehicle which otherwise is a chore made difficult especially in deep snow, due to the great height and width of the vehicles. Conclusions, Ramifications, and Scope While the above descriptions of structure and operation of the snow oar implement furnish a number of specific examples, these are offered merely as some illustrative examples among many, and therefore the examples and descriptions offered should not be construed as defining limitations on the scope of this disclosure. Other examples and variations on those given are possible. For example, there are other materials and other methods of manufacture than those suggested, with which to make the implement. Even materials and manufacturing methods that do not yet exist might be applicable to the manufacture of this implement. Other methods than, or in addition to, those suggested for stiffening and reinforcing the blade against undue flexure and stress can be employed, if even needed. Variations in the shape of the blade, including the shape of side walls and deflector flanges, can be employed. The shape of side walls and deflector flange can likely be varied considerably and still provide their function. I have just showed one or more embodiments among potentially many. In some cases efficiencies in fabricability may drive certain shapes or details. The implement can be made of one material, or of two or more materials, or as an integral unit, for example as a one-piece molded plastic implement which includes both blade and handle (e.g. see U.S. Pat. No. 4,280,727 to Germain, 1981, incorporated herein by reference), or by materials being suitably joined or connected by any suitable means. The implement could employ a detachable blade, for example an implement with a set of interchangeable detachable blades, with different blades sized or otherwise formed to suit particular conditions. The upper end portion of the handle can be capped with a knob or other protrusion or flare, which might aid in preventing a User inadvertently “throwing” the implement, or with a traditional “Y” handled shovel type hand grasp, or can be left as a plain-ended handle. While some figures show the handle portion positioned so it “splits” the blade portion in a direction more or less perpendicular to the blade height and width direction, other positions of the handle portion relative to blade portion are possible such as being spaced further rearwardly relative to the blade portion or spaced further forwardly relative to the front face of the blade portion or it could be positioned at an intermediate position between the more forward and rearward positions. A User is not constrained to employ the implement with just one face-on manual plowing, or modified pusher plowing method of operation as earlier described; rather, the implement offers versatility in that other orientations of the blade and handle during manual plowing use can be employed by a User, if desired, and depending on conditions might be more suitable than the face-on manual plowing or pusher plowing described. For example, especially in snow that is not too deep, in field testing it has been found beneficial in some cases to increase efficiency and speed of snow removal, that the manual plowing can also also be employed with the handle rotated more or less backward, opposite the forward direction of the blade's motion and relative to the blade, so that the front face of the blade approaches the snow with more of a back-angle, that is the upper or trailing edge of the blade is tilted back and down so the blade's face approaches the snow at an oblique or inclined orientation or angle as it approaches the fallen snow. Here, the blade is not necessarily sliding along the pavement as in the pusher plowing mode, and likewise is not being driven face on into snow, slush or ice, but is operated somewhat in between these two modes. Finally, the handle can be made in releasably coupled sections. This can for example permit the implement to be broken down into shorter lengths for convenient storage, transporting, and shipping. Accordingly, it is to be understood that variations and modifications in the construction, form, and arrangement of one or more elements are possible, as are variations in the modes of operating the implement, without departing from the spirit and scope represented by this disclosure. | 50,245 |
11859358 | DETAILED DESCRIPTION In the following description, the same numerical references refer to similar elements. Furthermore, for the sake of simplicity and clarity, namely so as to not unduly burden the figures with several references numbers, not all figures contain references to all the components and features, and references to some components and features may be found in only one figure, and components and features of the present disclosure which are illustrated in other figures can be easily inferred therefrom. The embodiments, geometrical configurations, materials mentioned and/or dimensions shown in the figures are optional, and are given for exemplification purposes only. In addition, although the optional configurations as illustrated in the accompanying drawings comprise various components and although the optional configurations of the sleigh shovel as shown may consist of certain geometrical configurations as explained and illustrated herein, not all of these components and geometries are essential and thus should not be taken in their restrictive sense, i.e. should not be taken as to limit the scope of the present disclosure. It is to be understood that other suitable components and cooperations thereinbetween, as well as other suitable geometrical configurations may be used to embody the shovel, and corresponding parts, as briefly explained and as can be easily inferred herefrom, without departing from the scope of the disclosure. As will be explained below in relation to various embodiments, a sleigh shovel for shoveling and discharging snow, or other material, is provided. As is well known in the art, typical sleigh shovels include a scoop and a push bar connected to the scoop for handling thereof. It should be understood that, as used herein, the expression “scoop” refers to the part of the shovel adapted to contain the material to be shoveled. It should also be understood that the material to be shoveled can be any suitable material which can be contained, transported, pushed, displaced, etc. by a shovel, and is thus not limited to snow and/or sand. However, for simplicity and clarity, snow will be used as the main example of “material to be shoveled” throughout this disclosure. In addition, the sleigh shovel described herein includes a discharge mechanism operable to effectively discharge the scoop of its content in a manner that will be described below. Referring toFIGS.1and2, a sleigh shovel10in accordance with an embodiment is shown. In this embodiment, the shovel10includes a scoop100comprising spaced apart lateral panels110connected to one another via a rear panel112and a bottom panel114. The panels of the scoop100cooperate with one another and define a scoop interior volume105adapted to contain material (e.g., snow). In the present embodiment, the lateral panels110can be substantially parallel to one another, i.e., define a 90-degree angle with the bottom panel114. However, it is appreciated that the rear and/or lateral panels110,112can extend from the bottom panel114at any suitable angle (e.g., 45 degrees, 60 degrees, 120 degrees, 150 degrees, etc.), and can be at least partially curved along a length thereof. For example, the rear panel112shown inFIG.9is curved along a length thereof between the top and bottom edges. It should be noted that the scoop100can include a back section102proximate the rear panel112, a front section104opposite the back section102, and a front opening106defined at the front section104. It should be understood that snow can thus enter the scoop interior volume105via the front opening106during shoveling of snow, as is well known in the art. In some embodiments, the rear panel112and bottom panel114can be connected to one another in an L-shaped configuration, with the rear panel112extending upwardly from the bottom panel114. In some embodiments, the bottom panel114can extend forwardly along substantially half the length of the scoop100, defining a discharge opening108(FIG.3) between the lateral panels110proximate the front section102. The panels of the scoop100can be made of any suitable material, such as plastic for example, and connected to one another to form the scoop100. Preferably, the scoop100is made as a one-piece unit via plastic injection molding, although it is appreciated that other methods are possible. In this embodiment, the sleigh shovel10further includes a push bar assembly200operatively connected to the scoop100to facilitate handling thereof. In other words, the push bar can be connected directly or indirectly to the scoop via another component. The push bar assembly200can include a pair of longitudinal bars202respectively connected to and extending from a corresponding one of the lateral panels110, and a transversal bar204connecting the longitudinal bars202at a top end thereof. In some embodiments, the longitudinal bars202can be further connected to one another via a bracket210mounted along the longitudinal bars202, between the transversal bar204and the scoop100. It is appreciated that the bracket210can further strengthen the push bar assembly200to avoid unintentional bending of the longitudinal bars202during use of the shovel10. The bars of the push bar assembly200can be made of metal, such as steel for example, for reinforcing the assembly200, although other materials are possible. Now referring toFIGS.3and4, in addition toFIG.1, the sleigh shovel10further includes a discharge mechanism300operatively connected to the scoop100for effectively discharging (i.e., emptying) the contents of the scoop100. As such, the sleigh shovel10can be operable between a scooping configuration11(FIG.1), where snow can be scooped up, contained and/or transported within the scoop interior volume105, and a discharge configuration12(FIG.3), where the scoop100can be emptied upon operation of the discharge mechanism300. In this embodiment, the discharge mechanism300can be manually operated to change the configuration of the shovel10from the scooping configuration11to the discharge configuration12. It should be understood that, in the context of the present disclosure, the expression “manually operated” refers to the operation of the discharge mechanism300without the use of tools or other mechanisms/devices. In some embodiments, the discharge mechanism300includes an actuator or actuating assembly400in order to be operated. In other words, the discharge mechanism300can be manually operated to change the configuration of the shovel10via the actuating assembly400. In this embodiment, the actuating assembly includes a lever405operatively connected to the discharge mechanism300in a manner that will be described further below. As seen inFIGS.1and3, the lever405can be connected to the bracket210of the push bar assembly200to maintain the lever405at a desired position while solidifying the lever405on the shovel10. In some embodiments, the discharge mechanism300can include one or more movable/adjustable components (e.g., panels) mounted and/or connected to the scoop100for facilitating unloading the scoop100. In this embodiment, the discharge mechanism300includes an adjustable panel310mounted between the lateral panels110. More specifically, the adjustable panel310is mounted within the scoop100and is adapted to substantially cover the discharge opening108. As such, the adjustable panel310can be an extension of the bottom panel114, extending forwardly therefrom toward the front opening106, as illustrated inFIG.1. In some embodiments, the adjustable panel310and bottom panel114can have substantially the same size, respectively covering half the area between the lateral panels110. However, it is appreciated that the adjustable panel310and the panels of the scoop100(e.g., bottom panel114) can have any suitable size which can define a discharge opening108having, in turn, any suitable size to facilitate unloading of the scoop100. In this embodiment, the adjustable panel310is made of plastic and is shaped using plastic injection molding. However, it is appreciated that other materials and/or methods of making the adjustable panel310are possible. Referring toFIGS.1and3, the adjustable panel310can be slidably connected to the scoop100and thus adapted to be displaced to reveal/uncover the discharge opening108. In this embodiment, the adjustable panel310can be operable between an advanced position311, where the discharge opening108is covered (FIGS.1and2), and a retracted position312, where the discharge opening108is uncovered to allow snow to exit the scoop100from below, through the discharge opening108(FIGS.3and4). As such, it should be understood that the scooping configuration11of the shovel10corresponds to the adjustable panel310being in the advanced position311, and that the discharge configuration12corresponds to the adjustable panel310being in the retracted position312. In some embodiments, and as seen inFIGS.8and10, the adjustable panel310can be provided with a reinforcement member313extending along a front edge thereof for providing protection to the adjustable panel310. The reinforcement member313can be made of metal, such as aluminium or steel for example, and can be shaped and configured to facilitate the shoveling of snow. For example, the reinforcement member313can be tapered toward a forward edge thereof to help scoop snow from off the ground and into the interior volume105. In some embodiments, the adjustable panel310can be adapted to slide toward the back section102so that the adjustable panel310and bottom panel114substantially overlap each other. In alternate embodiments, it is appreciated that the adjustable panel310can slide in any suitable direction (e.g., towards the front, sideways, etc.) in order to uncover the discharge opening108. In this embodiment, the adjustable panel310slides under the bottom panel114upon operation of the discharge mechanism300, effectively uncovering the discharge opening108. However, it is appreciated that the adjustable panel310can overlap the bottom panel114by sliding within the scoop interior volume105, atop the bottom panel114. It is further appreciated that the adjustable panel310can include more than one panel and can thus be split in a plurality of adjustable panels (not shown) being respectively movable/slidable in any suitable direction. In this embodiment, the adjustable panel310is slidably mounted to each lateral panel110within the scoop100. More specifically, the adjustable panel310is connected to each lateral panel110via a tongue and groove connection. For example, and as seen inFIGS.5and5A, each lateral panel110can include a bottom groove115extending along a length thereof, and the adjustable panel310can include protrusions315on either side for engaging a corresponding one of the bottom grooves115. In the present embodiment, each protrusion315can be shaped and sized so as to prevent accidental disengagement from the bottom groove115. More particularly, each protrusion315includes a cylindrical head316and a connector, or neck317, connecting the cylindrical head316to the adjustable panel310. The neck317is illustratively narrower than the cylindrical head316and can thus prevent radial movement of the protrusion315while within the bottom groove115. As such, it should be understood that the adjustable panel310can only move along the bottom groove115during operation of the discharge mechanism300. It is appreciated that other configurations of the adjustable panel310are possible to allow movement thereof for uncovering the discharge opening108. In some embodiments, the bottom grooves115and/or the protrusions315can be made of the same material, such as metal, such as a lightweight metal, such as aluminium for example. However, it is appreciated that other configurations and/or materials are possible. For example, the cylindrical head316of the protrusion315can be made of a first material, while the neck317can be made of a second material. Now referring toFIGS.6and7, it should be noted that the adjustable panel310is operatively connected to the actuating assembly400, and that manual operation of the actuating assembly400(e.g., pulling the lever405) effectively displaces the adjustable panel310along the bottom grooves115. In this embodiment, the actuating assembly400can include one or more adjustable panel arms410connecting the adjustable panel310to the lever405. Therefore, it is appreciated that manual operation of the lever405effectively displaces the adjustable panel310along the bottom grooves via the adjustable panel arms410. In some embodiments, the lever405can be pivotally connected to the bracket210in a central portion thereof. It should thus be understood that pulling the lever405substantially downwardly at a top end406thereof effectively rotates the bottom end407in the opposite direction. It is noted that the lever405includes two longitudinal members408(FIG.1), each having a bottom end407for connecting with a corresponding one of the adjustable panel arms410. However, it is appreciated that the adjustable panel arms410can be connected at any suitable part of the lever405. Additionally, and as better seen inFIG.4, the adjustable panel arms410can extend through openings113located on the rear panel112of the scoop100so as to be connected to the adjustable panel310. However, it is appreciated that the adjustable panel arms410can be connected to the adjustable panel310in any suitable manner, such as from the side (e.g., through the lateral panels110) or from below for example. Still referring toFIGS.6and7, each adjustable panel arm410can include one or more arm segments pivotally connected to one another between the lever405and the adjustable panel310. As such, the adjustable panel arm410can be articulated so that pulling the lever405(i.e., raising the bottom end407) results in the adjustable panel310being pulled towards the back section102, as illustrated inFIG.7. In this embodiment, each adjustable panel arm410includes a first arm segment412, a second arm segment414and a third arm segment416pivotally connected to one another. More specifically, the first arm segment412is pivotally connected to the bottom end of the lever407and to the second arm segment414, while the third arm segment416is pivotally connected to the adjustable panel310and the second arm segment414. In this embodiment, the first and second arm segments are further connected to the rear panel112at a pivot point418to increase stability and allow the desired articulation between the segments of the arm410, the lever405and the adjustable panel410. It should be noted that other configurations of the adjustable panel arm410are possible for connecting the lever405and the adjustable panel310. For example, the adjustable panel arms410illustrated inFIG.9have a first arm segment412extending between the lever405and the third arm segment416which connects to the adjustable panel310. In this embodiment, the first arm segment412has a substantially arcuated shape proximate the pivot point318such that pulling the lever405downwardly operates the discharge mechanism300(i.e., retracts the adjustable panel310). In this embodiment, the adjustable panel arms410are made of aluminium, although it is appreciated that other materials are possible. In alternate embodiments, the adjustable panel arms410can include a chain sprocket (not shown) on any one of the segments412,414,416operable upon pulling the lever405to effectively retract the adjustable panel310. Referring back toFIGS.1and3, and with further reference toFIG.10, the discharge mechanism300can further include a sliding wall320slidably mounted within the scoop100proximate the back section102. In some embodiments, the sliding wall320is positioned in an upright position (e.g., against the rear panel112) and is adapted to push snow contained within the scoop100forwardly upon operation of the discharge mechanism300. It is appreciated that the sliding wall320can conform to the shape of the rear panel112such that if the rear panel112is curved, the sliding wall320will be correspondingly curved (as seen inFIG.10). The sliding wall320can be displaced within the scoop100towards the front section104, and more specifically towards the discharge opening108, dragging snow along its path. In some embodiments, the sliding wall320can be connected to each lateral panel110within the scoop100via tongue and groove connections, in a similar fashion as the adjustable panel310. In the present embodiment, the sliding wall320includes lateral extensions322on either side to effectively connect with each lateral panel110. It should be appreciated that the lateral extensions322can further support the sliding wall320in the upright position during operation of the shovel10and/or discharge mechanism300. In this embodiment, the sliding wall320and lateral extensions322are made of the same material, which can be the same material as the panels of the scoop100(e.g., plastic). Moreover, it is appreciated that the sliding wall320and lateral extensions322can be made as a one-piece unit using plastic injection molding for example. As seen inFIG.5A, each lateral panel110can include a sliding wall groove125extending alongside corresponding bottom grooves115, and each lateral extension can include protrusions325for engaging the sliding wall grooves125. Similar to the connection of the adjustable panel310, the protrusions325can include a cylindrical head326connected to the lateral extensions via a connector, or neck327. As previously described, the neck327is illustratively narrower than then cylindrical head326, thus preventing disengagement of the protrusion325from the sliding wall groove125. Therefore, it should be understood that the sliding wall320can only slide back and forth within the scoop100along the sliding wall grooves125. Referring once again toFIGS.6and7, the sliding wall320is operatively connected to the actuating assembly400via one or more sliding wall arms420. More specifically, the sliding wall arms420are adapted to connect the sliding wall320to the lever405, at the bottom end407thereof. Therefore, it should be understood that manual operation of the actuating assembly400(i.e., pulling the lever405) displaces the sliding wall320along the sliding wall grooves125and toward the discharge opening108. In this embodiment, the sliding wall320can be operable between a scooping position321, where the sliding wall320substantially abuts against the rear panel112, and a discharge position322, where the sliding wall320is moved proximate the discharge opening108. It should be apparent that the scooping configuration11thus corresponds to the sliding wall320being in the scooping position321, and that the discharge configuration12corresponds to the sliding wall320being in the discharge position322. It should be noted that the sliding wall arms420and adjustable panel arms410can be connected to one another via the lever405. More specifically, the first segments412and sliding wall arms420each connect to the lever405at the bottom end thereof407. As such, it should be understood that pulling the lever405can effectively engage both the bottom panel arms410and sliding wall arms420simultaneously. In other words, pulling the lever405slides the adjustable panel310from the advanced position311to the retracted position312while simultaneously having the sliding wall320move from the scooping position321to the discharge position322in order to push the contents of the scoop100(e.g., snow) toward the discharge opening108. Therefore, it is appreciated that the scoop100can advantageously be unloaded/discharged in one motion (i.e., by pulling the lever405). In some embodiments, the distance traveled by the sliding wall320can be substantially the same as that traveled by the adjustable panel310. However, it should be apparent that the sliding wall320and adjustable panel310move in opposite directions, i.e., towards the front section104and towards the back section102respectively. For example, upon operation of the discharge mechanism300, the sliding wall320can slide forwardly following the sliding wall groove125along half the length of the scoop100, and the adjustable panel310can slide rearwardly following the bottom groove115along substantially the same distance. It should however be appreciated that the distance traveled by the sliding wall320can be greater than that of the adjustable panel310, or vice-versa. Referring broadly toFIGS.1through12, a method of displacing and discharging snow using the sleigh shovel10as described above is provided. Firstly, the scoop100can be filled with snow in a conventional manner, e.g., by pushing the shovel10in a snow bank so as to have snow enter the scoop interior volume105via the front opening106(FIG.11). Then, the shovel10can be displaced to a desired location, preferably away from the snow bank from which snow was initially collected, by pushing the scoop100using the push bar assembly200. Once at the desired location, the discharge mechanism300can be operated by pulling the lever405, effectively pushing the snow located in the scoop interior volume105toward the front section104, and therefore toward the discharge opening108(FIG.12). Once pushed, the snow will fall through the discharge opening108, contacting the ground beneath the shovel10. Without letting go of the lever405, the scoop100can be moved away from the recently discharged snow so as to not accidentally “re-scoop” snow within the scoop interior volume105. Finally, the lever405can be released so as to once again operate the shovel10in the scooping configuration11to be able to displace and discharge additional snow. Embodiments and examples of the sleigh shovel have been described and illustrated herein. These embodiments are intended to be exemplary only. A person skilled in the art would appreciate the features of the individual embodiments, and the possible combinations and variations of the components. A person skilled in the art would further appreciate that any of the embodiments could be provided in any combination with the other embodiments disclosed herein. It is understood that the shovel may be embodied in other specific forms without departing from the central characteristics thereof. The present examples and embodiments, therefore, are to be considered in all respects as illustrative and not restrictive, and the shovel, and corresponding features, should not be limited to the details given herein. Accordingly, while specific embodiments have been illustrated and described, numerous modifications come to mind without significantly departing from the scope of the invention as defined in the appended claims. | 22,676 |
11859359 | DESCRIPTION OF THE EMBODIMENTS In order to avoid duplication of description, identical reference numerals will be shown, where applicable, throughout the illustrated embodiments to indicate similar integers. In the drawings a first embodiment is shown inFIGS.1to5, which shows a cable drive device10having a linear drive member12and cable drum14. Linear drive member12has a longitudinal base member16with a pair of arms18,20. A pair of pivot pins22,24are provided at each end for attachment to a respective member as described in subsequent embodiments. Cable drum14has a central axle26rotatably held by a journal or bearings28in a support member29. Arms18,20may, if required, be substituted by a longitudinal bar or plate. A pair of faceplates30,32support journal or bearings28at the opposite end thereof by pins34,36. Pins34,36will, in use, slide along base member16with the cable drum14being on one side of the linear drive member12and pins34,36on the other side. Pins34,36will prevent cable drum14from leaving the face of linear drive member12. Rollers can replace pins34,36, for reducing frictional resistance. The pair of pins34,36can be substituted by a single pin or roller, which would be preferably centrally located between the positions of pins34,36. Cable drum14has spiraled grooves38in its outer circumferential face40to allow a cable42to be wound out or wound out from cable drum14. Cable42is held taut and is coupled to pivot pins22,24at opposite ends of linear drive member12. Cable42is threaded through a hole44in cable drum14passing diagonally there through from opposing outer edges of outer circumferential face40. Cable42is pre-tensioned above the maximum design load of cable drive device10. As only a single cable42is provided with multiple wraps around cable drum14, cable42is not subject to slip. Cable drive device10can provide movement of a member by attaching either pivot pins22,24to a member to be moved and anchoring support member29to a stationary support. Rotation of central axle26will result to longitudinal movement of linear drive member12through support member29by the roll on or roll off movement of cable42around cable drum14. Cable drive device10can generally replace devices used for a rack and pinion type of movement. FIGS.43and44illustrate a similar cable drive device shown inFIGS.1to5where an arcuate or circular drive member206replaces linear drive member12. Cable42is similarly attached to pivot pins22,24and is held taut in groove208of arcuate or circular drive member206. Cable drum14sits inside of groove208and can be supported by a bracket (not shown) and/or by axle26. The arcuate or circular drive member206is shown as extending for angle X° where X can be any angle up to about 360°. The arcuate or circular drive member206will rotate about a central axis210. Cable drum14will be configured in a similar manner to that described with reference toFIGS.1to5. This embodiment will simulate a pinion gear (cable drum14) driving a larger circular gear (arcuate or circular drive member206). In use, the radial base216can be attached to a movable member, for example, the top of a flap valve and the flap valve can pivot about central axis210. Axle26can be supported by a frame member and axle26can be rotated to allow radial base216to be move about central axis210as shown inFIG.44. This movement will lift the flap valve to open the valve. FIG.6illustrates an enhancement of the cable drive device10shown inFIGS.1to5. In this embodiment a pair of linear drive members12,12A on opposite sides of cable drum14are provided. Separate spiraled grooves (not shown) are formed in the circumferential face of cable drum14to allow cables42,42A to co-operate with cable drum14. The operation of linear drive member12A is identical to that of linear drive member12discussed with reference toFIGS.1to5. Because the linear drive members12,12A are on opposite sides of cable drum14and have opposing displacements, the movement of linear drive member12in one direction will cause movement of linear drive member12A in the opposite direction on rotation of axle26. This movement will allow greater linear movement between pivot pins22,24A. FIG.7shows the use of the cable drive device10shown inFIGS.1to5in an irrigation system. A barrier member46is hinged at the bed or base48of a channel50through which water passes. Barrier member46includes a base member52and side members54,56. Barrier member46may be of a rigid construction, of the type shown in International Patent Application No. PCT/AU01/01036, or may be flexible, of the type known as Padman Bay outlets, or a combination thereof. The free end58of barrier member46is pivotally attached to pivot pin24of linear drive member12of cable drive device10ofFIGS.1to5. Support member29is secured to a frame member60across channel50. An electric motor62is coupled to axle26to allow rotation of cable drum14. FIG.8shows a variation ofFIG.7where a pair of cable drive devices10are used. In this embodiment, electric motor62has an extended shaft64to allow rotation of the axles of both cable drive devices10. If required, separate electric motors could be used. The embodiment is not limited to two cable drive devices10as any numbers may be used to suit the width of the channel50.FIGS.7and8show use of a rigid construction of barrier member46. FIGS.9to11show the operation of barrier member46using the cable drive device or devices10.FIGS.9to11show barrier member46having a rigid base member52and flexible side members54,56.FIG.9has barrier member46in the closed position with linear drive member12fully extended in the upward direction. As barrier member46is lowered, water flows over the free end58of base member52in a controlled manner (FIG.10). Full flow of water is obtained when linear drive member12is fully extended in the downward direction (FIG.11). Linear drive member12will be partially immersed in the water, which can be a harsh environment for such devices. In prior art devices it is commonplace to use gear mechanisms, which do not suit being immersed or being exposed to water. Gears can jam and the gear teeth can wear resulting in drive backlash. The cable drive devices10do not suffer these disadvantages and allow a more accurate positioning of barrier member46to assist in superior measurement. FIGS.12to16are very similar in construction and operation to the embodiment shown inFIGS.7to11. In this embodiment a dam wall66extends across the channel and barrier member46is pivotally attached to the bottom of dam opening68rather than at the bed or base48of channel50. Although the cable drive device10ofFIGS.1to5has been shown with reference to its use in the irrigation field inFIGS.7to16its use is not limited to that environment. Cable drive device10can be used where any mechanical movement is required. FIGS.17to22illustrate an embodiment of a fluid control barrier70, which is attached to the end72of a pipe74. Pipe74is shown vertically disposed but could be readily disposed horizontally, or at any other desired angle. The fluid control barrier70can also be adapted to be located within pipe74and the embodiment described is not limited to the position or orientation shown inFIGS.17to22. A flange76at the end of pipe74provides attachment to a flange78of fluid control barrier70. A sealing lip80on flange78allows the sealing thereto of a pair of semi-circular plates82,84forming a barrier member. The plates82,84are joined along their diametric sides by hinge86to open and close fluid control barrier70and form a bi-foldable barrier member. Hinge86is fixed and constrained by frame elements88,90of frame92. A pair of cross-members94,96complete frame92. Plates82,84fold in the direction of flow towards the centreline when opening and into the flow away from the centreline when closing. In order to open and close plates82,84a pair of struts98,100downstream of pipe74are pivotally attached to plates82,84at one end and are pivotally attached at the other end to a threaded journal102at the other end to form a thrust point. Journal102is coupled to a threaded member104supported by bearings106,108in respective cross-members94,96. Rotation of the end110of threaded member104will result in opening and closing of fluid control barrier70as indicated by arrows112. FIGS.17to19show plates82,84pressed onto sealing lip80to prevent escape of water from pipe74. Turning end110of threaded member104will cause threaded journal102to move up threaded member104, as threaded journal102is constrained from rotating. The upward movement of threaded journal102will lift struts98,100, and plates82,84will thus lift away from pipe74to open fluid control barrier70, as shown inFIGS.20to22. In this configuration the fluid control barrier70can be used for flood irrigation where water flows out pipe74and onto the ground. End110can be turned by hand or coupled to a rotation means e.g. motor or axle (not shown) controlled by irrigation automation (not shown). By turning end110in the opposite direction the plates82,84will pivot towards sealing lip80to stop water flow. It is evident that other forms of movement of the plates82,84can be utilised and the invention is not limited to the embodiment shown inFIGS.17to22.FIGS.23to28,FIGS.31to36andFIGS.37to42disclose various embodiments to move plates82,84.FIGS.23to28have struts98,100replaced by cable drive devices114,116, described with reference toFIGS.1to5. Threaded member104has been replaced by a rotatable shaft118coupled to the axles of cable drive devices114,116and supported by frame elements88,90. The operation is very similar to that shown in the embodiment ofFIGS.17to22where rotation of shaft118will result in the opening or closing of plates82,84. The fluid control barrier70can also be adapted to be located within pipe74and the embodiment described is not limited to the position or orientation shown inFIGS.23to28. The embodiment shown inFIGS.31to36is very similar to the embodiment shown inFIGS.17to22. In this embodiment a cable drive device120, described with reference toFIGS.1to5, replaces threaded member104. Threaded journal102is not required as struts98,100can be directly mounted to pivot pin24of cable drive device120. The support member29of cable drive device120is mounted to cross-member94. A drive shaft (not shown) is coupled to central axle26for rotation of cable drum14resulting in opening and closing of plates82,84. The fluid control barrier70can also be adapted to be located within pipe74and the embodiment described is not limited to the position or orientation shown inFIGS.31to36. The embodiment shown inFIGS.37to42has a completely different actuation mechanism when compared with the embodiments ofFIGS.17to28andFIGS.31to36. In this embodiment a threaded screw member122is supported in journals124,126in frame elements88,90. Threaded screw member122has opposing threads128,130separated by an unthreaded section132. A pair of rotatable journals134,136are mounted on respective plates82,84and equispaced from hinge86. A pair of threaded journals138,140are threadably attached to respective threads128,130on threaded screw member122and equispaced from unthreaded section132. A first pair of equal length struts142,144are pivotally mounted to rotatable journal134at one end and to threaded journal136at the other end. A second pair of equal length struts146,148are pivotally mounted to rotatable journal134at one end and to threaded journal136at the other end. The points of attachment to each plate82,84are along the radial axis that bisects the semicircle. The location of the position of rotatable journals134,136can vary and may be determined on the basis of the specific force loading of the actuation mechanism and what is optimal for the actuation mechanism. From the closed position of plates82,84shown inFIGS.37to39, the shaft end150of threaded screw member122can be rotated. As the threaded journals138,140are constrained from rotating, the threaded screw journals138,140will move outwardly along respective threads128,130, as evident fromFIGS.40to42. Struts142to148will pivot and cause plates82,84to be lifted and open the fluid control barrier. Turning the shaft end150in the opposite direction will reverse the movement and plates82,84will be moved towards the closed position. The fluid control barrier70can also be adapted to be located within pipe74and the embodiment described is not limited to the position or orientation shown inFIGS.37to42. The cable drive device depicted inFIG.6could replace the outward and inward movement of threaded journals138,140along threaded screw member122. The embodiment shown inFIGS.29to30is very similar to the embodiment shown inFIGS.31to36. The major difference is that instead of having plates82,84mounted at the end of pipe74, plates82,84are mounted inside pipe74. The other difference is that the cable drive120of the type described inFIGS.1to5is located inside pipe74rather than being externally mounted. An annular ring152on the inner circumference of pipe74replaces sealing lip80. Annular ring152has a pair of protuberances154to receive the central pin of hinge86to fix the position of plates82,84. Plates82,84are moved by the longitudinal movement of linear drive member12which is pivotally attached to struts98,100. Cable drum14has an axle156extending through pipe74and supported in bearings or journals158,160in, or on, pipe74. Rotation of the end162in the direction of arrow164will open the valve by lifting plates82,84from its sealed position on annular ring152and allow flow of water through pipe74in the direction of arrow166. It is evident that other actuation mechanisms can be utilised in relation to the mounting of plates82,84inside pipe74. For example, the embodiment shown inFIGS.23to28may be used. The embodiment shown inFIGS.45to48differs from the embodiments shown inFIGS.17to28andFIGS.29to42, in that fixed hinge86is replaced by a floating hinge168. In this embodiment the hinge168is free to move in the direction of the pipe74centreline axis and remain perpendicular to the axis. The valve device can be used at pipe inlets and pipe outlets as well as internal to the pipe74as shown in this embodiment. A threaded screw member170is supported in journals172,174in pipe74. Threaded screw member170has opposing threads176,178separated by an unthreaded section180. A first pair of equal length struts190,192are pivotally mounted to an unthreaded section194of threaded screw member170at one end and to respective plates82,84at the other end. A second pair of equal length struts196,198are pivotally mounted to an unthreaded section200of threaded screw member170at one end and to respective plates82,84at the other end. The pivotal attachment of struts190,192,196and198to respective plates82,84is along, or near to, the centroidal axis of the semi-circular plates82,84. It is also possible to have only one set of struts, which could be pivotally attached to the central unthreaded section180. In this embodiment the fluid pressure load associated with the semi-circular plates82,84is transferred to the threaded screw member170through the struts190,192,196and198. A key aspect of this embodiment is the location of pivotal load supporting struts190,192,196and198at or near the centroidal axis. Supporting the plates82,84at the centroidal axis means the net fluid pressure forces are equal either side of the centroidal axis of each semi-circular plate82,84. The resultant effect is that the net force in opening or closing the plates82,84is minimal and largely those associated with the frictional force in moving the hinge168. This will substantially reduce the power requirements of a motor (not shown) to open and close the fluid control barrier. A small solar powered motor could be used. The movement of hinge168in this embodiment uses a pair of threaded journals182,184that are threadably attached to respective threads176,178on threaded screw member170and equispaced from unthreaded section180. A first strut186is pivotally mounted to hinge168at one end and to threaded journal182at the other end. A second strut188is pivotally mounted to hinge168at one end and to threaded journal184at the other end. FIGS.45and47show the closed position whilstFIGS.46and48show the open position of the fluid control barrier. From the position shown inFIGS.45and47the threaded screw member170is rotated which results in threaded journals182,184moving towards the centre of pipe74and pulling struts186,188towards each other. This movement pushes hinge168away from threaded screw member170to release plates82,84in a folding action from seal202to open the fluid control barrier. As previously discussed the net force in opening or closing the plates82,84is minimal and largely those associated with the frictional force in moving the hinge168by struts186,188. Struts190and192and struts196and198will be drawn towards one another as shown inFIGS.46and48. In addition, the positioning of the struts190,192,196and198on plates82,84with a slight location bias either side of the centroidal axis can result in a resultant force with a bias towards either self closing or self opening depending on what side of the axis is the location of the pivotal connection of the struts190,192,196and198. A similar result can be obtained by offsetting the mounting point of the struts190,192,196and198above the surface of said plates82,84and slightly away from the centroidal axis. In a further embodiment threaded screw member170could be replaced by an unthreaded member and threaded journals182,184replaced by annular drive rings which could be controlled by individual actuator members or by a cable drive device as shown inFIG.6. The definition of Centroid and Centroidal Axis: The Centroid is defined as the geometric centre or centre of mass of an object. For the purposes of this application the surface area either side of the centroidal line are equal and therefore the net pressure forces either side of the centroidal line of a semi-circular plate are equal. The Centroidal axis is parallel to the straight edge of a semi-circular shaped plate and at a distance of y from the straight edge and where; y=4R/3π WhereRis the radius of the semicircle. The embodiments shown inFIGS.49to64show different actuator devices to move floating hinge168as described inFIGS.45to48. FIGS.49to52show a similar fluid control barrier to that shown inFIGS.45to48. In this embodiment movement of hinge168is by a pair of cable drive devices10as described with reference withFIGS.1to5. A non-threaded shaft204passes through pipe74to replace the threaded screw member170. Struts186,188are not required to move against hinge168. Cable drive devices10, from the closed position, pull hinge168. The embodiment shows a pair of cable drive devices10at opposing ends of hinge168but a single centrally located cable drive device10could also be used. The pivotal attachment of struts190,192,196and198to respective plates82,84is along, or near to, the centroidal axis of the semi-circular plates82,84as described with reference toFIGS.45to48.FIGS.49and51show the closed position of the fluid barrier whilstFIGS.50and48show the open position of the fluid control barrier. From the position shown inFIGS.49and51the axles156are rotated causing the linear drive members12to pull hinge168axially away and pull struts186,188towards each other. This movement pulls hinge168to release plates82,84in a folding action from seal202to open the fluid control barrier. Struts190and192and struts196and198will be pulled towards one another as shown inFIGS.50and52. Again the net force in opening or closing the plates82,84by cable drive devices10is minimal. FIGS.53to64show an embodiment that is very similar to the embodiment shown inFIGS.49to52except that the fluid control barrier is located at the end of pipe74rather than being located inside pipe74. The pair of cable drive devices10shown inFIGS.49to52has been reduced to a single device10, which is external to pipe74. The non-threaded shaft204is constrained by journals in frame elements88,90of frame92and is drivingly coupled to cable drum14. A pair of hinge struts212are pivotally coupled at one end to linear drive member12and to the other end to hinge168. The pivotal attachment of struts190,192,196and198to respective plates82,84is along, or near to, the centroidal axis of the semi-circular plates82,84as described with reference toFIGS.45to48. In the closed position shown inFIGS.53to56the plates82,84will be pressed against seal202to prevent escape of water. The rotation of shaft204will result in rotation of cable drum14which will move linear drive member12downwardly. This downward force will push hinge168downwardly to the position shown inFIGS.57to60to open the fluid control barrier. Plates82,84will pivot away from seal202in view of their pivotal connection to hinge168. Struts190and192and struts196and198will be pulled towards one another to push plates82,84into pipe74.FIGS.61to64show the fluid control barrier completely open with plates82,84having an acute angle between them and collapsing around struts190,192,196and198. As discussed previously the supporting of plates82,84at the centroidal axis means the net fluid pressure forces are equal either side of the centroidal axis of each semi-circular plate82,84. The resultant effect is that the net force in opening or closing the plates82,84is minimal and largely those associated with the frictional force in moving the hinge168. Additional and/or alternate mechanisms to those described could be used to actuate the plates82,84by providing force on hinge168. The man skilled in the art could readily select such mechanisms and the invention is not limited to the mechanisms shown for the fluid control barrier. The fluid control barriers described hereinbefore using a pair of semi-circular plates barriers82,84pivoting at hinge86or168and positioned across the diameter of pipe74will bisect the flow moving through pipe74. The advantage of this type of fluid control barrier is that there is a symmetrical flow profile generated perpendicular to the hinge86or168. A symmetrical flow profile will suit the location of a flow meter using ultrasonic transit time flow measurement techniques discussed in Wikipedia and in International Patent Application No. PCT/AU2010/001052, the contents of which are incorporated herein. The invention allows a flow meter to be located immediately upstream of fluid control barrier and is unique as it is often necessary to locate flow meters some distance upstream of a fluid control barrier or valve (typically up to five pipe diameters in order that a symmetrical velocity profile is developed. Traditional valve mechanisms such as a butterfly valve or a gate valve do not generate a symmetrical velocity profile immediately upstream of the valve. A further embodiment to the bi-folding plates82,84is to streamline the shape of the barrier surface (upstream) to lessen the drag and therefore the energy loss of the fluid as it traverses the valve. When the valve is fully open and the two barriers are adjacent and near in line with the pipe centreline, the cross-sectional profile would approximate a streamlined ‘tear drop’ shape. The embodiment shown inFIGS.65to79illustrates the tear drop shape formed by the bi-folding plates82,84. Plates82,84provide a clam shell type configuration each having a bulge220at the hinged end and tapering towards the shaft end222. When plates82,84are in the fully open position as shown inFIGS.75to79a basic tear drop profile will be formed by the exterior surfaces of plates82,84. Plates82,84wilt form a clam shell which will substantially enclose struts190,192,196and198to reduce frictional drag of the water. In the embodiments shown with pipe74and semi-circular plates82,84the invention is not limited to a complementary circular construction. Pipe74could be square or any other closed profile with plates82,84being configured to match the pipe profile. In non-circular profiles the hinges86,168can be located midpoint to provide symmetrical or non-symmetrical plates82,84. The centroidal axes can be readily determined to maintain the reduced force to move hinge168. The invention will be understood to embrace many further modifications as will be readily apparent to persons skilled in the art and which will be deemed to reside within the broad scope and ambit of the invention, there having been set forth herein only the broad nature of the invention and certain specific embodiments by way of example. | 24,539 |
11859360 | DETAILED DESCRIPTION Hereinafter, some embodiments of the present disclosure will be described in detail through the exemplary drawings. It should be noted that in adding the reference signs to the elements of each drawing, like reference signs denote like elements as far as possible even though they are indicated on different drawings. Additionally, in describing the present disclosure; when a certain detailed description of relevant known elements or functions is determined to obscure the subject matter of the present disclosure, the detailed description is omitted. Furthermore, in describing the elements of the present disclosure, the terms ‘first’, ‘second’, A, B, (a), (b), and the like may be used. These terms are only used to distinguish one element from another, and the nature of the corresponding element or its sequence or order is not limited by the term. It should be understood that when an element is referred to as being “connected”, “coupled” or “linked” to another element, it may be directly connected or linked to other element, but intervening elements may be “connected”, “coupled” or “linked” between each element. As shown in the drawings, a toothed conveyor belt type oil recovery device according to an embodiment of the present disclosure includes: a recovery conveyor300which is wound around a pulley100on one side and a pulley200on the other side spaced a predetermined distance apart from each other and rotates in such a way that a continuous track rotates, the recovery conveyor300having multiple teeth310made of a hydrophilic material on the outer surface, the recovery conveyor300of which one side is positioned in water W in which oil O is spilled to recover the oil O while feeding the oil O into a space between the adjacent teeth310by the rotation; and a separation unit400which holds an oil separating liquid L, and is positioned on the other side of the recovery conveyor300to separate the oil O from the teeth310while the oil separating liquid L is fed into the space between the adjacent teeth310by capillary suction when the teeth310move on to the oil separating liquid L on the other side of the recovery conveyor300by the rotation of the recovery conveyor300. Hereinafter, each component of the present disclosure will be described in detail with reference toFIGS.1to11. The oil recovery device according to the present disclosure recovers the oil O spilled in the water W by the recovery conveyor300which is wound around the pulley100on one side and the pulley200on the other side and rotates in such a way that a continuous track rotates. Here, as shown inFIG.1, the pulley100on one side may be positioned in the water W in which the oil O is spilled, and the pulley200on the other side may be spaced the predetermined distance apart from the pulley100on one side. At least one of the pulley100on one side or the pulley200on the other side may be connected to a rotation axis of an external driving means (not shown) to rotate by the power supplied by the driving means, and preferably, the pulley200on the other side may be provided such that it is connected to the rotation axis of the driving means and rotates. In this instance, the driving means may be provided as a power device that supplies the power to the pulley100on one side or the pulley200on the other side, and for example, the driving means may be provided as an electric motor. Subsequently, the recovery conveyor300is wound around the pulley100on one side and the pulley200on the other side and rotates in such a way that a continuous track rotates, has the teeth310on the outer surface, and is provided to recover the oil O while feeding the oil O into the space between the adjacent teeth310by the rotation. The recovery conveyor300is wound around the pulley100on one side and the pulley200on the other side spaced apart from each other and rotates in such a way that a continuous track rotates, and in this instance, the recovery conveyor300may be a few meters long according to the distance between the pulley100on one side and the pulley200on the other side. The recovery conveyor300is inclined upwards as it goes from one side to the other side, and has one side positioned in the water W in which the oil O is spilled, to recover the oil O spilled in the water W by the rotation. Meanwhile, as shown inFIG.3, the oil recovery device according to the present disclosure may further include an angle adjustment means500to adjust the placement angle of the recovery conveyor300, and in this instance, the angle adjustment means500may be provided as, for example, a driving device including a piston and a cylinder. To be more specific, the angle adjustment means500may be provided such that the end of the piston rod is rotatably connected to a support150which supports the pulley100on one side and the pulley200on the other side, to adjust the placement angle of the recovery conveyor300by the reciprocating motion of the piston. With the angle adjustment means500, the placement angle of the recovery conveyor300may be adjusted through the angle adjustment means500to place one side of the recovery conveyor300below the water in which the oil O is spilled at the start of the prevention work for recovering the oil O. Additionally, the angle of the recovery conveyor300may be adjusted through the angle adjustment means500to place one side of the recovery conveyor300above the water at the end of the prevention work. Further, with the angle adjustment means500, the placement angle of the recovery conveyor300may be adjusted through the angle adjustment means500according to the viscosity of the oil O spilled in the water W during the prevention work for recovering the oil O, and for example, when the viscosity of the oil O spilled in the water W is low, the placement angle of the recovery conveyor300may be adjusted through the angle adjustment means500to reduce the inclination angle of the recovery conveyor300. Meanwhile, the oil recovery device according to the present disclosure may be mounted on the front surface of a ship for recovering the oil O spilled in the water W to recover the oil O spilled in the water W with the movement of the ship. Subsequently, the recovery conveyor300according to the present disclosure has the multiple teeth310on the outer surface to recover the oil O spilled in the water W by the teeth310by the rotation. To be more specific, as shown in FIG. the recovery conveyor300is provided such that one side is positioned in the water W in which the oil O is spilled, to recover the oil O by the teeth310on one side by the rotation in such a way that a continuous track rotates. Here, as shown inFIG.2, the teeth310according to the present disclosure are formed in the shape of teeth including a tooth front surface311and a tooth rear surface313and having a predetermined height h and width w, and in particular, the teeth310are made of a hydrophilic or superhydrophilic material. The recovery conveyor300has one side positioned in the water W in which the oil O is spilled, and as shown inFIG.7, the recovery conveyor300recovers the oil O spilled in the water W while feeding the oil O into the space between the adjacent teeth310by the rotation from one side to the other side in such a way that a continuous track rotates. In this instance, when the teeth310are made of a hydrophilic or superhydrophilic material, a membrane M may be formed on the tooth front surface311and the tooth rear surface313of the teeth310, and accordingly the oil O is recovered in a state that the oil O is not directly attached to the surface of the teeth310(the oil O is only attached to the tip of the teeth310), and since the oil O is recovery in a state that the oil O is not directly attached to the surface of the teeth310, it is very easy to separate the oil O from the teeth310afterwards. Meanwhile, the oil O recovered by the teeth310moves from one side of the recovery conveyor300to the other side by the rotation of the recovery conveyor300. The oil O moving to the other side of the recovery conveyor300is separated from the teeth310by the separation unit400provided on the other side of the recovery conveyor300, and finally is recovered, and it will be described in detail below. Subsequently, the separation unit400holds the oil separating liquid L and is positioned on the other side of the recovery conveyor300, to separate the oil O from the teeth310while the oil separating liquid L is fed into the space between the adjacent teeth310by capillary suction when the teeth310move from the other side of the recovery conveyor300on to the oil separating liquid L by the rotation of the recovery conveyor300. As shown inFIG.1, the separation unit400according to the present disclosure is positioned on the other side of the recovery conveyor300to separate the oil O from the recovery conveyor300, the oil O moving from one side of the recovery conveyor300to the other side by the rotation of the recovery conveyor300in such a way that a continuous track rotates. To be more specific, the separation unit400is positioned adjacent to the pulley200on the other side, so the teeth310of the recovery conveyor300moving along the outer peripheral surface of the pulley200on the other side moves into the oil separating liquid L contained in the separation unit400by the rotation in such a way that a continuous track rotates. As described above, the teeth310move into the oil separating liquid L contained in the separation unit400on the other side of the recovery conveyor300by the rotation of the recovery conveyor300, and in this instance, the recovered oil O in the teeth310is separated from the teeth310by the oil separating liquid L. In further detail, the oil O recovered by the teeth310is separated from the teeth310while the oil separating liquid L is fed into the space between the adjacent teeth310by capillary suction when the teeth310move on to the oil separating liquid L on the other side of the recovery conveyor300by the rotation of the recovery conveyor300. Describing the separation process of the oil O with reference toFIG.8, when the teeth310move into the oil separating liquid L contained in the separation unit400by the rotation of the recovery conveyor300(FIG.8(A)), the oil separating liquid L is rapidly fed into the space between the adjacent teeth310by capillary suction and pushes the recovered oil O in the space between the teeth310(FIG.8(B)), and as the oil separating liquid L is continuously fed into the space between the teeth310, finally, the oil O is separated from the teeth310(FIG.8(C)). Meanwhile,FIG.9shows the actual separation of the oil O from the teeth310by capillary suction with the movement of the teeth310into the oil separating liquid L. Meanwhile,FIG.10shows relative velocity vector fields according to the Particle Image Velocimetry (PILI) test results on the separation of the oil O from the teeth310when the teeth310move into the oil separating liquid L. Referring toFIG.10, it can be seen that when the teeth310move into the oil separating liquid L, a strong flow is formed near the space between the teeth310by capillary suction, and by the flow, the oil O is separated from the teeth310while the oil separating liquid L is fed into the space between the adjacent teeth310. Meanwhile, as shown inFIG.1, the separation unit400according to the present disclosure is positioned on the other side of the recovery conveyor300, and may be provided in the form of, for example, a water tank to hold the oil separating liquid L. In this instance, the separation unit400may have, on one side, a liquid inlet410through which the oil separating liquid L enters, and on the other side, a liquid outlet430through which the oil separating liquid L exits. The separation unit400may be provided to allow the oil separating liquid L to enter the liquid inlet410on one side and exit the liquid outlet430on the other side by an external pump (not shown), to cause the oil separating liquid L to circulate within the separation unit400. Accordingly, the oil O separated from the teeth310may exit the liquid outlet430together with the oil separating liquid L circulating within the separation unit400, and the oil coming out of the liquid outlet430may be stored in an oil recovery tank (not shown) provided adjacent to the separation unit400. Meanwhile, as shown inFIG.9, the oil recovery device according to another embodiment of the present disclosure may include the pulley200′ on the other side spaced a predetermined distance apart from the pulley100on one side, the pulley200′ including a first pulley210′ and a second pulley230′ disposed at upper and lower positions on the other side. That is, in the case of the oil recovery device according to another embodiment of the present disclosure, the recovery conveyor300is wound around the pulley100on one side and the first pulley210′ and the second pulley230′ on the other side and rotates in such a way that a continuous track rotates. In this case, the separation unit400is positioned on the other side of the recovery conveyor300, and may be positioned between the first pulley210′ and the second pulley230′ on the other side, and the teeth310of the recovery conveyor300moving from the first pulley210′ to the second pulley230′ on the other side by the rotation in such a way that a continuous track rotates separate the oil O while they pass through the oil separating liquid L contained in the separation unit400. As described above, the oil recovery device according to the present disclosure includes the multiple teeth310on the outer surface of the recovery conveyor300and is configured to recover the oil O spilled in the water W by the teeth310on one side and separate the oil O from the teeth310by the separation unit400on the other side, and then allow the oil O to exit the liquid outlet430of the separation unit400. That is, the oil recovery device according to the present disclosure performs recovery of the oil O on one side of the recovery conveyor300and separation of the oil O on the other side, and in this instance, the performance of the oil recovery function on one side of the recovery conveyor300and the oil separation function on the other side of the recovery conveyor300may be improved by varying the material, shape and size of the teeth310formed on the outer surface of the recovery conveyor300. Hereinafter, various embodiments of the teeth310for improving the performance of the oil recovery function and the oil separation function of the oil recovery device will be described. First, the teeth310may be made of a hydrophilic material as described above, and in this instance, the oil separating liquid L may be water. When the teeth310are made of a hydrophilic material and the oil separating liquid L is water, the capillary suction occurring between the teeth310and the oil separating liquid L may be maximized, and accordingly it may be easier to separate the oil O from the teeth310. Additionally, when the teeth310are made of a hydrophilic material, it is possible to prevent the recovered oil O in the teeth310from coming into direct contact with and attaching to the surface of the teeth310by the water, which makes it easier to separate the oil O from the teeth310. Meanwhile, the teeth310may be made of a porous material which absorbs water in the porous material, and when the teeth310are made a porous material which absorbs water in the porous material, the surface of the teeth310may be kept in water-wet state. To be more specific, the teeth310may be made of a porous material containing micro pores, and in this instance, the pore may be 10 μm to 100 μm in size. When the surface of the teeth310gets wet in water, it is possible to prevent the recovered oil O in the teeth310from coming into direct contact with and attaching to the surface of the teeth310by the water, and accordingly it may be easier to separate the oil O from the teeth310. Meanwhile, the teeth310according to an embodiment of the present disclosure may have a nanostructure on the surface to improve hydrophilicity. Here, the nanostructure may be at least one type selected from the group consisting of a nano-hair, a nanofiber, a nano-pillar, a nano-rod and a nano-wire. Additionally, the nanostructure may be 1 μm or more in height and 2 μm or less in width, and the spacing between nanostructures may be 2 μm or less. When the nanostructure is formed on the surface of the teeth310, the membrane M may be formed on the surface of the teeth310by the improved hydrophilicity (superhydrophilicity) of the teeth310as shown inFIG.6, and accordingly it is possible to minimize the contact surface between the teeth310and the oil O, and eventually, separate the oil O from the teeth310more easily. Subsequently, the teeth310according to an embodiment of the present disclosure have a front inclination angle θ1of the tooth front surface311of 45° or more and a rear inclination angle θ2of the tooth rear surface313of 45° or more as shown inFIG.4. FIG.5shows the PIV test results when the teeth310move into the oil separating liquid L. The graph ofFIG.5shows the maximum velocity of the flow formed near the space between the adjacent teeth310by capillary suction when the teeth310move into the oil separating liquid L, and as shown in the graph ofFIG.5, it can be seen that when there is no teeth310, or each of the front inclination angle81and the rear inclination angle θ2of the teeth310is 15°, a strong flow is not formed near the space between the adjacent teeth310. When a strong flow is not formed near the space between the adjacent teeth310, it is impossible to effectively separate the oil O from the teeth310. Accordingly, the teeth310according to an embodiment of the present disclosure have the front inclination angle θ1of 45° or more and the rear inclination angle θ2of 45° or more. Subsequently, as shown inFIG.4, the teeth310may have the front inclination angle θ1of the tooth front surface311and the rear inclination angle θ2of the tooth rear surface313which are different from each other. As shown inFIG.4(A), the teeth310according to the present disclosure may have the tooth front surface311and the tooth rear surface313in symmetry such that the front inclination angle81of the tooth front surface311and the rear inclination angle θ2of the tooth rear surface313are equal, and as shown inFIG.4(B), may have the tooth front surface311and the tooth rear surface313in asymmetry such that the front inclination angle81of the tooth front surface311and the rear inclination angle θ2of the tooth rear surface313are different from each other. In this instance, the front inclination angle θ1of the tooth front surface311may be 45° to 75°, and the rear inclination angle θ2of the tooth rear surface313may be 45° to 75°. For example, the teeth310may have the tooth front surface311and the tooth rear surface313in symmetry such that each of the front inclination angle θ1of the tooth front surface311and the rear inclination angle82of the tooth rear surface313is 45°. Here, when the tooth front surface311and the tooth rear surface313are formed in asymmetry such that the front inclination angle θ1of the tooth front surface311and the rear inclination angle82of the tooth rear surface313are different from each other, it is possible to improve the performance of the oil recovery function and the oil separation function of the recovery conveyor300. For example, the teeth310may have the rear inclination angle82of the tooth rear surface313which is higher than the front inclination angle θ1of the tooth front surface311, and particularly, the front inclination angle θ1of the tooth front surface311may be 45°, and the rear inclination angle θ2of the tooth rear surface313may be 75°. In this case, since the rear inclination angle82of the tooth rear surface313is steeper, it may be easier to recover the oil O by the teeth310on one side of the recovery conveyor300. In another example, the teeth310may have the front inclination angle81of the tooth front surface311which is higher than the rear inclination angle θ2of the tooth rear surface313, and particularly, the front inclination angle θ1of the tooth front surface311may be 75°, and the rear inclination angle θ2of the tooth rear surface313may be 45°. In this case, the capillary suction of the oil separating liquid L starting from the tooth front surface311may occur more easily by a smaller angle a between the tooth front surface311and the surface of the oil separating liquid L on the other side of the recovery conveyor300. As described above, it is possible to improve the performance of the oil recovery function and the oil separation function of the recovery conveyor300by making the front inclination angle81of the tooth front surface311and the rear inclination angle θ2of the tooth rear surface313equal or different. Subsequently, as shown inFIG.6(A), the teeth310aaccording to another embodiment of the present disclosure may have at least one of the tooth front surface311aor the tooth rear surface313ain the shape of a curved surface. That is, the teeth310may have the tooth front surface311and the tooth rear surface313in flat shape as shown inFIG.4, while the teeth310may have the tooth front surface311and the tooth rear surface313in the shape of a curved surface as shown inFIG.6(A). For example, as shown inFIG.6(A), the teeth310amay have the tooth front surface311aand the tooth rear surface313ain the shape of a curved surface which curves inwards, but is not necessarily limited thereto, and the teeth310amay have any one of the tooth front surface311aand the tooth rear surface313ain the shape of a curved surface. As described above, when the teeth310aof the present disclosure are made of a hydrophilic material, as shown inFIGS.7and8, the oil O recovered by the teeth310agenerally contacts the surface of the end of the teeth310aand does not directly contact other areas than the end by hydrophilicity. Accordingly, when the tooth front surface311aand the tooth rear surface313aare formed in the shape of a curved surface, it is possible to minimize the contact surface with the oil O at the end of the teeth310a, thereby separating the oil O from the teeth310amore easily. Meanwhile, as shown inFIG.6(B), the teeth310baccording to still another embodiment of the present disclosure may have the tooth front surface311band the tooth rear surface313bin the shape of a curved surface which curves inwards, wherein the radius of curvature of the tooth front surface311bis different from the radius of curvature of the tooth rear surface313b. To be more specific, the teeth310baccording to still another embodiment of the present disclosure may have the tooth front surface311band the tooth rear surface313bin the shape of a curved surface which curves inwards, wherein the radius of curvature of the tooth front surface311bis larger than the radius of curvature of the tooth rear surface313b. When the radius of curvature of the tooth front surface311bis larger than the radius of curvature of the tooth rear surface313b, it is possible to perform the recovery of the oil O by the teeth310bon one side of the recovery conveyor300more easily, and cause the capillary suction of the oil separating liquid L on the other side of the recovery conveyor300more easily. In the case of the tooth front surface311b, as the radius of curvature is larger, the slope of the tooth front surface311bon the other side of the recovery conveyor300is smaller, and thus the capillary suction of the oil separating liquid L may occur more easily. Additionally, in the case of the tooth rear surface313b, as the radius of curvature is smaller, the oil O does not flow down from the tooth rear surface313bagain during the recovery of the oil O on one side of the recovery conveyor300. Subsequently, as shown inFIG.5(C), the teeth310caccording to further another embodiment of the present disclosure may have a liquid accommodating groove315cin the space between the adjacent teeth310c. A predetermined liquid may be fed into the liquid accommodating groove315cformed in the space between the teeth310c, and the contact surface between the teeth310cand the oil O may be minimized by the liquid fed into the liquid accommodating groove315c, thereby separating the oil O from the teeth310amore easily. Here, the liquid fed into the liquid accommodating groove315cmay be water from the water W on one side of the recovery conveyor300and the oil separating liquid L from the separation unit400. Subsequently, the teeth310according to an embodiment of the present disclosure have the height h of 1 mm to 6 mm and the width w of 1 mm to 6 mm. Here, the height h and the width w of the teeth310may be shown inFIG.2. When the height h and the width w of the teeth310are too large, the area in which capillary suction occurs is so locally formed compared to the size of the teeth310, thereby failing to smoothly separate the oil O from the teeth310. On the contrary, when the height h and the width w of the teeth310are too small, it is difficult that the capillary suction and the consequential separation of the oil O separately occur. Accordingly, the teeth310according to an embodiment of the present disclosure may have the height h of 1 mm to 6 mm and the width w of 1 mm to 6 mm, taking the capillary length (=2.7 mm) of water into account. Here, the teeth310according to an embodiment of the present disclosure may have the thickness t of 3 mm or more, and when the thickness t of the teeth310is smaller than 3 mm, the capillary suction from the end of the teeth310to the bottom between the teeth310may not work smoothly by another capillary action in the thickness-wise direction of the teeth310. As described above, according to an embodiment of the present disclosure, it is possible to separate oil recovered by the teeth on one side of the recovery conveyor from the teeth by capillary suction on the other side of the recovery conveyor, thereby preventing oil debris from remaining on the surface of the recovery conveyor, and thus continuously performing the recovery work of oil spilled in water. Additionally, it is possible to completely separate oil recovered by the teeth from the teeth by capillary suction, thereby preventing oil that has not been separated from the recovery conveyor and remains on the recovery conveyor from returning to the water. Although the foregoing describes that all the elements constituting the embodiment of the present disclosure are combined into one or work in combination, the present disclosure is not necessarily limited to the disclosed embodiment. That is, the elements may be selectively combined into at least one and work in combination within the intended scope of the present disclosure. The foregoing description is provided to describe the technical spirit of the present disclosure for illustrative purposes only, and it is obvious to those having ordinary skill in the technical field pertaining to the present disclosure that various changes and modifications may be made thereto without departing from the essential features of the present disclosure. Therefore, the embodiments disclosed herein is for the purpose of describing the technical spirit of the present disclosure, and is not intended to be limiting of this disclosure, and the scope of technical spirit of the present disclosure is not limited by these embodiments. The scope of protection of the present disclosure should be interpreted by the appended claims, and the full technical spirit within its equivalent scope should be interpreted as falling within the scope of protection of the present disclosure. DETAILED DESCRIPTION OF MAIN ELEMENTS 100: Pulley on one side150: Support200: Pulley on the other side300: Recovery conveyor310: Teeth400: Separation unit410: Liquid inlet430: Liquid outlet500: Angle adjustment device | 27,977 |
11859361 | DETAILED DESCRIPTION The figures provided herein depicts two preferred embodiment of the method among a plurality of preferred embodiments for the production of revetment mat1000comprising grid200embedded into a plurality of blocks1010. Viewing nowFIGS.10and10A, to form a plurality of casting block volumes700with each volume containing a section of grid200to be imbedded, first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side202of grid200opposite mold100. Mold100, grid200and frame300are further described in detail. Viewing nowFIG.1, the method employs mold100. In various embodiments, mold100comprise side wall110forming a mold perimeter, a mold surface120affixed to side wall110, and at least one casting impression130affixed to mold surface120such that mold surface120forms a border between casting impressions. In various embodiments as depicted in the figures, mold100comprises a plurality of casting impressions130arranged in a six (6) by nine (9) array. It should be understood that mold100could comprises a variety of arrangements, such that mold100may include only one casting impression130or may include another arrangement such as an array of five (5) by ten (10) casting impressions130. Further it should be understood that several molds100may be positioned adjacent to one another to form a larger revetment mat then a single mold may create. For example,FIGS.22through27depict various steps in one embodiment of the method wherein six (6) molds100, each having a plurality of casting impressions arranged in a six (6) by nine (9) array, are positioned adjacent one another in a two (2) by three (3) arrangement to create revetment mat1000. Further, it should be understood that while a plurality of molds100each comprising only one (1) casting impression could be positioned adjacent to one another to form a revetment mat, it is a preferred embodiment to use a mold with a plurality of casting impressions. Further, when two or more molds100are positioned adjacent to one another, in various embodiments side wall110and perimeter111of molds100are configured to facilitate one mold100′ being positioned adjacent to another mold100″. For example flush side walls110and a rectilinear perimeter111of molds100would facilitate positioning one mold100′ adjacent to another mold100″. When two or more molds100are positioned adjacent to one another, in various embodiments, mold surface120of one mold100′ is positioned adjacent to and long the same plane as a second mold surface120of a another mold100″. Viewing nowFIGS.2and3, in various embodiments, casting impression130comprises impression wall133affixed to mold surface120, opening134at mold surface120, and casting impression volume150defined by impression wall133and opening134. In various embodiments, impression wall133comprises hydraulic jump impressions135. It is well known in the art that hydraulic jumps impede fluid flow. Viewing nowFIG.6, the method employs grid200. InFIG.6, first side201of grid200has been positioned adjacent to mold100. In various embodiments, grid200comprises plurality of elements220extending from at least one edge of the grid, and a plurality of apertures230formed between the plurality of elements220. In various embodiments, the apertures230have an aperture radius defined by the largest sphere which may pass through the narrowest point of the aperture230without contacting the elements220forming the aperture230. In various embodiments, aperture radius falls anywhere in the range of 0.75 inches and one (1) inch. In various embodiments, said elements220comprise of poly-propylene fibers. When first side201of grid200is positioned adjacent to a mold100, the first side201of grid200is positioned adjacent to mold surface120. Further, when a first side201of grid200is positioned adjacent to a mold100, the first side201of grid200is also positioned adjacent to casting impression openings134at mold surface. It should be understood that the size and shape of the grid200does not necessarily need to correspond with or exceed the size and shape of the perimeter of mold100. Generally, commercial grids such as those comprising poly-propylene fibers are packaged in rolls having a width and a length, the length being cut to size when positioning a section of grid200adjacent to mold100or several molds100positioned adjacent to one another. Thus in various embodiments as depicted inFIG.6, the width and length of grid200exceeds the width and length of mold100. In various embodiments as depicted inFIG.23, the width of grid200exceeds the width of one mold100and the length of grid200exceeds the length of three molds positioned adjacent to one another. Where the width of grid200is insufficient to span the width of mold100or two adjacent molds100as depicted inFIG.23, a second grid200may be positioned adjacent to the mold100or adjacent molds100so that cumulatively the grids200exceed the width of the mold100or adjacent molds100. When two or more grids200are positioned to exceed the width of one mold100or adjacent molds100, it is a preferred embodiment of the method to partially overlap250the two or more sections of grid200such a portion of the overlap is positioned to become commonly embedded in at least one revetment block1010to prevent the separation of the grids200when revetment mat1000is removed from frame300and mold100. Viewing nowFIG.9, the method employs frame300. In various embodiments, frame300comprises body301having a first and second side, a plurality of casting passages310through body301, wherein body301forms border around each casting passage310. Frame300grip330affixed to the second side of body301. Casting passage310of frame300is designed to correspond with casting impression130of mold100. It should be understood that the number, arrangement, size and shape of casting passages310does not need to correspond with the number, arrangement, size and shape of casting impressions130of mold100. However, do to certain efficiencies, it is a preferred embodiment that the number, arrangement, size and shape of casting passages310correspond with the number, arrangement, size and shape of casting impressions130of mold100. Viewing nowFIGS.10and10A, when first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side201of grid200opposite mold100, each of the plurality of casting impressions130of mold100is fluidly connected to a casting passage310of frame300to form a plurality of block casting volumes700, each volume containing a section of grid200. As illustrated inFIGS.10and10A, the borders900of frame300will preferably align with the borders901of casting impressions130to form a plurality of barriers902enclosing the resulting casting volumes700. While composite fill400may be poured into a casting volume700through casting passage310, barriers902will prevent casting fill400from flowing from one casting volume700to another without overtopping barrier902. Barriers902are positioned between casting volumes700, such that each casting volume700is separated from its neighbors. The result is that each block1010is separated from its neighbors in any mat1000cast from mold100. “Fluid connection” describing the relation between casting impression130and casting passage310when first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side202of grid200as used herein encompasses the capacity of composite fill400to flow through casting passage310, through grid200and into casting impression130either passively aided only by gravity or in combination with mechanical means including by not limited to screeding, injecting, and vibrating. Viewing nowFIGS.13, to form revetment mat1000comprising grid200embedded within a plurality of blocks1010, composite fill800is positioned within the plurality of block casting volumes700embedding grid200with composite fill800. In various embodiments, composite fill800is a hardenable paste. In various embodiments composite fill800comprises cement paste such as wet cast Portland cement. In various embodiments, composite fill800comprises a powder to solid or liquid to solid polymers including for example and without limitation thermosetting resins such as epoxy resins, UV-setting resins such as acrylated urethanes, or heat binding powders such as powdered metals used in direct metal laser sintering. In various embodiments, composite fill800further comprises aggregates including but not limited to sand, gravel, or both sand and gravel. Aggregates have an aggregate radius defined by one half of the longest dimension of aggregate used, which in a preferred embodiment is smaller than the aperture radius of apertures230. “Fluid connection” describing the relation between casting impression130and casting passage310when first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side202of grid200as used herein encompasses the capacity of composite fill400to flow through casting passage310, through grid200and into casting impression130either passively aided only by gravity or in combination with mechanical means including by not limited to screeding, injecting, and vibrating. Therefore, it should be understood that “fluid connection” between mold100and frame300take into consideration, among other things, the viscosity of composite fill400, the difference between aperture radius230and aggregate radius, and the use of mechanical means. In various embodiments, composite fill800positioned with each block casting volume700must cure for a predetermined amount of time to from revetment mat1000. In various embodiments, heat may be added to accelerate the curing process. Once formed, revetment mat1000is removed from mold100and frame300. In various embodiments, composite fill800only partially cures before revetment mat1000is removed from mold100and frame300. It may be desired that revetment mat1000comprises at least one handle. In various embodiments, method may employ handle550. WhileFIG.1depicts only one handle550, it should be understood and as is illustrated in other figures, several handles may be positioned adjacent mold100. Viewing nowFIG.4, handle550comprises tether560attached to first and second anchors570,580. Tether560may be attached to anchors570,580via tether ties565, as depicted in the figures, via a knot with tether550around anchor570,580, not depicted, or any other known means of securing a tether to an anchor. Tether560also forms hold590. As depicted inFIG.3A, in various embodiments, first anchor570is positioned within first casting impression131proximate mold perimeter, second anchor580is positioned within second casting impression132adjacent first casting impression131, and hold590is positioned beyond mold perimeter. Viewing nowFIG.4A, handle frame500used to construct handle550comprises base501, first and second anchor supports510,520attached to base and first and second guide511,521attached to base501, and hold guide530comprising first, second, and third hold guides531,532,533attached to base501. In various embodiments, the distance between first anchor support570and second anchor support580is drawn to the distance between adjacent first and second casting impressions131,132, such that first anchor570may sit proximate the middle of first casting impression131and that second anchor580may sit proximate the middle of second casting impression when handle550is positioned adjacent mold. FIG.4Bis a perspective view of handle550constructed on handle frame500. In various embodiments, handle550may be positioned adjacent mold100prior to positioning composite fill800in plurality of block casting volumes700. In various embodiments, handle550is positioned adjacent mold100before grid200is positioned adjacent mold100. Viewing nowFIG.8, in various embodiments, first and second anchors570,580are secured to grid200via first and second anchor ties571,581. In various embodiments, frame300is secured to second side202of grid200and to the mold surface120of mold100. Now viewingFIG.2, in various embodiments, mold100further comprises at least one aligner160affixed to the mold surface120. Now viewingFIG.9, in various embodiments, said frame300comprises an aligner receiver320. In various embodiments, when first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side202of grid200, aligner160and aligner receiver320engage with one another to align the plurality of casting passage310of frame300with plurality of casting impression openings134of mold100. Now viewingFIG.2, in various embodiments, aligner160further comprises slot161. Now viewingFIG.12, when first side201of grid200is positioned adjacent to mold100and frame300is positioned adjacent second side202of grid200, frame300may be secured to grid200and mold100by hammering610wedge600through slot161. In various embodiments, when the plurality of block casting volumes700are being filled with composite fill800, a portion of composite fill800may collect on second side of body301of frame300. Composite fill800collecting on second side of body301of frame300is problematic as it is wasteful and may inhibit separation of revetment mat1000from mold100and frame300. In order to prevent composite fill800from collecting on second side of body301of frame300, in various embodiments composite fill800not positioned within any casting impression volume130is either repositioned within a casting impression volume130or removed as excess. Viewing nowFIG.14, in various embodiments, screeding850composite fill800across the second side of body301of frame300is a known method of either repositioning or removing composite fill800. Now viewingFIG.17, in various embodiments, revetment mat1000is rolled for storage and shipping. To maintain rolled form during storage or shipment, straps1002may be used. Now viewingFIGS.19and20, in various embodiments where revetment mat1000is rolled, revetment mat1000may be installed by unrolling revetment mat on earthen surface2000with gradient2001. As depicted inFIG.19, stakes3000may be used with hold590of handle550to install revetment mat1000. As depicted inFIG.20, hold590of handle may be used to install revetment mat1000. As depicted inFIG.21, heavy lifting equipment4000may be used to install revetment mat1000. While certain novel features of this invention shown and described below are pointed out in the annexed claims, the invention is not intended to be limited to the details specified, since a person of ordinary skill in the relevant art will understand that various omissions, modifications, substitutions and changes in the forms and details of the device illustrated and in its operation may be made without departing in any way from the spirit of the present invention. No feature of the invention is critical or essential unless it is expressly stated as being “critical” or “essential. | 14,944 |
11859362 | DETAILED DESCRIPTION OF THE INVENTION The following discussion presents various aspects of the present disclosure by providing examples thereof. Such examples are non-limiting, and thus the scope of various aspects of the present disclosure should not necessarily be limited by any particular characteristics of the provided examples. In the following discussion, the phrases “for example,” “e.g.,” and “exemplary” are non-limiting and are generally synonymous with “by way of example and not limitation,” “for example and not limitation,” and the like. As utilized herein, “and/or” means any one or more of the items in the list joined by “and/or”. As an example, “x and/or y” means any element of the three-element set {(x), (y), (x, y)}. In other words, “x and/or y” means “one or both of x and y.” As another example, “x, y, and/or z” means any element of the seven-element set {(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}. In other words, “x, y and/or z” means “one or more of x, y, and z.” The terminology used herein is for the purpose of describing particular examples only and is not intended to be limiting of the disclosure. As used herein, the singular forms are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “includes,” “comprising,” “including,” “has,” “have,” “having,” and the like when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, for example, a first element, a first component or a first section discussed below could be termed a second element, a second component or a second section without departing from the teachings of the present disclosure. Similarly, various spatial terms, such as “upper,” “lower,” “side,” and the like, may be used in distinguishing one element from another element in a relative manner. It should be understood, however, that components may be oriented in different manners, for example a structure may be turned sideways so that its “top” surface is facing horizontally and its “side” surface is facing vertically, without departing from the teachings of the present disclosure. In the drawings, various dimensions (e.g., layer thickness, width, etc.) may be exaggerated for illustrative clarity. Additionally, like reference numbers are utilized to refer to like elements through the discussions of various examples. The following description refers to various example illustrations, which are provided to enhance the understanding of the various aspects of the present disclosure. It should be understood that the scope of this disclosure is not limited by the specific characteristics of the examples provided and discussed herein. The present disclosure is generally directed to an erosion control apparatus. The erosion control apparatus generally includes a flexible container having a mouth or opening to its interior. The container may be secured to a surface such as the bed or floor of a body of water. The container may be positioned such that environmental forces (e.g. wind or water currents) direct sediment into the interior of the container via its mouth. The container further includes a cord attached to the mouth of the container and configured to close the mouth of the container as the container is filled with sediment. In particular, the cord may include a noose at one end and may be anchored at the opposite end. A loop of the noose may circumscribe the mouth of the container and tighten around the mouth as sediment urges the mouth away from the anchored end of the cord. Referring now toFIG.12, two erosion control apparatus10are depicted. As shown, the erosion control apparatus10may be anchored to a floor, bed, or surface1of a body of water. The erosion control apparatus10may further be anchored such that container mouths40are otherwise directed toward environmental forces (e.g., wind and/or water currents) that carry sediment. In this manner, the erosion control apparatus10may receive sediment via container mouths40and thus may fill with sediment over period of time without human intervention. As further shown, topology or other factors may result in environmental forces2being directed toward the erosion control apparatus10from multiple directions. As such, erosion control apparatus10may be oriented in a staggered configuration so as to direct container mouths40of different erosion control apparatus10toward different environmental forces. Further details of one embodiment of the erosion control apparatus10are shown inFIGS.1-3. As shown, the erosion control apparatus10includes a container20, a cord50, anchors70, and float90. The container20includes a container upper side22and a container lower side32opposite the container upper side22. The container upper side22includes a leading end24, a trailing end26opposite the leading end24, and lateral sides28. The lateral sides28join the leading end24to the trailing end26. The container lower side32includes a leading end34, a trailing end36opposite the leading end34, and lateral sides38. The lateral sides38join the leading end34to the trailing end36. The container20also includes a container mouth40between the leading ends24,34. The container mouth40provides an opening to a container interior30. As shown inFIG.3, a float90may be coupled to leading end24of the container upper side22. The float90may bias the container upper side22away from the container lower side32when the container20is anchored to a bed of a body of water. Such biasing may separate the leading ends24,34apart by a distance D1that is greater than a diameter D2of the container mouth40. In this manner, leading ends24,34and adjoining container surfaces may funnel water and accompanying sediment toward container mouth40. In some embodiments, the container20is formed from a flexible material such as burlap. Further, the flexible material may be permeable to a fluid such as water that flows into the container interior30via the counter mouth40, but not permeable or at least less permeable to sediment carried by the fluid. Due to the container mouth40and the permeable material, sediment may be carried into the container interior30via environmental forces such as wind and water currents and trapped within the container interior30. As such, the container interior30may fill with sediment over a period of time without further human intervention after installation. The cord50is attached between the container mouth40and an attachment point35toward the trailing ends26,36. As shown inFIG.3, the cord50draws the container mouth40toward the container trailing ends26,36. In particular, a length L1of the cord50between the container mouth40and the attachment point35is shorter than a length L2of the container20between the container leading end26,36and the attachment point35. Due to its shorter length, the cord50positions the container mouth40between the leading ends24,34and the trailing ends26,36. The cord50further is further configured to close the container mouth40based on the sediment in the container interior30. In particular, the cord50may form a noose in which a loop52of the noose circumscribes the container mouth40. See, e.g.,FIG.4A. As sediment fills the container interior40, the sediment urges the container mouth40away from the trailing ends26,36and the attachment point35. Such urging pulls the cord50and tightens the noose or reduces the circumference of the loop52. The circumference of the loop52and the length of the cord50may be set such that the cord50effectively closes the container mouth40when the container interior30is full of sediment. As shown inFIGS.4A and4B, the container mouth40may be covered by netting42. The netting42may help prevent fish and other wildlife from entering the container mouth40and potentially being trapped within the container. As shown inFIGS.4A and5, the leading end41of the container mouth40may be folded-over and stitched or otherwise affixed to a container surface to form a channel43that circumscribes the container mouth40. The cord50and in particular the loop52may pass through the channel43and circumscribe the container mouth40. The channel43generally retains the loop52about the container mouth40. Due to such retaining of the loop52, as the circumference of the loop52is reduced due to tightening of the noose, the circumference of the container mouth40is likewise reduced. It should be appreciated that the cord50may be retained about the container mouth40via other mechanisms. For example, as shown inFIG.4B, the channel43is replaced with a sequence of holes45that circumscribe the container mouth40. The loop52of the cord50may be threaded through the sequence of holes45. In some embodiments, grommets may be placed in the holes45to reinforce the holes45. Similar to the channel43, the holes45generally retain the loop52about the container mouth40. Due to such retaining of the loop52, as the circumference of the loop52is reduced due to tightening of the noose, the circumference of the container mouth40is likewise reduced. Referring now toFIGS.1-3and6-8, the erosion control apparatus10may include several anchors70that anchor the container20to a bed of a body of water. To this end, the erosion control apparatus10may include a plurality of anchor points72about a periphery of the container10. In some embodiments, the anchors70may be coupled to the anchor points72via one or ties or lines (not shown) that pass through the anchor points72. In other embodiments, the anchors70may pass through the anchor point72and into the bed of a body of water. In some embodiments, the anchor points72are merely holes that pass through the material forming the container20. In such embodiments, the anchor points72may further include grommets that are placed in the holes to reinforce the anchor points72. In other embodiments, the anchor points72may include tabs, hooks, or other protrusions that may be either fastened to the anchors70via ties, lines, straps, etc. or may directly engage the anchors70themselves. Furthermore, the anchors70may take various forms. For example, the anchors70may comprise stakes that are to be driven into the bed via impact. In other embodiments, the anchors70include a threaded end that permits screwing the anchors70into the bed of the body of water. As explained above, the erosion control apparatus10includes float90attached to the leading end24of the upper side22.FIGS.9-11depict an erosion control apparatus11. The erosion control apparatus11is generally implemented in the same manner as the erosion control apparatus10shown inFIGS.1-8. However, the float90of the erosion control apparatus10has been replace with a wire spring92. In particular, one end of the wire spring92is coupled to the leading end24of the container upper side22. The other end of the wire spring92is coupled to the bed of the body of water via an anchor70. In this manner, the wire spring92like the float90biases the leading end24of the container upper side22away from the leading end34of the container lower side32. Thus, as shown inFIGS.9and10, the leading ends24,34and adjoining container surfaces funnel sediment toward container mouth40. One advantage of the embodiment ofFIGS.9-11is that the erosion control apparatus11does not rely upon water level to position the leading end24. As such, the embodiment ofFIGS.9-11may be more suitable for installations where the water is shallow. The embodiment ofFIGS.9-11may also be suitable for land installations in which wind is relied upon to carry sediment into the container interior30. While particular embodiments of the invention have been shown, it will be understood that the invention is not limited thereto since modifications may be made by those skilled in the art, particularly in light of the foregoing teaching. It is, therefore, the appended claims which define the true spirit and scope of the invention. | 12,379 |
11859363 | DETAILED DESCRIPTION Embodiments describe a robotics-assisted foundation installation system that uses communication between electronic surveying and geolocation products to determine column top locations, specify a foundation column top grid, direct column tops to specified locations, and maintain the column tops at the specified locations while the columns are fixed in position. After being fixed in place, the grid of column tops has the precision of alignment needed to install a prefabricated structure, frame or infrastructure element. A target for embodiments of a robotics-assisted foundation setting solution is the serial installation of occupiable structures. Consider the precedent technique of site-based serial production as exemplified by the construction of tract homes by merchant builders/developers on easy build sites in which the idea of the assembly line is inverted—with a specialized labor force moving from site to site rather than the produced good itself moving through the serial stages of an assembly line. For example, when vertical construction begins in typical tract home building, the ditch digging crew starts and completes their work on site “A” before moving to site “B” where their labor reproduces the same, or similar, outcome. In their place, a rebar setting team moves on to site “A,” to be followed by the concrete pour crew, and so on in a flow of crews across the total subdivision development tract. Embodiments of a robotics-assisted foundation setting solution facilitate a similar ability to serially produce structures—but also facilitate production on difficult build sites—such as ones with steep topography, remote or island geography, having numerous obstacles or uninterruptible watersheds, or even being situated partially or completely over water. FIG.1is a diagram illustrating a cross-sectional view of aspects of an embodiment of a robotics-assisted foundation installation system100.FIG.1illustrates an intended outcome for a structure10on a hypothetically difficult site20and supported by foundation columns110a. . .110c. System100is used to bring structural column tops118a. . .118cinto a precise formation, indicated by a plane202a, in preparation for the addition of structure10. In this discussion, reference numbers with an additional letter designation (e.g.,110a) represent a specific instance of the generic element (e.g.,110). Thus, discussion directed to the generic element (e.g.,110) should be understood to apply equally to each specific instance (e.g.,110a. . .110c). Foundation column110includes an in-ground foundation116. Atop in-ground foundation116, a coupler114is attached. Coupler114includes two sections, a coupling base120and an upper coupler122. An upper telescoping column112is received within upper coupler122. In-ground foundation116is a helical pier (or helical pile), one of many known types of in-ground foundations, and in embodiments coupling base120may be adapted to interface with other types of in-ground foundations. In-ground foundation116and coupler114are fixed with respect to each other at site20before the addition of upper column112. System100may employ foundation column110in the following general manner. With in-ground foundation116and coupler114in place, a column positioning tool, such as column positioning tool310(FIG.4),402(FIG.15), or502(FIG.16), is attached to upper coupler122and upper column112is inserted into the positioning tool. System100then determines a target position for column top118and directs the positioning tool to move column112with respect to upper coupler122until column118is within a predetermined tolerance of the target position. This may take a number of re-measurements and re-positionings. Upon determining that column top118is within the tolerance from the target position, column112is fixed in place with respect to upper coupler122. In some embodiments, this is accomplished by pouring grout into upper coupler122and allowing it to harden. While the grout is hardening, the positioning tool remains in place and the location of column top118may be remeasured and reposited by system100until the grout hardens to the point that repositioning is no longer possible. After the grout hardens, the positioning tool may be removed for later re-use. System100may do this for many columns110at the same time, which is discussed in more detail with regard to, e.g.,FIG.3-FIG.5. FIG.2is a diagram illustrating a cross-sectional view of aspects of an embodiment of a robotics-assisted foundation installation system200.FIG.2illustrates an intended outcome for a structure10on a hypothetically difficult site20and supported by an embodiment of foundation columns210d. . .210f. As with system100, system200is used to bring structural column tops118d. . .118finto a precise formation, indicated by plane202a, in preparation for the addition of structure10. In this embodiment, foundation column210includes an in-ground foundation216, including a lower in-ground foundation124and a lower telescoping column126. Upper telescoping column112is received within lower column126. In-ground foundation124is of pre-cast concrete, another of many known types of in-ground foundations. Thus, lower column126may be adapted to interface with other types of in-ground foundations. In-ground foundation124and lower column126are fixed with respect to each other at site20before the addition of upper column112. System200may employ foundation column210in the following general manner. With in-ground foundation124and lower column126in place, a column positioning tool, such as column positioning tool310(FIG.4),402(FIG.15), or502(FIG.16), is attached to lower column126and upper column112is inserted into the positioning tool. System200then determines a target position for column top118and directs the positioning tool to move column112with respect to lower column126until column top118is within a predetermined tolerance of the target position. This may take a number of re-measurements and re-positionings. Upon determining that column top118is within the tolerance from the target position, column112is fixed in place with respect to lower column126. In some embodiments, this is accomplished by pouring grout into lower column126and allowing it to harden. While the grout is hardening, the positioning tool remains in place and the location of column top118may be remeasured and reposited by system200until the grout hardens to the point that repositioning is no longer possible. After the grout hardens, the positioning tool may be removed for later re-use. As with system100, system200may do this for many columns210at the same time. InFIG.1andFIG.2, systems100,200may accommodate a variety of possible sites by using a telescoping arrangement between upper telescoping column112and coupler114or lower telescoping column126. The 2-piece “telescoping” steel column interface (labeled column112and coupler114inFIG.1and column112and lower column126inFIG.2) connects the in-ground foundation (either concrete or helical piers installed at reasonable construction tolerances relative to variance from true grid) to the receiving plates upon which a pre-fabricated structure, frame or element may rest (at machine tolerance, highly precise to true grid). It is this telescoping feature that allows great flexibility in setting up a grid array of foundation piers over dramatically uneven terrain and opens the possibility for a robotics-assisted solution for serial installation. Both coupler114and lower telescoping column126connect to in-ground foundation elements (e.g., helical piers or pre-cast concrete) that may be installed at reasonable construction tolerances, which are more lax than the tolerances required at column tips118, which require machine tolerances that are highly precise to true grid. The telescoping feature of systems100,200allows great flexibility in setting up a grid array of foundation piers over dramatically uneven terrain and opens the possibility for a robotics-assisted solution for serial installation. FIG.3is a perspective view illustrating aspects of an embodiment of a robotics-assisted foundation installation system100. InFIG.3, each foundation column110is provided with a geolocation device204atop column tip118. In embodiments, geolocation device204may include a reflector, or a GPS position indicator. InFIG.3, plane202arepresents a height that each column tip118a. . .118rmust achieve, within a tolerance. A plane202billustrates that, in embodiments, systems100,200may have different target locations for different sets of column tips. Thus, plane202amay be at a first height and be the target height for column tips118a. . .1181and plane202bmay be at a different height and be the target height for column tips118m. . .118r. FIG.4is a perspective view illustrating aspects of the embodiment of the robotics-assisted foundation installation of system100ofFIG.3. InFIG.4, couplers114are shown in various states of misalignment. For example, coupler114ais shown tilted slightly to the left. The angle below coupler114aindicates a misalignment from the vertical axis of upper column112a, Similarly, couplers114gand114hshow a misalignment. Coupler114b, on the other hand, represents an ideal installation.FIG.4illustrates that some embodiments may be used to correct for both a misalignment of an in-ground foundation and a deviation of an in-ground foundation from a specified installation height. This capability is provided by a column positioning tool310in combination with coupler114. Column positioning tool310, with reference to specific tool310b, has actuators with the capability to move upper column112in five degrees of freedom: translation in X, Y, and Z directions312with the X, Y plane being parallel to plane202a; and rotation about the X and Y axes. With this capability, column112may be tilted about the X and Y axes, and its base may be translated in the X, Y, and Z directions within coupler114, resulting in upper column112having a range of tilt orientations indicated by range cone308. For example, upper column112bhas a range cone308bindicating that column tip118bmay be placed anywhere in the intersection of range cone308band plane202a. The range cone308is not defined with respect to a specific center bottom point of coupler114. Instead, the ability to translate the bottom of upper column112in the X, Y, and Z directions within coupler114increases the potential angles of rotation about the X and Y axes and expands range cone308. A lower target point304indicates the desired intersection of the axis of upper column112with the bottom of coupler114after column112has been moved to align with a target alignment axis302. For example, target point304ais not bottom dead center of coupler114a. Target point304aindicates the alignment axis of upper column112aafter column112ahas been aligned with target alignment302a. In this alignment, column112may be translated by positioning tool310aalong the Z axis to bring column tip118awith a tolerance distance from plane202a. Similarly, couplers114gand114hare misaligned, which results in target points304gand304hbeing off center. The positioning of target points304will be discussed with reference toFIG.11A-FIG. Thus, if the target location for a column tip118is within the associated range cone308, and within a Z-axis range306of potential motion of the associated positioning tool310, then the positioning tool310may be commanded by system100to adjust the position of upper column112until column tip118is properly located on plane202a. A plane202cindicates a portion of plane202a. The grid pattern is indicative of the problem solved by embodiments, which is to cause each column tip118to move to a target position on the grid of plane202c. The first issue is that initial positions of tips118must be determined before the height of plane202acan be determined. Then a range cone308and a Z-axis range306is determined for each foundation column110. Then plane202cis computed so that the target X, Y, and Z locations for each column tip118fall within the range308and Z-axis range306for that column tip. FIG.5is a diagram illustrating a cross-sectional view of aspects of the embodiment of the robotics-assisted foundation installation system100ofFIG.3. InFIG.5, system100is shown to include a grid-solving system300which solves for the grid, e.g., plane202a,202b,202c, and directs column positioning tools310to move column tips118to the target position. Grid-solving system300, includes a location determining system290in communication with a grid control system295using protocols such as those discussed with reference toFIG.23and FIG.24. Location determining system290determines the positions of column tips118using geolocation devices204. Location determining system290provides that initial position information to grid control system295, which is also in communication with and capable of controlling column positioning tools310. Grid control system295, with information regarding the range cone308and Z-axis range306for each foundation column110, solves for the grid for plane202a. Grid control system295then directs each column positioning tool310to move as required to position tips118at the target locations on the grid. In this instance, “solving for the grid” begins with grid control system295receiving a given target spacing grid (e.g., the grid arrangement needed to support structure10) and the target common (or tiered) z level. In some installations, errors in the installation of the in-ground foundations may cause system295to have to solve for a best fit solution to the installation based on actual constraints, such as some column112bottoms not being able to be on grid because they contact the interior wall of upper coupler122before reaching the target location. Thus, system295may have to adjust the target positions of all the other columns to account for the constraint placed on the grid by one column. In such cases, a subroutine in the software of computer system295reviews the column angles after the grid is solved for, determines the most eccentric column, and determines the effect on the other columns of minimizing the eccentricity by distributing the offset across the remaining columns. If the effect of distributing the offset is acceptable, then the grid is solved for by distributing the offset of the most eccentric column across all the other columns of the system. In some embodiments, location determining system290includes a total surveying system and geolocation devices204are reflectors used by the total surveying system to determine the location of the associated column tip118. Such computer-controlled surveying systems are used by the construction industry and such systems may be used to provide the location data used by computer system295. Generally, a total surveying station is an electronic, optical instrument that is used in surveying and building construction and combines an electronic theodolite with electronic distance measurement (EDM). The technology allows for the measurement of both vertical and horizontal angles and the distance from the instrument to a particular point. Traditionally a manual instrument, robotics have revolutionized the tool, making it more efficient than ever. Examples of total surveying systems include the Leica iCON iCB70 Manual Construction Total Station. In some embodiments, inputs reporting on the X, Y, Z positions column tips118, or the bottoms of columns112, or both, are streamed from a total surveying station to an embodiment of control system295. Control system295receives the live streamed data and associates it with a specific column—whether that be a single standalone column or multiple columns in an array. After solving for the grid, control system295can send instructions to any of the columns to adjust its position. A benefit of a control system is that it is able to communicate with multiple columns in an array is the potential for “global optimization” of the array set, or rather an “action instruction” that is relational among all of the columns110in the array. The instruction may be that all, or some, of the columns must move as an ensemble an equal distance; or in the case in which one column has reached a limit of tolerance (such as meeting an edge constraint), then the set, in part or whole, can be instructed to move an equal distance to alleviate the collision conflict affecting the column that has reached its limit. In such a control system, there is no inherent limit to the number of columns that may be managed. However, the number may be limited in a particular build iteration by the practical range of contemporary wireless communication and/or the processing power of the computer selected for use at the time of the build. FIG.6is a diagram illustrating a cross-sectional view of aspects of the embodiment of the robotics-assisted foundation installation system100ofFIG.3. InFIG.6, column positioning tool310ais shown after being attached to coupler114a, atop upper coupler122. InFIG.6, target point304aindicates the position of the lower end of upper column112athat results in upper column112ahaving the desired vertical alignment. Target point304ais determined before upper column112ais inserted into positioning tool310a.FIG.6also further illustrates that location determining system290determines the position of column tip118ausing geolocation device204a. In an embodiment, device204ais a reflector and location determining system290determines the location of column tip118a, but in other embodiments device204amay be a GPS device that provides the location of column tip118ato location determining system290, or that provides the location of column tip118adirectly to grid control system295. In some embodiments, grid control system295communicates with Location determining system290and directs station290to re-determine the locations of column tips118. With this information regarding embodiments of the system, various aspects of embodiments may be discussed in more detail. In an embodiment, a precision robotic positioner such as positioning tool310contemplates serial production. Therefore the robotic element, its fastening, locking and unfastening capabilities must be developed to be re-usable. Since the equipment will be deployed in a construction setting, the equipment should be robust and made of replaceable parts so that damaged elements may be swapped for new ones so that lifecycle investment in the equipment is justified. Positioning tool310is a robotic device that is capable of locating both column tip118, and the bottom of upper column112through a software interface and is capable of holding this desired position through the subsequent steps of grout pour and curing to realize a structure-bearing connection that unifies upper column112with in-ground foundation116and coupler114. This is achieved through the use of positioning tool310within a broader integrated system (FIG.5) which includes live-stream point surveying data from total surveying system290, the interpretation of this live stream data by grid control system295, the physical positioning response to this data of actual location relative to target location facilitated by column positioning tool310operating from a mediating coupler114, including a coupling base120that is mechanically secured in-ground foundation116. In-ground foundation116is one of several in-ground foundation variants installed largely by conventional means. Insofar as it is possible to precisely locate a column tip118and the associated bottom of column112in three-dimensional space, it is possible, through software, to control the positions of a broad array of columns, in unison, to realize a precision point-load column bed geometry (the grid of planes202a,202b,202c) upon which to install, with precise bolt-hole alignment, off-site produced architectural elements that yield composite buildings of a variety of sizes, such as structure10, and ground offsets, such as planes202a,202b,202c, which are not necessarily limited to a single Z plane grid. FIG.7is a diagram illustrating a cross-sectional view of aspects of the embodiment of a robotics-assisted foundation installation system100ofFIG.3. InFIG.7, column positioning tool310is shown to includes actuators314a. . .314c, which connect between a column sleeve320and a tool ring base318at pivoting connection points334. Column112passes through a column grip sleeve321and into and through a protective sleeve317. Column grip sleeve321holds the column by compressive force so that the extension or retraction of actuators314a. . .314cis imputed to column112. Movement of column112caused by the extension and retraction of actuators314a. . .314cis what causes upper column112to telescope with respect to coupler114. During such telescoping movement, protective sleeve317travels freely in the vertical direction within a column sleeve316. With column112held protected within the interior of sleeve317and separate from sleeve316, actuators314may alter the Z axis position of the column112without friction between column112and any part of column positioning tool310. Column sleeve316may be translated in the X, Y plane312by the extension or retractions of actuators314d. . .314f, which connect between tool ring base318and column sleeve316at pivoting connection points. An alignment tab325may be connected to a corresponding alignment tab324of upper coupler122, when column alignment tool310is connected to coupler114. Coupler114includes upper coupler122and coupling base120. Alignment tabs324are spaced about an upper coupler diameter322, which is received within tool ring base318. Upper coupler122is essentially hollow, defining a receptacle326. A limiting range pin328is received within upper column112as column112is lowered through column positioning tool310, which happens after tool310is attached to coupler114. A section330of upper coupler122is received within coupling base120, with upper coupler122and coupling base120being connected using fasteners332. Coupling base120, and specifically the part of coupling base120below section330, may be adapted to attach to different types of in-ground foundations. Thus, the use of different lower couplers, which are relatively simple devices, allows the use of the same upper coupler122and the same column positioning tool310without having to adapt upper coupler122or tool310to a different in-ground foundation. Thus coupler114, by way of modifications to coupling base120, may be adapted to attach to foundations, such as: pier and beam; helical piles (shown inFIG.1); auger-cast piles; fiberglass composite pilings; precast concrete (shown inFIG.2andFIG.12); pin pilings; and load-bearing retaining walls. Thus, in embodiments, coupler114provides a purpose-designed grout receptacle326to achieve a structurally meaningful overlap (in vertical cross-section) of a precisely located upper column112within receptacle326such that a grout pour into receptacle326can structurally bind the precisely located upper column112to an in-ground foundation system116. Coupler114is a system element that mediates between structure-supporting upper column112and in-ground foundation116below via coupling base120. Coupler114is designed in such a way as to anticipate the mechanical attachment of column positioning tool310, allowing for a grout pour338that does not interfere with positioning tool310's performance and subsequently allows for the release and recovery of the same for future reuse once the grout has cured and the telescoping connection has been structurally perfected. Coupler114may be installed either entirely below finished grade, partially-below finished grade, or entirely above finished grade depending on the optimal scenario in which a structural connection may be perfected relative to site slope20. FIG.8is a perspective view illustrating aspects of the embodiment of the robotics-assisted foundation installation system100ofFIG.3. InFIG.8, tool base ring318is shown to have tab slots336configured to admit tabs324from upper coupler122. Between tool ring base318and column sleeve316an annular opening338provide for adding grout to receptacle326of upper coupler122. An irregular line340indicates an approximate location of an upper grout fill line on upper coupler122. Thus, the addition of grout to receptacle326of upper coupler122does not bind column positioning tool310to upper coupler122and tool310may be removed after the grout has set. FromFIG.8it can be further understood that column positioning tool310can precisely control the position of the X, Y, and Z points of both column top118and column bottom through a combination of tilting and translation by mechanical-robotic means employing upper actuator set314a. . .314cand lower actuator set314d. . .314f. With column112being gripped by column sleeve320, upper actuators314a. . .314c, in controlling the position of column sleeve320in the X, Y, and Z directions, also control the height and tilt of column112. Further translation of lower actuators314d. . .314ein the X and Y directions can work to change the angle of column112with respect to the vertical, either to bring column112closer to the vertical or to increase the lean. FIG.9is a diagram illustrating a cross-sectional view of aspects of the embodiment of a robotics-assisted foundation installation system100ofFIG.3. InFIG.9, a receiving section331of coupling base120is configured to accept section330of upper coupler122and be fasted to upper couple122using fasteners332. A pier cap344and a plate346including a threaded section348are fastened within coupling base. Pier cap344is configured to receive the top of in-ground foundation116and threaded section348is configured to mate with corresponding threads342in the top of in-ground foundation116. Thus, for a different in-ground configuration, pier cap344, plate346, and threads348may be removed and replaced with elements adapted to connect coupling base120to the different in-ground configuration. In embodiments, coupling base120is a purposed-designed element at the lower limit of coupler114that allows for upper coupler122to be attached to a variety of in-ground foundational elements such as, but not limited to: helical piers, pin foundations, drop-in precast foundations, concrete and/or composite piers, not to mention (but less frequently) stem wall, retaining wall and slab-on-grade connections. Each of these types of connections may be joined to the same version of upper coupler122with a version of coupling base120adapted to the specific type of connection. FIG.10Ais a perspective view illustrating aspects of the embodiment of a robotics-assisted foundation installation system ofFIG.3. InFIG.10A, limiting range pin328ais shown centered atop a range pin adjustment platter350. The position of limiting range pin328aat the base of receptacle326may be adjusted before the installation of upper column112. FIG.10Bis a diagram illustrating a cross-sectional view of aspects of upper coupler122. InFIG.10B, range pin adjustment platter350is shown to have an upper plate and a lower plate creating a groove there between. A circular bracket attached to the inner wall of coupler122includes a flange that extends into the groove, with the flange preventing the lower plate, and thus pin328a, from being withdrawn from receptacle326. Regarding the use of system200and with regard toFIG.2andFIG.5, generally, after preliminary site preparation and surveyed layout, a crew arrives on site20to install in-ground foundations124and bottom sleeves126of the telescoping column supports. This process is fairly conventional and may be executed swiftly as its obligation to deliver precision is reduced. This is because bottom telescoping column supports126are proportionately oversized relative to the upper supports112. Once lower portion pier foundations124have been installed and is properly cured and load tested, the next crew arrives with precision “total surveying” equipment, which is grid-solving system300, including location determining system290and grid control system295), robotics-assisted column positioning tools310, and upper telescoping column supports118. Column positioning tools310are installed atop each of lower column supports126. Geolocation targets204(i.e., surveying reflectors when location determining system290is a total surveying station) are attached to mounting platforms on each of upper telescoping columns112, and then these are sleeved into the receiving connection formed by the lower column126column positioning tools310. Each column positioning tool310is installed to make a temporary secure mechanical connection between upper telescoping column112and coupling base126, and, therefore, in-ground foundation. Column positioning tools310may act in concert to position and hold structure-supporting columns112at their target X, Y, and Z locations through to completion of the grout cure period at which time each column positioning tool310may be removed for reuse elsewhere. Through communication between location determining system290and grid control system295that results in updated location data being provided to grid control system295, system295directs column positioning tools310to adjust the X, Y, and Z locations of each of upper columns112, with location determining system290tracking the geolocation targets mounted to each receiving platform until system200solves for the intended column grid for plane202a. In this instance, “solving for the grid” means physically positioning the column tips in the correct locations. Once grid is set, column positioning tools310are locked in position. This position will be held through the following steps with occasional position verification tests at key intervals. The next crew will arrive onsite to pour structural grout into hollow column cavities of lower telescoping columns126to mechanically unify lower columns126and upper telescoping columns112into fixed and permanent positions. Once the structural grout has cured, column positioning tools310and the geolocation targets204may be removed. The lower completed structure is now ready to receive the pre-fabricated structure, frame or element intended for the site, e.g., structure10. Thus, the use of column positioning tools310and grid-solving system300allows column tips118to be positioned at machine-tolerance for joining structure10, even though lower supports126and in-ground foundation124are executed at conventional onsite construction tolerance. In an embodiment, grid-solving system300is able to perform simultaneous localization and mapping by combining the capabilities of location determining system290, such as a total surveying system in an embodiment, with control system295. The location determining system290is the source of data for grid-solving system300from which: 1) a grid pattern is established for all piers, e.g., foundation columns110); 2) an initial fixed point of reckoning is positioned in relationship to a digital model; and 3) the actual location of all piers is determined. When system290is a total survey system, it uses a laser surveying system and reflectors to develop the data. Control system295, with data from location determining system290performs the localization of the piers and columns to their proper locations by: 1) positioning of an initial fixed point of reckoning in relationship to the earth; 2) using the true data—the actual starting positionings of all pier tops in relation to the initial fixed earth-reference point, one another, and the actual site—derived by location system290, determining the required movement of each upper column112in, e.g., X, Y, Z directions, necessary to precisely align column tips118with a target grid upon, e.g., plane202a; and optionally 3) in an embodiment, control system295may allow the upper columns112of the entire fixed model to have the circular freedom (system tolerance) to find a best possible fit for the entire pier system. Having determined the required movement, grid control system295directs column positioning tools, such as column positioning tool310,402, or502, one tool associated with each pier, to cause upper columns112to move in concert, each in the direction necessary for that specific pier, so that the resulting positions of column tips118precisely align with the desired pier model. In embodiments, column positioning tool may have different degrees of freedom. For example, column positioning tool310has five degrees of freedom (3 translational, 2 rotational), column positioning tool402has three degrees of freedom (1 translational, 2 rotational), and column positioning tool three degrees of freedom (3 translational). Upon attaining the precisely aligned orientations, the column positioning tool preferably has the ability to maintain the column in that position while the upper column is being fixed in place, which may take 96 hours for some types of grout. During the hardening time, the locations of column tips118may be periodically measured and adjusted if necessary. Still regardingFIG.2, in an embodiment, a column positioning tool, such as any of tools310,402,502may be attached to lower telescoping column126, with adaptation made in case column126is, e.g., a square profile HSS section. Column126, may be a vertically-oriented structural column that has been adapted to accept a column positioning tool by having an upper section simply cut off. In such a case, the mounting of the column positioning tool on column126will retain the tool both by gravity, with the tool resting on the cut wall of the column, and mechanically, through some manner of fastening, e.g., bolts that are placed into precision cut holes in column126and used to secure the tool at a base ring, such as base ring318,406, or516. In embodiments, a standoff, such as standoff532(FIG.18B), may be configured to connect to the column (of any configuration) at one end, and the tool (of any configuration) at the other. Even then, the manner of fastening may not result in a level base for the column positioning tool, therefore neither its calibration nor its operation should depend on level mounting, and moreover, the column positioning tools310,402, and502, in some embodiments, are able to read a deviation from level and correct for it in its manipulation of the upper telescoping column. Similarly, upper telescoping column112may be a smaller overall dimension square profile HHS section relative to the bottom. The sole connection to this element will be by mechanical fastening into precision cut holes at precise and predetermined locations. In order to gain the most control over the manipulation of upper column112relative to coupler114, mechanical connection may be required at two positions of offset height, e.g., upper actuator set314a. . .314cand lower actuator set314d. . .314f, as a function of estimated rotational forces possible as a function of overall height and weight of the upper element of the telescoping assembly. In an embodiment, column positioning tool310is preferably of a weight and scale appropriate to its desired functionality and is preferably able to be manipulated, installed and uninstalled by optimally one, but a maximum of two, skilled laborers. Still regardingFIG.2, an embodiment of a method for installing a foundation may include the following steps. Step1) a digital model is constructed that provides a determined target grid matrix. Step2) a digital site mapping is performed of the topography of the build site, whether altered or unaltered to receive construction. Step3) traditional pier foundations are placed by means of either concrete/aggregate/rebar or by driven helical pier installation (e.g., in-ground foundations116,124). Step4) once foundation bases are set, using geolocation reflectors204on the top of each column base, a market available surveying total station295(robotic laser surveying system) will be used to identify the location and any tolerance variance between bases relative to the target grid matrix identified in Step1. This process relies on software whose performance is characterized as a Simultaneous localization and mapping (SLAM) coordination of sensor stack, whose definition is: the computational problem of constructing or updating a map of an unknown environment while simultaneously keeping track of an agents location within it. Step5) the locations of the as-build lower foundations are examined. If the outcome is that the as-built lower foundations conform to tolerance requirements of target grid matrix identified in Step1, then the foundation placement process will proceed. If not, faulty foundations will be identified for replacement. Step6) the positioning of an initial fixed point of reckoning will be determined (this will be the reference point creating the initial direct relationship to the digital construction model). Step7) Computer system295will direct column positioning tools310to the positioning of the entire foundation target grid matrix providing the entire system for best fit (“solving for grid matrix”) within a predetermined level of system tolerance. Step8) Upper columns112topped with surveying reflectors204will be placed within tops of the established lower columns124—each upper column112supported by a column positioning tool310. Step9) location determining system290will be used to identify the definition of the actual locations of all upper columns112determined by the siting of reflectors204on the top of each column112(which is where structure10will be later attached). Step9provides the data critical to understanding the current location of all columns112in relation to one another and in relation to the anticipated optimized target grid matrix. Step10) grid control system295directs column positioning tools310to mobilize upper columns112to reposition them in X, Y, Z locations that align column tips118with one another to precisely align with the desired pier grid matrix model. Step11) column positioning tools310lock upper columns112in position for, e.g., 72+ hours after structural grout has been applied. Step12) column positioning tools310may be decoupled, removed, and packaged for return to storage between deployments. FIG.11A-FIG.11K. Are diagrams illustrating cross-sectional views of aspects of the embodiment of a robotics-assisted foundation installation system ofFIG.3during different steps in a method of using system100. In particular,FIG.11A-FIG.11Killustrate steps involved in the use and re-use of a column positioning tool, with column positioning tool310being used in this example. InFIG.11A, site20has been prepared by installing in ground foundations. In ground foundation116ais used as an example in this discussion and should be understood to represent a plurality of in ground foundations distributed within site20. InFIG.11B, coupling base120is attached to foundation116aby . . . screwing threaded section348into tapped hole342. InFIG.11C, upper coupler12.2is inserted into section331of coupling base120and fixed in place using fasteners332. InFIG.11D, column positioning tool310is lowered onto upper coupler122, with tool ring base318fitting over upper diameter322, Tool310is secured to coupler122by bolting together tabs324(FIG.11C) and325. InFIG.11E, column positioning tool310is directed by grid-solving system300to position column sleeve320in a location directly beneath, or as close to directly beneath, an estimated target location on a plane (e.g., plane202a). InFIG.11E, a laser sight385is placed atop column sleeve320and, using beam387, pin adjustment platter350is moved so that limiting range pin328attains a target pin location354. InFIG.11E, sleeve320is oriented toward the bottom upper coupler122as though it were sighting where the bottom of column122needs to be, That is how laser sight385correctly marks the target position of column at bottom for limiting pin328a. Note that this all looks neat and vertically aligned inFIG.11E, but if upper coupler122were crooked relative to a target column axis because the top of in-ground foundation was crooked then sleeve320(all of the movable portion of310ain fact) would reorganize to make sleeve320plumb. A fastener373(FIG.12) may at this time be used to fix platter350in place with respect to the bottom of upper coupler122. The fastener may be a “nail” such as that produced by a Hilti gun. InFIG.11F, upper telescoping column112is lowered into column grip sleeve321. InFIG.11G, telescoping column112is lowered until limiting range pin328is received within the lower end of telescoping column112and column tip118is at height that is estimated to be near the target location. Column sleeve320is tightened at this time. A clamping apparatus is not shown, but may include known clamping apparatuses, e.g., one or more bolts being threaded through sleeve320and against column112within. After all columns112for the plurality have been installed, for each column, grid-solving system300determines the position of each column tip. In this example, location determining system290is a total surveying station that uses reflectors204to determine column positions. With the position determined for each column tip118, grid control system295receives the position data and, given a grid pattern needed by structure10, solves for the grid by computing a plane and, for each column tip of the plurality, a target X, Y, Z location on that plane that is both: 1) in a precise position on the given grid; and within range of the column tip, given the range of motion of the associated column positioning tool. Grid control system295then directs each column position tool310, in this case actuators314a. . .314f, to move the associated column tip to the target X, Y, Z position. This may not require that all column tips118be moved, since some column tips may be properly located. InFIG.11H, computer system295directs location determining system290to re-measure the positions of column tips118, or the subset of column tips118that had been moved. A target354indicates for purposes of this discussion (target354does not appear in actual use) that column tip118ais at the target X, Y, Z location. Note that column112a, in being moved from the position ofFIG.11Gto that ofFIG.11H, has been raised (as shown by the addition section of retaining pin328athat is visible) and has been tilted, to the right from this point of view and possibly also into or out of the plane of the page, which would be possible given the three degrees of freedom of motion provided by column positioning tool310. The process of: 1) measure column tip locations, 2) determine column tip position errors, 3) direct column tip repositioning, and 4) re-measuring, is repeated until all column tips are within a given, predetermined tolerance of the target X, Y, Z location. InFIG.11I, grout358has been poured through column positioning tool310into upper coupler122. While grout358is hardening, the process of 1) measure column tip locations, 2) determine column tip position errors, 3) direct column tip repositioning, and 4) re-measuring may be continued. In some embodiments, the frequency of the performance of this process after grout or other hardening material has been performed may be decreased in comparison to that as described with reference toFIG.11H. InFIG.11I, a target356indicates for purposes of this discussion (target356does not appear in actual use) that column tip118ais at the target X, Y, Z location after the grout has hardened. InFIG.11J, positioning tool310is removed from upper coupler122and telescoping column122, leaving upper coupler122in place. Site20is improved with the addition of fill360. InFIG.11K, structure10is situated atop columns112. As a result of using system100, each column tip118is at the height of plane202aand located on that plane within a Z tolerance and at an X, Y position that is within an X, Y tolerance required for the positioning of structure10. In an embodiment, a column cap540(FIG.19A) may be placed over column tip118causing geolocation device204to recede into a recess within column cap540. Column cap540may be an integral part of structure10or may be an element to which structure10is later attached. FIG.11F-FIG.11Killustrate the use of a column cap540(FIG.19A,FIG.19B) with a prism546as reflector204, which, because it collapses into the column cap540, may be left in place when structure10is added atop column112. In other embodiments, reflectors204would be removed before the addition of structure10. FIG.12is a diagram illustrating a cross-sectional view of aspects of an embodiment of a robotics-assisted foundation installation system. InFIG.12an in-ground foundation366may be suitable for instances in which a total build does not require deep in-ground foundations. In-ground foundation366is a variant of coupler114. With in-ground foundation366, a pre-cast element368creates a receptable376. Foundation366includes alignment tabs324. When column positioning tool310is lowered down and receives foundation366into base ring318, tabs324may be joined with tabs325of tool31, as may be done with coupler114. Foundation366further includes a limiting range pin372and a pin adjustment platter370, which are analogous to limiting range pin328and pin adjustment platter350of upper coupler122. An optional cylindrical form374may be placed about foundation366and supported by a ledge380. When column positioning tool310is connected to foundation366, cylindrical form374extends a distance above base ring318, which helps prevent loose soil or rocks from falling into grout receptacle376. Any material other than structural grout in receptacle376would diminish the strength of the connection. The discussion of the placement and use of column positioning tool310atop upper coupler122ofFIG.11D-FIG.11Kapplies equally to the placement and use of column positioning tool310atop in-ground foundation366. FIG.13is a perspective view illustrating aspects of the embodiment of the robotics-assisted foundation installation system ofFIG.12. InFIG.13, cylindrical form374is shown to be removable from foundation366.FIG.14is a diagram illustrating a cross-sectional view of aspects of the embodiment of the robotics-assisted foundation installation system ofFIG.12. InFIG.14, receptacle376is shown filled with grout358, which is analogous to the state of upper coupler122inFIG.11J. A fastener373is shown to protrude into foundation368. Fastener373holds platter370in place and may be a “nail” such as that produced by a Hilti gun. FIG.15is a diagram illustrating a cross-sectional view of aspects of an embodiment of a robotics-assisted foundation installation system400. Not all instances of a build require the full repertoire of tilting and translational control. Some instances may require tilting but not complete translational positioning. System400includes a column positioning tool402providing the ability to tilt column112about the X and Y axes, and includes grid-solving system300to solve for the grid. Tool402includes a column sleeve404connected to a ring base406by actuators314a. . .314c. Ring base406fits over an upper coupler408and retains a portion of upper coupler408within, which provides stability and makes analogs of alignment tabs324unnecessary. Within a grout receptacle412, upper coupler418includes a centering web410that constrains the lower end of column112in X, Y directions to the approximate center of coupler408. Upper coupler418is attached to coupling base120in the same manner as described with reference to upper coupler122inFIG.11C. With coupling base120, upper coupler408, and column positioning tool402connected, tool402receives column112into column sleeve404. Column112then slides within sleeve404through receptacle412and bottoms out in centering web410. Generally, the discussion of the placement and use of column positioning tool310atop upper coupler122ofFIG.11C-FIG.11Kapplies equally to the placement and use of column positioning tool402atop coupler408, except: upper coupler408does not provide for the re-positioning of range limiting pin328aofFIG.11Eand the fact that this embodiment of column positioning tool402does not provide for motion of column112in the Z axis because sleeve404does not grip column112but, instead, allows column112to slide freely within. In an embodiment, sleeve404may be provided with a clamping apparatus that grips column112and, using actuators314a. . .314c, column positioning tool402may raise column112along the Z axis, from centering web410. In this embodiment, the discussion of the placement and use of column positioning tool310atop upper coupler122ofFIG.11C-FIG.11Kgenerally applies equally to the placement and use of column positioning tool402atop coupler408, except: upper coupler408does not provide for the re-positioning of range limiting pin328aofFIG.11E. FIG.16is a diagram illustrating a cross-sectional view of aspects of an embodiment of a robotics-assisted foundation installation system500, which is a solution for an instance of a build that requires translational control. System500includes a column positioning tool502that provides the ability to translate column112along the X, Y, and Z axes and includes grid-solving system300to solve for the grid. Tool502includes a grip504connected to a grip base506. Grip504clamps to column112. Grip base506is translatable along the Z-axis (or the axis of column112) by an actuator510aconnected between grip base506and an upper bracket508. Actuator510ais configured to move grip base506with respect to upper bracket508along rails522(FIG.17A). Upper bracket508is translatable with respect to a mid-bracket512by an actuator510bconfigured to move upper bracket508along rails24(FIG.17A). Mid-bracket512is rotatable about the Z-axis by an actuator510cconfigured to rotate mid-bracket512with respect to a lower bracket514. Thus, Z-axis translation may be achieved by having grid control InFIG.16, a ring base516fits over an upper coupler518and retains a portion of upper coupler518within, which provides stability and makes analogs of alignment tabs324unnecessary. Upper coupler518includes a grout receptacle520, but does not include a limiting pin or centering web. Upper coupler518is attached to coupling base120in the same manner as described with reference to upper coupler122inFIG.11C. With coupling base120, upper coupler518, and column positioning tool502connected, tool502receives column112into grip504. Column112is then positioned and clamped by grip504in a position where column tip118is within a range that is within the reach of tool502. Generally, the discussion of the use of column positioning tool310atop upper coupler122ofFIG.11G-FIG.11Kapplies equally to the use of column positioning tool502atop coupler408, except: upper coupler518does not include a range limiting pin328aofFIG.11Eand the fact that tool502does not provide for tilt, and instead translates column112Z axis and translates column112the X, Y axis with an associated rotation about the Z axis. Also, a slight difference exists in that a port for grout entry must be provided in coupler518(seeFIG.18A-FIG.18D). FIG.17Ais a perspective view illustrating aspects of an embodiment of robotics-assisted foundation installation system500, with column positioning tool502, and a tool ring base517that is slightly different from tool ring base516ofFIG.16.FIG.17Aillustrates rails522along which grip base506may be translated by actuator510aalong the Z axis with respect to upper bracket508. Upper bracket508slides along rails524when translated by actuator510bwith respect to mid-bracket512. InFIG.17B, a grout port519indicates where grout may be added to receptacle520. FIG.18A-FIG.18Dare diagrams illustrating cross-sectional views of aspects of the embodiment of the robotics-assisted foundation installation system500during different steps in a method of using system500. In these method, upper coupler518has been replaced with lower telescoping column126of column216d(FIG.2). Thus,FIG.18A-FIG.18Dillustrate the adaptable nature of column positioning tools such as column positioning tool502. Also,FIG.18A-FIG.18Dillustrate steps involved in the use and re-use of a column positioning tool that are similar to steps illustrated with respect toFIG.11A-FIG.11K. InFIG.18A, site20has been prepared by installing in ground foundations. In ground foundation124is used as an example in this discussion and should be understood to represent a plurality of in ground foundations distributed within site20. Within lower in-ground foundation124, lower telescoping column126has been fixed using typical construction methods with typical construction precision. A grout pour port530has been provided in lower telescoping column126. InFIG.18B, a collar standoff532is placed atop column126, with column126being received within standoff532and with a grout pour port534aligned with grout pour port530. Similarly, column positioning tool502is placed atop standoff532, with standoff532being received within tool ring base516and a grout pour port538aligned with a grout pour port536. In embodiments, collar standoff532may be modified so that it may be used atop columns of different size or configuration. Thus, tool502may be used to position columns112atop foundations of different configurations by modifying only standoff532. Column112is then lowered into grip504and positioned so that the target location for column tip118is within the range of motion of column positioning tool502. Column112is then clamped by grip504. FIG.18Cshows the alignment of grout pour ports536and538, and of ports530and534. The lower grout port of530,534provides for grout to be introduced with a hose. The upper grout port of536,538allows for overflow evacuation of structural grout so that column positioning tool502is not damaged. After all columns112for the plurality are in this configuration, grid-solving system300determines the position of each column tip and system500solves for the grid as discussed with regard toFIG.11G-FIG.11K, with grid control system295directing tools502, with actuators510a. . .510cto translate column tips118to the target X, Y, Z positions and maintain that position until the grout hardens. FIG.18Dillustrates the position of upper column112after the grout has hardened within lower column126and column positioning tool502has been removed and column112is ready to support structure10. FIG.19Ais a perspective view illustrating aspects of an embodiment of a robotics-assisted foundation installation system.FIG.19Aillustrates a column cap540, such as cap362, that has been provided with a prism546that collapses within the column cap.FIG.19Bis a cross-sectional view of column cap540. Because prisms546collapse into column cap540, cap540eliminates the need for a construction team to remove reflectors204from column tips118after columns112have been fixed in place by, e.g., hardened grout. Column cap540includes a cylindrical opening552and a spring550beneath prism546. When there is insufficient force on a lid548, spring550causes prism546to emerge from opening552to the extent of spring travel. When a force is applied that overcomes spring550, such as when structure10is placed atop lid548, the force causes prism546to retract into opening552(as shown in, e.g.,FIG.11K). In the embodiment, cap540includes a cylindrical base556than may be inserted into the top of column112and held there with fasteners through ports558. In other embodiments, cap540may be configured to receive column112within, as with column cap362(FIG.17A). Cap540is also shown with an annular groove554. As shown inFIG.11K, a bolt may be passed through a structure and into groove554, which creates an interference between the bolt and column cap540that prevents the structure from being separated from the column. In embodiments, spring544may be replaced with other suitable mechanisms, such as a leaf spring, or resilient material. FIG.20is a perspective view illustrating aspects of an embodiment of a robotics-assisted foundation installation system. InFIG.20, column positioning tool310is shown to include a tool control system560. Tool control system560is connected to and controls the position of each actuator314a. . .314f. Exemplary sets of exemplary control lines564are shown. One control line set566includes the control lines for both actuator314band314e. Tool control system560includes a power supply562that provides power to actuators314a. . .314fand to internal components including signal electronics or other communication equipment for communications between tool control system560and control system295. While tool control system560is illustrated with respect to column positioning tool310, column positioning tools402,502also include a tool control system450configured to control the actuators of those tool control systems and communicate with grid control system295. FIG.20is a perspective view illustrating aspects of an embodiment of a robotics-assisted foundation installation system. InFIG.20, column positioning tool310is shown to include a tool control system560. Tool control system560is connected to and controls the position of each actuator314a. . .314f. Exemplary sets of actuator driving ports564are shown. One control line set566includes the control lines for both actuator314band314e. Tool control system560includes a power supply562that provides power to actuators314a. . .314fvia actuator driving ports564and to internal components including signal electronics or other communication equipment for communications between tool control system560and grid control system295as discussed with reference toFIG.23andFIG.24. FIG.21is a flowchart illustrating steps in a method2100of using an embodiment of a robotics-assisted foundation installation system. In step2102of method2100a plurality of structural supports are installed at a build site. In step2104, for each structural support from the plurality of structural supports: step2106) an interface defining an internal space is provided atop each structural support of the plurality of structural supports; step2108) a column from a plurality of columns is positioned within the internal space of each interface such that a first end of the column is within the internal space and a second end of the column is external to the internal space; step2110) each column is connected to a dedicated actuator assembly configured to move that column with respect to the structural support; and step2112) using a data acquisition system, an actual location of the second end is determined. In step2114, using the determined actual locations of the second ends of the plurality of columns, for each second end from the plurality of columns: step2116) a target location for each second end is determined, and step2118) an offset between the actual location and the target location is determined. In step2120, the offset for a subset of second ends is determined to be greater than a first predetermined tolerance from the target location. In step2122) for each second end from the subset of second ends, the attached actuator assembly causes the second end to move toward the target location for that second end. In step2124) for each second end from the subset of second ends and using the data acquisition system, the offset is determined to be within the first predetermined tolerance. And, in step2126, each column is fixed in place within the internal space of its associated interface. In some embodiments, an additional step after step2122includes determining that for some of the subset of second ends, the offset remains greater than the first predetermined tolerance, in which case steps2122and2123are repeated until step2124is achieved. In other words, the location of each second end is determined and caused to move toward its target location until it is determined to be within the predetermined tolerance of its target location. FIG.22is a flowchart illustrating steps in a method2200of using an embodiment of a robotics-assisted foundation installation system. In method2200, a grid-solving system: Step2202) determines a target grid layout including an X, Y, Z location for each column in the grid; step2204) determines a current grid layout including an X, Y, Z location for each column in the grid; step2206) for each column, determines a delta offset the target location and current location; step2208) for each column, determines the movement of the associated positioning tool required to eliminate the delta offset; step2210) for each column, directs the positioning tool to move to eliminate the delta offset; step2212) re-determines the grid layout X, Y, Z locations of each column; step2214) repeats steps2204-2212until all column offsets are within tolerance; and step2216) indicate to a user that all column offsets are within tolerance. After grout is added to fix the columns in place, in step2218) the grid solving system may perform steps2204-2214as the grout cures. In an embodiment, the grid solving system may perform steps2204-2216as adapted to a model of the evaporative cure time of the structural grout. As a result of the adaptation, the testing for position and the resulting corrections may happen once a minute at the outset with a repeating frequency that decays until the grout has substantially set, which is predictable based on mixture, and a model of ambient temperature and humidity fluctuation on an hourly basis through the projected cure period. In some embodiments, grid control system295may be driven by software following an algorithm prepared according to a singular coordination mode of controlling column positioning tools, which is iterative and does not require extensive computing power. In such an algorithm, the system goes through a trial and error process for each column, e.g., move the actuator some distance a first direction, test for offset, if the offset is not within the tolerance adjust the direction of actuator control according to the change in the offset and move the actuator again, retest, and repeat until the offset is within tolerance. Such an algorithm uses this guess-and-check system to test for less or more offset in a feedback loop that attempts to reconcile the current position of a column top to the target position to the target position and does so by computing the offset and instructing the column positioning tool to make incremental movements at an appropriate scale until the target position is reached. Iterations in the feedback loop can occur at the timescale of seconds rather than milliseconds (common to robotic implementation) to reduce the computing power and actuator resolution demands without diminishing outcome accuracy. For a given column array, this control mode may result in hundreds of correction adjustments being performed per minute. In some embodiments, grid control system295may be driven by software following an algorithm prepared according to a forward coordination mode of controlling column positioning tools. With this control mode, after determining column offsets and for each column positioning tool, system295builds a digital twin model of the tool flex state (i.e., the actuator movement) needed to position the column at the target location. In this control mode, system295moves the tools into what it has modeled to be the best tool states and then starts an iterative test/move/test loop until the target column positions are reached. An advantage of this forward coordination control is that it is less “hunting” than the singular coordination mode. This control mode requires more computing power and more carefully structured target inputs (e.g., to render the digital twin model), but can reduce the time of active adjustment to the extent that the entire system would be substantially aligned to target in a single step (with the need for only fine adjustments thereafter). In some embodiments, grid control system295may be driven by software following an algorithm prepared according to a differential coordination mode of controlling column positioning tools. This control mode is based on the logic that, if the control system orders that the actual positions of the column positions match target positions in a digital twin model, then the desired actuator flex to achieve those positions is an outcome. With this control mode, after determining column offsets and for each column positioning tool, grid control system295builds a digital twin model of the target column positions. The advantage of this is that it dramatically limits the scope of hunting and promises to achieve perfection in the first attempt. This approach to the control of column positioning tools may result in achieving positioning accuracy for one, or multiple, columns in only one step of adjustment. From singular coordination, to forward coordination, to differential coordination, the coding complication escalates dramatically, as does the computing power need to achieve the outcome. It is a good-better-best escalation of system performance relative to speed to finish. Example An exemplary system for the robotics-assisted installation of a foundation includes a grid-solving system300to solve for the grid in which location determining system290is a total surveying system to acquire location data by using a laser to reflect from geolocation devices204, in this case prisms546. Grid-solving system300: determines the position of an initial fixed point of reckoning in relationship to an idealized model of the pier configuration needed for the planned structure (i.e., the system decides which column of the planned structure will be the parent (the fixed point to be tested and verified) and which (the others) will be the subordinate children); determines the actual location of all piers using system290and devices204placed on top of each pier; and determines the position of an initial fixed point of reckoning in relationship to the site (i.e., the fixed point of reckoning relative to the site is always the column chosen to be the parent). Grid control system295: uses the “true” data (the actual starting positioning of all pier tops in relation to the initial fixed point of reckoning in relationship to the idealized model, one another, and the initial fixed point of reckoning in relationship to the site) derived by the laser surveying system; determines the required movement of each pier in, e.g., X, Y, Z coordinates, necessary to precisely align the actual pier tip location with the idealized model. In computing the required movement of each pier, system295may allow for the entire fixed model to have a circular freedom (system tolerance) to find a best possible fit for the entire pier system. The column positioning tool in this example may be tool, such as any of tools310,402, or502, which is configured to move columns112in X, Y, Z, directions to precisely align with the desired pier model. One of skill will understand to specify actuators that are rated for the loads of columns112, including when surrounded by grout. The column positioning tool is configured to hold the positioning of each pier precisely in place for approximately 96 hours, which is based on the cure time for the grout. During that curing time, the tool is anticipated to be actively manipulating the column for 1 hour. In another example, the grout cure time is 72 hours. A tool control system provided on the column positioning tool includes actuator controller and a self-contained power supply sized for the chosen actuators (which may include 10 amp actuators), and size for the communication and actuator power requirements through the grout curing time and considering the anticipated time of active manipulation. Battery power is the preferred solution, but if it is not possible or feasible, site eclectic generator power is acceptable. The column positioning tool is preferably serviceable in the field and able to accommodate both round and square columns112. For example, any of tools310,402,502could accept a round or square column112so long as the associated range limiting pin is sized to fit within the column, e.g., column112may be a 4 inch square hollow structural steel (HSS) with a variable length of 4-16 ft. With such a column112, the payload capacity of the column positioning tool is expected to be 200-300 lbs. In this example, the column positioning tool has X, Y, and Z translation capability, such as tools310and502, and is sized to adjust position in the X and Y directions by at least 3″ from center and with a range of 14″ in the Z direction. FIG.23is an exemplary block diagram depicting an embodiment of system for implement embodiments of methods of the disclosure, e.g., as described with reference to the previous figures, and particularly location determining system290, grid control system295, and tool control system560. InFIG.23, computer network2300includes a number of computing devices2310a-2310b(each of which may implement location determining system290, grid control system295, and tool control system560), and one or more server systems2320coupled to a communication network2360via a plurality of communication links2330. Communication network2360provides a mechanism for allowing the various components of distributed network2300to communicate and exchange information with each other. Thus,FIG.23describes systems for implementing location determining system290, grid control system295, and tool control system560, and for communications between them. Communication network2360itself is comprised of one or more interconnected computer systems and communication links. Communication links2330may include hardwire links, optical links, satellite or other wireless communications links, wave propagation links, or any other mechanisms for communication of information. Various communication protocols may be used to facilitate communication between the various systems shown inFIG.23. These communication protocols may include TCP/IP, UDP, HTTP protocols, wireless application protocol (WAP), BLUETOOTH, Zigbee, 802.11, 802.15, 6LoWPAN, LiFi, Google Weave, NFC, GSM, CDMA, other cellular data communication protocols, wireless telephony protocols, Internet telephony, IP telephony, digital voice, voice over broadband (VoBB), broadband telephony, Voice over IP (VoIP), vendor-specific protocols, customized protocols, and others. While in one embodiment, communication network2360is the Internet, in other embodiments, communication network2360may be any suitable communication network including a local area network (LAN), a wide area network (WAN), a wireless network, a cellular network, a personal area network, an intranet, a private network, a near field communications (NFC) network, a public network, a switched network, a peer-to-peer network, and combinations of these, and the like. In an embodiment, the server2320is not located near a user of a computing device, and is communicated with over a network. In a different embodiment, the server2320is a device that a user can carry upon his person, or can keep nearby. In an embodiment, the server2320has a large battery to power long distance communications networks such as a cell network (LTE, 5G), or Wi-Fi. The server2320communicates with the other components of the system via wired links or via low powered short-range wireless communications such as Bluetooth®. In an embodiment, one of the other components of the system plays the role of the server, e.g., the PC2310b. Distributed computer network2300inFIG.23is merely illustrative of an embodiment incorporating the embodiments and does not limit the scope of the invention as recited in the claims. One of ordinary skill in the art would recognize other variations, modifications, and alternatives. For example, more than one server system2320may be connected to communication network2360. As another example, a number of computing devices2310a-2310bmay be coupled to communication network2360via an access provider (not shown) or via some other server system. Computing devices2310a-2310btypically request information from a server system that provides the information. Server systems by definition typically have more computing and storage capacity than these computing devices, which are often such things as portable devices, mobile communications devices, or other computing devices that play the role of a client in a client-server operation. However, a particular computing device may act as both a client and a server depending on whether the computing device is requesting or providing information. Aspects of the embodiments may be embodied using a client-server environment or a cloud-cloud computing environment. Server2320is responsible for receiving information requests from computing devices2310a-2310b, for performing processing required to satisfy the requests, and for forwarding the results corresponding to the requests back to the requesting computing device. The processing required to satisfy the request may be performed by server system2320or may alternatively be delegated to other servers connected to communication network2360or to other communications networks. A server2320may be located near the computing devices2310or may be remote from the computing devices2310. A server2320may be a hub controlling a local enclave of things in an internet of things scenario. Computing devices2310a-2310benable users to access and query information or applications stored by server system2320. Some example computing devices include portable electronic devices (e.g., mobile communications devices) such as the Apple iPhone®, the Apple iPad®, the Palm Pre™, or any computing device running the Apple iOS™, Android™ OS, Google Chrome OS, Symbian OS®, Windows 10, Windows Mobile® OS, Palm OS® or Palm Web OS™, or any of various operating systems used for Internet of Things (IoT) devices or automotive or other vehicles or Real Time Operating Systems (RTOS), such as the RIOT OS, Windows 10 for IoT, WindRiver VxWorks, Google Brillo, ARM Mbed OS, Embedded Apple iOS and OS X, the Nucleus RTOS, Green Hills Integrity, or Contiki, or any of various Programmable Logic Controller (PLC) or Programmable Automation Controller (PAC) operating systems such as Microware OS-9, VxWorks, QNX Neutrino, FreeRTOS, Micrium μC/OS-II, Micrium μC/OS-III, Windows CE, TI-RTOS, RTEMS. Other operating systems may be used. In a specific embodiment, a “web browser” application executing on a computing device enables users to select, access, retrieve, or query information and/or applications stored by server system2320. Examples of web browsers include the Android browser provided by Google, the Safari® browser provided by Apple, the Opera Web browser provided by Opera Software, the BlackBerry® browser provided by Research In Motion, the Internet Explorer® and Internet Explorer Mobile browsers provided by Microsoft Corporation, the Firefox® and Firefox for Mobile browsers provided by Mozilla®, and others. FIG.24is an exemplary block diagram depicting a computing device2400of an embodiment. Computing device2400may be any of the computing devices2310fromFIG.23. Computing device2400may include a display, screen, or monitor2405, housing2410, and input device2415. Housing2410houses familiar computer components, some of which are not shown, such as a processor2420, memory2425, battery2430, speaker, transceiver, antenna2435, microphone, ports, jacks, connectors, camera, input/output (I/O) controller, display adapter, network interface, mass storage devices2440, various sensors, and the like. Input device2415may also include a touchscreen (e.g., resistive, surface acoustic wave, capacitive sensing, infrared, optical imaging, dispersive signal, or acoustic pulse recognition), keyboard (e.g., electronic keyboard or physical keyboard), buttons, switches, stylus, or combinations of these. Mass storage devices2440may include flash and other nonvolatile solid-state storage or solid-state drive (SSD), such as a flash drive, flash memory, or USB flash drive. Other examples of mass storage include mass disk drives, floppy disks, magnetic disks, optical disks, magneto-optical disks, fixed disks, hard disks, SD cards, CD-ROMs, recordable CDs, DVDs, recordable DVDs (e.g., DVD-R, DVD+R, DVD-RW, DVD+RW, HD-DVD, or Blu-ray Disc), battery-backed-up volatile memory, tape storage, reader, and other similar media, and combinations of these. Embodiments may also be used with computer systems having different configurations, e.g., with additional or fewer subsystems, and may include systems provided by Arduino, or Raspberry Pi. For example, a computer system could include more than one processor (i.e., a multiprocessor system, which may permit parallel processing of information) or a system may include a cache memory. The computer system shown inFIG.24is but an example of a computer system suitable for use with the embodiments. Other configurations of subsystems suitable for use with the embodiments will be readily apparent to one of ordinary skill in the art. For example, in a specific implementation, the computing device is a mobile communications device such as a smartphone or tablet computer. Some specific examples of smartphones include the Droid Incredible and Google Nexus One, provided by HTC Corporation, the iPhone or iPad, both provided by Apple, and many others. The computing device may be a laptop or a netbook. In another specific implementation, the computing device is a non-portable computing device such as a desktop computer or workstation. A computer-implemented or computer-executable version of the program instructions useful to practice the embodiments may be embodied using, stored on, or associated with computer-readable medium. A computer-readable medium may include any medium that participates in providing instructions to one or more processors for execution, such as memory2425or mass storage2440. Such a medium may take many forms including, but not limited to, nonvolatile, volatile, transmission, non-printed, and printed media. Nonvolatile media includes, for example, flash memory, or optical or magnetic disks. Volatile media includes static or dynamic memory, such as cache memory or RAM. Transmission media includes coaxial cables, copper wire, fiber optic lines, and wires arranged in a bus. Transmission media can also take the form of electromagnetic, radio frequency, acoustic, or light waves, such as those generated during radio wave and infrared data communications. For example, a binary, machine-executable version, of the software useful to practice the embodiments may be stored or reside in RAM or cache memory, or on mass storage device2440. The source code of this software may also be stored or reside on mass storage device2440(e.g., flash drive, hard disk, magnetic disk, tape, or CD-ROM). As a further example, code useful for practicing the embodiments may be transmitted via wires, radio waves, or through a network such as the Internet. In another specific embodiment, a computer program product including a variety of software program code to implement features of the embodiment is provided. Computer software products may be written in any of various suitable programming languages, such as C, C++, C #, Pascal, Fortran, Perl, Matlab (from MathWorks, www.mathworks.com), SAS, SPSS, JavaScript, CoffeeScript, Objective-C, Swift, Objective-J, Ruby, Rust, Python, Erlang, Lisp, Scala, Clojure, and Java. The computer software product may be an independent application with data input and data display modules. Alternatively, the computer software products may be classes that may be instantiated as distributed objects. The computer software products may also be component software such as Java Beans (from Oracle) or Enterprise Java Beans (EJB from Oracle). An operating system for the system may be the Android operating system, iPhone OS (i.e., iOS), Symbian, BlackBerry OS, Palm web OS, Bada, MeeGo, Maemo, Limo, or Brew OS. Other examples of operating systems include one of the Microsoft Windows family of operating systems (e.g., Windows 95, 98, Me, Windows NT, Windows 2000, Windows XP, Windows XP x64 Edition, Windows Vista, Windows 10 or other Windows versions, Windows CE, Windows Mobile, Windows Phone, Windows 10 Mobile), Linux, HP-UX, UNIX, Sun OS, Solaris, Mac OS X, Alpha OS, AIX, IRIX32, or IRIX64, or any of various operating systems used for Internet of Things (IoT) devices or automotive or other vehicles or Real Time Operating Systems (RTOS), such as the RIOT OS, Windows 10 for IoT, WindRiver VxWorks, Google Brillo, ARM Mbed OS, Embedded Apple iOS and OS X, the Nucleus RTOS, Green Hills Integrity, or Contiki, or any of various Programmable Logic Controller (PLC) or Programmable Automation Controller (PAC) operating systems such as Microware OS-9, VxWorks, QNX Neutrino, FreeRTOS, Micrium Micrium Windows CE, TI-RTOS, RTEMS. Other operating systems may be used. Furthermore, the computer may be connected to a network and may interface to other computers using this network. The network may be an intranet, internet, or the Internet, among others. The network may be a wired network (e.g., using copper, and connections such as RS232 connectors), telephone network, packet network, an optical network (e.g., using optical fiber), or a wireless network, or any combination of these. For example, data and other information may be passed between the computer and components (or steps) of a system useful in practicing the embodiments using a wireless network employing a protocol such as Wi-Fi (IEEE standards 802.11, 802.11a, 802.11b, 802.11e, 802.11g, 802.11i, and 802.11n, just to name a few examples), or other protocols, such as BLUETOOTH or NFC or 802.15 or cellular, or communication protocols may include TCP/IP, UDP, HTTP protocols, wireless application protocol (WAP), BLUETOOTH, Zigbee, 802.11, 802.15, 6LoWPAN, LiFi, Google Weave, NFC, GSM, CDMA, other cellular data communication protocols, wireless telephony protocols or the like. For example, signals from a computer may be transferred, at least in part, wirelessly to components or other computers. The following paragraphs set forth enumerated embodiments.1. A method comprising:installing a plurality of structural supports at a build site;for each structural support from the plurality of structural supports:providing an interface atop each structural support of the plurality of structural supports, the interface defining an internal space,positioning a column, from a plurality of columns, within the internal space of each interface such that a first end of the column is within the internal space and a second end of the column is external to the internal space,connecting to each column an actuator assembly configured to move the column with respect to the structural support, andusing a data acquisition system, determining an actual location of the second end;determining, using the determined actual locations of the second ends of the plurality of columns, for each second end from the plurality of columns:a target location for each second end, andan offset between the actual location and the target location;determining, for a subset of second ends, that the offset is greater than a first predetermined tolerance from the target location;causing, for each second end from the subset of second ends, the attached actuator assembly to move the second end toward the target location for that second end;determining, for each second end from the subset of second ends and using the data acquisition system, that the offset has changed to be within the first predetermined tolerance; andfixing each column in place within the internal space of its associated interface.2. The method of embodiment 1, wherein:the data acquisition system includes a total surveying station; andthe step of using a data acquisition system, determining an actual location of the second end includes using the total surveying station and a reflector attached to the second end to determine the actual location of the second end.3. The method of embodiment 1, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to tilt the column to move the second end toward the target location.4. The method of embodiment 1, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to translate the column to move the second end toward the target location.5. The method of embodiment 1, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to tilt and translate the column to move the second end toward the target location.6. The method of embodiment 5, further comprising:determining, for each structural support from the plurality of structural supports using the data acquisition system, an actual tilt of the column;determining, using the determined actual tilts of the plurality of columns, for each column from the plurality of columns, a misalignment between the actual tilt and a target tilt; and the target location;determining, for a subset of columns of the plurality of columns, that the actual tilt is greater than a second predetermined tolerance from the target tilt;causing, for each column from the subset of columns, the attached actuator assembly to tilt the column toward the target tilt; anddetermining, for each column from the subset of columns and using the data acquisition system, that the misalignment is within the second predetermined tolerance.7. The method of embodiment 1, wherein:the step of connecting to each column an actuator assembly configured to move the column with respect to the structural support, includes connecting the actuator assembly to the column and to the interface in which the column is positioned.8. The method of embodiment 1, wherein:the step of fixing each column in place within the internal space of its associated interface includes filling the internal space about the column with material that, when hardened, fixes the position of the column with respect to the interface.9. A system comprising:an interface defining an internal space configured to receive a first end of a column and configured to couple to a structural support;an actuator assembly including:a first section configured to hold the columnat least one actuator connected to the first section and configured to move the first section such that, when the first end of the column is received within the internal space and the column is held by the first section, a second end of the column is moved with respect to the interface.10. The system of embodiment 9, wherein:the actuator assembly further includes a second section configured to couple to the interface;the at least one actuator includes a plurality of linear actuators, each connected between the first section and the second section and configured to move the first section with respect to the second section; andthe plurality of linear actuators are configured to move the first section with respect to the second section such that, when the second section is coupled to the interface, the first end of the column is received within the internal space, and the column is held by the first section, the plurality of linear actuators are controllable to tilt the column with respect to the interface.11. The system of embodiment 9, wherein:the actuator assembly further includes a second section configured to couple to the interface;the at least one actuator includes a plurality of linear actuators, each connected to the first section and the second section and configured to translate the first section with respect to the second section;the first section includes a clamp configured to hold the column such that the column does not move relative to the first section; andthe plurality of linear actuators are configured to move the first section with respect to the second section such that, when the second section is coupled to the interface, and the column is held by the first section, the plurality of linear actuators are controllable to translate the column with respect to the interface.12. The system of embodiment 9, wherein:the first section includes a clamp configured to hold the column such that the column does not move relative to the first section;the actuator assembly further includes:a second section configured to couple to the interface, anda third section configured to hold the column;the at least one actuator includes:a first plurality of linear actuators, each connected between the first section and the second section;a second plurality of linear actuators, each connected between the third section and the second section;the first plurality of linear actuators are configured to translate the second section in three dimensions;the second plurality of linear actuators are configured to move the third section within a plane; andwhen the second section is coupled to the interface, the column is held by the first section such that the column does not move with respect to the first section, and the column is held by the second section, the first plurality and the second plurality of linear actuators are controllable to tilt and translate the column with respect to the interface.13. The system of embodiment 9, wherein the internal space of the interface includes either:a pin configured to be received within an opening in the first end of the column and limit a range of motion of the first end; oran adapter with elements slanted to direct the first end toward a center of the internal space.14. A system for controlling the location of a plurality of columns with respect to a plurality of structural supports, the system comprising:a plurality of interfaces, each interface defining an internal space configured to receive a first end of a column;a plurality of actuator assemblies, each actuator assembly configured to move a column with respect to a structural support; anda computing system including instructions and a data acquisition system configured to determine, for each column of a plurality of columns, a location of a second end of the column, wherein, when:the plurality of structural supports are installed at a build site,an interface is provided atop each structural support,a column is positioned within the internal space of each interface such that a first end of the column is within the internal space and a second end of the column is external to the internal space, andan actuator assembly is connected to each column;the instructions, when executed by the computing system cause the system to perform operations including:determining, for each structural support from the plurality of structural supports and using the data acquisition system, an actual location of the second end;determining, using the determined actual locations of the second ends of the plurality of columns, for each second end from the plurality of columns:a target location for each second end, andan offset between the actual location and the target location;determining, for a subset of second ends, that the offset is greater than a first predetermined tolerance from the target location;causing, for each second end from the subset of second ends, the attached actuator assembly to move the second end toward the target location for that second end;determining, for each second end from the plurality of columns and using the data acquisition system, that the offset has changed to be within the first predetermined tolerance; andindicating to a user that the offset is within the first predetermined tolerance for each second end from the plurality of columns.15. The system of embodiment 14, wherein:the data acquisition system includes a total surveying station; andthe operation of determining, for each structural support from the plurality of structural supports and using the data acquisition system, an actual location of the second end is performed when a reflector is attached to the second end and using the total surveying station to determine the actual location of the second end and.16. The system of embodiment 14, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to tilt the column to move the second end toward the target location.17. The system of embodiment 14, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to translate the column to move the second end toward the target location.18. The system of embodiment 14, wherein:the target locations for the second ends of the plurality of columns define a plane;the first predetermined tolerance includes a distance of the second end from the plane; andand the actuator assembly is configured to tilt and translate the column to move the second end toward the target location.19. The system of embodiment 18, the operations further including:determining, for each structural support from the plurality of structural supports using the data acquisition system, an actual tilt of the column;determining, using the determined actual tilts of the plurality of columns, for each column from the plurality of columns, a misalignment between the actual tilt and a target tilt; and the target location;determining, for a subset of columns of the plurality of columns, that the actual tilt is greater than a second predetermined tolerance from the target tilt;causing, for each column from the subset of columns, the attached actuator assembly to tilt the column toward the target tilt;determining, for each column from the subset of columns and using the data acquisition system, that the misalignment is within the second predetermined tolerance; andindicating to a user that the misalignment is within the second predetermined tolerance for each second end from the subset of columns.20. The system of embodiment 14, wherein:an actuator assembly is connected to each column includes:the actuator assembly is connected to the column and to the interface in which the column is positioned. While the embodiments have been described with regards to particular embodiments, it is recognized that additional variations may be devised without departing from the inventive concept. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the claimed subject matter. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well as the singular forms, unless the context clearly indicates otherwise. It will further be understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of states features, steps, operations, elements, and/or components, but do not preclude the present or addition of one or more other features, steps, operations, elements, components, and/or groups thereof. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one having ordinary skill in the art to which the embodiments belong. It will further be understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present disclosure and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. In describing the embodiments, it will be understood that a number of elements, techniques, and steps are disclosed. Each of these has individual benefit and each can also be used in conjunction with one or more, or in some cases all, of the other disclosed elements, or techniques. The specification and claims should be read with the understanding that such combinations are entirely within the scope of the embodiments and the claimed subject matter. In the description above and throughout, numerous specific details are set forth in order to provide a thorough understanding of an embodiment of this disclosure. It will be evident, however, to one of ordinary skill in the art, that an embodiment may be practiced without these specific details. In other instances, well-known structures and devices are shown in block diagram form to facilitate explanation. The description of the preferred embodiments is not intended to limit the scope of the claims appended hereto. Further, in the methods disclosed herein, various steps are disclosed illustrating some of the functions of an embodiment. These steps are merely examples and are not meant to be limiting in any way. Other steps and functions may be contemplated without departing from this disclosure or the scope of an embodiment. | 97,553 |
11859364 | DETAILED DESCRIPTION OF THE INVENTION FIG.1shows part of a subsea well assembly1. Whilst the invention is described in relation to a subsea wellhead assembly, many aspects of the invention (except those specifically related to the features of wells) are applicable to other subsea assemblies that comprise a foundation. Thus, the following description should be understood where appropriate to apply to any subsea assembly with a foundation. The subsea well assembly1comprises a foundation2which in this case is a suction anchor. The suction anchor2comprises an outer suction skirt4around a central tube and a top plate6connecting the outer suction skirt to the central tube to form an internal volume inside the suction anchor2that is a sealed volume when the suction anchor2is on the sea floor and has penetrated the sea floor slightly. This penetration may be due to its own weight or due to putting extra downward force on the top of the suction anchor2when it is resting on the seafloor. The suction anchor2may be sucked into the sea floor by reducing the pressure inside the suction anchor2to thereby provide a subsea well foundation in the seabed. The central tube may be or receive a low pressure wellhead housing8in which is received a high pressure wellhead housing10. The suction anchor2comprises a plurality (there are four in the example ofFIG.1) of integral connection/strong points12. These connection points12are stronger than the adjacent parts of the suction anchor2and provide a strong point for components to be connected to the suction anchor2such that load can be transmitted into the suction anchor2and thus into the sea floor. The connection points12are located equally spaced around the top of the suction skirt4of the suction anchor2. The connection points12are integral (e.g. welded or integrally formed) with the suction anchor2. Each connection point has a base portion14and a connection portion16. The base portion14is fixed to the suction anchor2(e.g. on the suction skirt). The connection portion16protrudes from the top of the suction anchor2and provides a part to which other components such as a support frame can be connected. The connection portion16may also comprise a receptacle for receiving a guiding device such as guide post20as shown inFIG.2. The receptacle may have a standard interface to allow it to be locked to the component it receives and for loads to be transferred from the component into the connection points. The assembly1inFIG.1shows a wellhead support17mounted on the suction anchor2. The wellhead support17is external of the internal volume of the suction anchor2. The wellhead support17may connected to the suction anchor2via the connection points12and/or be directly attached to the top plate6. The wellhead support17may for example be welded to the connection points12and/or the top plate6. The wellhead support17may also support the connection points12. The wellhead support17may rest on the top plate6of the suction anchor2. The wellhead support17may have a plurality of wellhead support members22(in this case eight). The wellhead supports22may provide lateral support to the wellhead8and allow the transfer loads from the wellhead8into the suction anchor2. The wellhead supports22each comprise vertical plates (e.g. I-beams) that are spaced around the circumference of the wellhead8outside of the internal volume of the suction anchor. This allows the wellhead support members to provide lateral support to the wellhead8distributed around its circumference whilst leaving parts of the wellhead8exposed for wellhead interventions. The wellhead supports22may be connected via an outer frame24. Thus the wellhead support17may comprise the wellhead supports22and the outer frame24. The outer frame24may rigidly connect the wellhead support members22and be used to connect the wellhead support17to the suction anchor2via the connection points12. The well support17may also comprise one or more receptacles26for receiving guide devices20(e.g. guide posts). These protruding members20may provide a means to which components can be attached, a means for transferring loads (such as lateral loads from components mounted on the assembly1to the suction anchor2), a means for guiding the components into the correct position and orientation on the suction anchor2and/or a means to which guide wires28can be attached during an installation procedure (as shown for example inFIG.2). The well support17may be integral with the suction anchor or a separate modular component from the suction anchor2and may be installed separately from the suction anchor2. The well support17may provide a surface on which components mounted on the subsea assembly1may rest. For example, equipment support structure29or subsea equipment adapter frame30may be located on the wellhead support17. The subsea equipment adapter frame30may be a separate modular component from the suction anchor2and the well support17and/or the equipment support structure29and may be installed separately from the suction anchor2and equipment support structure29. A suction anchor2with connection points12may be installed and used as an exploration well. If it is desired to convert the suction anchor2from an exploration well to a production well this may be possible by using the connection points12to connect equipment support structure29and subsea equipment adapter frame30to the suction anchor1. To install the equipment support structure29and/or the subsea equipment adapter frame30on a preinstalled suction anchor2, guide posts20may be installed on/in the connection portions16of the connection points12(see for exampleFIG.2). Guide wires28may be connected to the guide posts20. The guide wires28may be passed through apertures in the equipment support structure29and subsea equipment adapter frame30and used to guide these components into roughly the correct location above the wellhead and onto the guide posts20. As shown inFIGS.2,3,6and7for example, one of the guide posts20may be longer than the other guide posts20. This is so that this longer guide post20is received first in an aperture in the component being mounted and at that point provides a point about which the component can be rotated to get it in the correct location to be received by the other guide posts20. This may make the installation easier as first the component can be translated to align an aperture with the longer guide post20and then rotated to align the other apertures with the other guide posts20. Once the component (e.g. the equipment support structure29and/or the subsea equipment adapter frame30) being mounted has been roughly aligned relative to the wellhead10using the guide posts20, the component may be precisely aligned using one or more fine alignment members32. These fine alignment members32may be used in conjunction with the protruding members (e.g. guide posts20) to help position and/or lock the components on the suction anchor foundation2. The protruding members20may be used to guide the component (e.g. equipment support structure29and/or subsea equipment adapter frame30) into approximately the correct location and orientation on the suction anchor2and the fine alignment members32may be used to precisely locate the components and lock them to the subsea installation1. The fine alignment members32may be machined to a high tolerance to ensure that the components are located and/or locked in a precise location relative to the suction anchor2and/or wellhead10. In other words, the guide posts20may be used as a coarse guiding means and the fine alignment members32may be used as a fine guiding/aligning means. FIG.3shows a subsea well assembly1that comprises two suction anchors2. The assembly comprises one equipment support structure29that extends over both suction anchors2and one subsea equipment adapter frame30that is supported by both suction anchors2. Other than the fact that the arrangement comprises two suction anchors2rather than one, the assembly is otherwise equivalent to the examples shown inFIGS.1and2. In the assemblies ofFIGS.2and3, the wellhead supports cannot be seen as they are located in the internal volume of the suction anchor1rather than external to the internal volume on the top plate as inFIG.1.FIG.4shows another subsea well assembly1. This is broadly equivalent to the assembly1shown inFIG.1except the well support members22are not connected by an outer frame. Also the connection points12are mounted on/fixed to/part of the well support members22. In this figure the connection points16are being shown as being used as lift points during installation or uninstallation of the assembly1. The connection points12may be connected to other components such as wellhead load relief during other phases of operation. FIGS.5,6and7show assemblies that are equivalent to the assemblies shown inFIGS.4,2,3respectively, except that the foundation2′ is not a suction anchor2. In these assemblies1′, the foundation2′ is a plate or slab for example that may be fixed to the seabed by some other means such as cement. As the outer suction skirt4of the suction anchor2is no longer present, the central tube of the assembly can be seen. The following clauses set out features of the invention which may not presently be claimed but which may form the basis for amendments or future divisional applications.1. A suction anchor for a subsea assembly, wherein the suction anchor comprises connection points, wherein the connection points permit other components to be connected to the suction anchor and permit loads to transfer from the component connected to the connection points into the suction anchor.2. A suction anchor according to clause 1, wherein the connection points are additional parts that protrude from the skirt of the suction anchor to provide an attachment point for components to be mounted on the suction anchor.3. A suction anchor according to clause 1 or 2, wherein the connection points are stronger than the adjacent parts of the suction anchor.4. A suction anchor according to clause 1, 2 or 3, wherein one or more of the connection points is provided at the outer perimeter of the suction anchor5. A suction anchor according to any preceding clause, wherein one or more of the connection points extends beyond the top of the suction anchor.6. A suction anchor according to any preceding clause, wherein the connection points are provided at approximately equally spaced locations around the outer perimeter of the suction anchor.7. A suction anchor according to any preceding clause, wherein one or more of the connection points is integral with the suction anchor.8. A suction anchor according to any preceding clause, wherein one or more of the connection points has a profile that permits load transfer and/or locking of the component that is connected to the connection point.9. A suction anchor according to any preceding clause, wherein each connection point comprises a base portion integral with the suction anchor and a connection portion to which a component can be attached.10. A suction anchor according to any preceding clause, wherein the suction anchor is for providing a foundation for a subsea well assembly.11. A subsea assembly comprising the suction anchor of any preceding clause.12. A subsea assembly according to clause 11, wherein one or more connection point is connected to a guide device13. A subsea assembly according to clause 12, wherein one or more of the guide devices provides a means to which the components can be attached, a means for transferring loads to the suction anchor, a means for guiding the components into the correct position and orientation on the suction anchor, and/or a means to which guide wires can be attached during an installation procedure.14. A subsea assembly according to clause 12 or 13, wherein one guide device protrudes further from the suction anchor than the other guide devices.15. A subsea assembly according to clause 11, 12 or 13, wherein the subsea foundation comprises fine alignment devices, wherein the guide devices are used to guide a component into approximately the correct location and orientation on the suction anchor and the fine alignment devices are used to more precisely locate the component and/or lock it to the subsea assembly.16. A subsea assembly according to any of clauses 11 to 15, wherein the subsea assembly is a subsea well assembly.17. A method, wherein the method comprises providing the suction anchor or subsea assembly of any preceding clause.18. A method according to clause 16, wherein the method comprises providing a plurality of suction anchors of different designs wherein the connection points on each suction anchor are located in the same position relative to the other connection points and/or the connection points are the same size between each of the suction anchors.19. A method of converting an exploration well into a production well, the method comprising:providing a subsea well assembly, the well assembly comprising a suction anchor, wherein the suction anchor comprises one or more connection points,using the subsea well assembly as an exploration well assembly; andconverting the exploration well assembly to a production well assembly, wherein converting the exploration well assembly to a production well assembly comprises connecting one or more components to the suction anchor via the one or more connection points.20. A method according to clause 19, wherein no components are connected to the connection points when the well assembly is being used as an exploration well.21. A method according to clause 19 or 20, wherein the one or more components comprises a support structure and/or a subsea equipment adapter frame and wherein converting the exploration well assembly to a production well assembly comprises installing the support structure and/or the subsea equipment adapter frame on the suction anchor.22. A subsea assembly comprising:a suction anchor,a support structure; anda subsea equipment adapter frame, wherein the suction anchor, support structure and subsea equipment adapter frame are separate modular components that can be installed or uninstalled separately.23. A subsea assembly according to clause 22, wherein the suction anchor is the suction anchor of any of clauses 1 to 10 and/or wherein the subsea assembly is the subsea assembly of any of clauses 11 to 16.24. A subsea assembly according to clause 23, wherein the one or more components connected to the connection points is the support structure and/or the subsea equipment adapter frame.25. A method of installing a subsea assembly, the subsea assembly comprising a suction anchor and a support structure, wherein the suction anchor is installed on a seabed and then the support structure is mounted on the suction anchor.26. A method of installing a subsea assembly according to clause 25, wherein the subsea assembly is the subsea assembly of any of clauses 22 to 24.27. A method of uninstalling a subsea assembly, the subsea assembly comprising a suction anchor and a support structure, wherein the support structure is dismounted from the suction anchor and retrieved before the suction anchor is uninstalled from the seabed.28. A method of uninstalling a subsea assembly according to clause 27, wherein the subsea assembly is the subsea assembly of any of clauses 22 to 24.29. A suction anchor for a subsea well, wherein the suction anchor comprises:a skirt;a top plate;a pipe that is for a well to extend through, wherein the skirt, top plate and pipe together define an internal volume in which the pressure can be adjusted relative to the outside environment, anda wellhead support structure, wherein the wellhead support is at least in part external of the internal volume.30. A suction anchor according to clause 29, wherein the wellhead support structure provides lateral support to the wellhead.31. A suction anchor according to clause 29 or 30, wherein the wellhead support structure reinforces the top plate.32. A suction anchor according to clause 29, 30 or 31, wherein the wellhead support structure comprises a plurality of radially extending members that extend in a radial direction from the wellhead.33. A subsea well assembly, wherein the assembly comprises:a suction anchor according to any of clauses 29 to 32, anda well head that extends through the top plate of the suction anchor,wherein the wellhead protrudes from a top plate of the suction anchor and is not enclosed within the suction skirt from the top of the wellhead at least down to a point below where a high pressure wellhead housing of the wellhead seals to a low pressure wellhead housing. | 16,680 |
11859365 | InFIGS.1—5G remote communication transmission system,2—Data industrial personal computer,3—High-frequency dynamic bidirectional acceleration sensor,4—Wire,5—Stainless rod part,6—Hoop,7—Adjustable sound velocity underwater depth monitoring system,8—Scoured seabed soil pressure change testing system,9—Seepage pressure sensor,10—Pile cap,11—Pile,12—Dynamic strain sensor. DESCRIPTION OF THE EMBODIMENTS The present invention is further described below in combination with the accompanying drawings of the specification. As shown inFIGS.1-6, a system for bridge scour multi-source monitoring includes an intelligent monitoring system, a data industrial personal computer, a 5G remote communication transmission system and a remote scour depth evaluation center. The intelligent monitoring system consists of three monitoring subsystems comprising a high-frequency real-time bridge dynamic characteristic monitoring system, an adjustable sound velocity underwater depth monitoring system, and a scoured seabed soil pressure change testing system (this equipment has been disclosed in Patent Number 2018104740925 entitled “Monitoring Method Based On Safety Monitoring Device For Cubic Bridge Foundation Scour”), and the three subsystems can be coupled with one another to carry out triggering control to acquire lateral pile data, thereby forming a multi-source bridge local scour real-time sensing and monitoring system, and realizing integration of clock synchronization, dynamic control of scour environment conditions, contact sensors and non-contact sensors. The data industrial personal computer and the 5G remote communication system are installed at a pile top, and can carry out broken-point continuingly-transferring on multi-source monitoring data obtained from sensors through 5G communication to realize remote data transmission, and the data stored in the bridge data industrial personal computer can be remotely re-accessed by data analysis personnel; and in the present embodiment, the 5G remote communication system comprises a 5G industrial module, antennas and a 5G access-internet card, wherein the model number of the 5G industrial module is HUAWEI MH5000-31p, and the 5G industrial module is integrated onto the data industrial personal computer 2. The remote scour depth evaluation center obtains real-time monitoring data of local scour situations of a monitored bridge pile foundation, and a structural scour depth evaluating method for local scour of a pile foundation is researched and developed through fusion of multi-source monitoring data and a weight normalization algorithm; and in the present embodiment, the remote scour depth evaluation center is a remote server. The adjustable sound velocity underwater depth monitoring system adopts a single beam echo sounder. A sound velocity of the single beam echo sounder can be set according to a sound wave transmission rate in a marine actual deep-water environment, so as to improve monitoring accuracy. Turning on and off of monitoring of the single beam echo sounder are self-adaptively controlled through a change of a tidal level in water, so as to overcome the shortcoming that the single beam echo sounder cannot work continuously. Mainly, a transducer of the single beam echo sounder is installed (welded) on a stainless hoop by arranging an L-shaped stainless rod part (the transducer is fixed by waterproof adhesive tape, and bound by a metal band), and is kept being always perpendicular below a water surface, and is distant to a pile surface for a certain distance. The stainless hoop is installed on the pile surface at the lowest tidal level in recent years (in recent 10 years); and in the present embodiment, the stainless hoop6comprises a first hoop601, a second hoop604, nuts602and double-threaded screws603, and the first hoop601and the second hoop604are fixedly connected through the nuts602and the double-threaded screws603. The high-frequency real-time bridge dynamic characteristic monitoring system mainly adopts a combination of high-frequency dynamic bidirectional acceleration sensors and dynamic strain sensors, the high-frequency dynamic bidirectional acceleration sensors are installed on the pile top and a pile cap, and the dynamic strain sensors are installed on surfaces of the top and bottom of the pile, wherein bidirectional acceleration directions are set as that: x is a direction of a water flow, and y is a direction perpendicular to the water flow, so as to realize dynamic response monitoring of a bridge structure. The scoured seabed soil pressure change testing system mainly integrates a pressure sensor and a seepage pressure sensor, and the pressure sensor and the seepage pressure sensor are accurately lowered to a specified measuring point in front of a pile from near the pile. A monitoring method of a system for bridge scour multi-source monitoring has the specific steps as follows: 1) Under an ordinary weather environment (such as sunny weather and rainy weather): 1.1) The seepage pressure sensor installed on the pile surface at the stainless hoop operating in real time, wherein data are uploaded to the data industrial personal computer through wires, the data industrial personal computer obtains real-time tidal level data through a preset algorithm, then controls turning on and off of the single beam echo sounder in accordance with whether or not the real-time tidal level data reach a set monitoring threshold, and sets a wave velocity in accordance with the propagation velocity of sound wave in an actual marine environment, so as to avoid affecting monitoring accuracy by systematic error caused by complicated and volatile external environment and long-time operation of the single beam echo sounder, and monitoring data of the single beam echo sounder shows the depth change of a scour interface at a bridge pile foundation measuring point. Set an acquisition threshold h′ of the single beam echo sounder, wherein a monitoring center automatically controls the turning on and off of the single beam echo sounder through a tidal level elevation obtained by conversion of the seepage pressure sensor that is installed on the pile surface at the stainless hoop, a sounding sampling is carried out when the tidal level is greater than h′, and the sounding sampling is stopped when the tidal level is less than h′, so as to avoid affecting monitoring accuracy by accumulation of measuring error caused by long-time operation of the single beam echo sounder; in order to prevent the contingency of single data, when a considerable amount of measuring data reach h′, turning on and off of the single beam echo sounder can be automatically controlled; measuring data htrof depths from a bottom surface of the transducer of the single beam echo sounder to a water bottom can show a change of a soil layer interface of an upstream side of the pile, and then the change Δhtrof a scour depth can be obtained based on the difference of the depths, that are measured at different time, from the bottom surface of the transducer of the single beam echo sounder to the water bottom; and relevant calculation theory thereof is as follows: h1=Pk/γ1-h2htr=12Ct wherein h1is the tidal level elevation; Pkis an actual measured data of the seepage pressure sensor on the pile surface at the stainless hoop; γ1is the volume weight of seawater; h2is a distance from the seepage pressure sensor on the pile surface at the stainless hoop to a datum plane of the tidal level; htris a distance from a bottom of the transducer of the single beam echo sounder to a seabed surface; C is an actual average sound velocity of the seawater; and t is two-way time of the sound waves. 1.2) Set an amplitude of change of depths of the single beam echo sounder, wherein when sounding data reaches the amplitude of change, the data industrial personal computer carries out automatic control to turn on the high-frequency real-time bridge dynamic characteristic monitoring system and the scoured seabed soil pressure change testing system to make the three subsystems operate normally, so as to obtain clock-synchronous real-time monitoring data of the three subsystems. Set sampling frequencies of the pressure sensor and the seepage pressure sensor in the scoured seabed soil pressure change testing system, and average pressure data P2and P3thereof, wherein data acquired by the pressure sensor includes soil layer pressure and water pressure values, and data acquired by the seepage pressure sensor only includes water pressure values, namely, a silt scour or back-silting pressure value ΔP can be reflected by a value difference between the pressure sensor and the seepage pressure sensor; and the seabed soil effective unit weight γ2is measured by carrying out drilling sampling on a seabed bearing stratum, namely, a silt scour or back-silting thickness h3on a lateral pile soil pressure monitoring system can be obtained: P2=1n∑i=1nPi,P3=1n∑j=1nPjΔP=P2-P3h3=ΔPγ2 wherein Piis an actual measured data of the pressure sensor in the scoured seabed soil pressure change testing system, i is actual measured data points of the pressure sensor at different time, n is a number of data, Pjis an actual measured data of the seepage pressure sensor in the scoured seabed soil pressure change testing system, and j is actual measured data points of the seepage pressure sensor at different time. When an interface of the seabed bearing stratum changes, be capable of judging whether or not an absolute position of a system device changes by further analyzing the scoured seabed soil pressure change testing system and the seepage pressure sensor on the pile surface at the stainless hoop, and then being capable of comprehensively judging a change of a scour depth by considering the silt scour or back-silting situation; P1=1n∑k=1nPkh4=(P3-P1)/γ1 wherein h4is a depth from the scoured seabed soil pressure change testing system to the seepage pressure sensor on the pile surface at the stainless hoop; Pkis an actual measured data of the seepage pressure sensor on the pile surface at the stainless hoop, n is a number of data points, and k is actual measured data points of the seepage pressure sensor on the pile surface at the stainless hoop at different time; and P1is an average value of seepage pressures monitored by the seepage pressure sensor on the pile surface at the stainless hoop. 1.3) Carry out high-frequency dynamic monitoring on acceleration data of the pile top and the pile cap as well as dynamic strain data of the top and bottom of the pile by the high-frequency real-time bridge dynamic characteristic monitoring system, acquire signals of acceleration under action of earth pulsation, and carry out spectral analysis on the signals to obtain structural natural vibration frequency information contained in response signals; carry out modeling and grid dividing on a bridge model based on ANSYS finite element software, and simulate pile-soil interaction by setting spring units in the ANSYS finite element software, wherein the spring stiffness K is determined by an m method (calculating pile foundation soil spring stiffness K by an m method in Appendix L according to Code for Design of Ground Base and Foundation of Highway Bridges and Culverts (JTG 3363-2019)), and a value model is modified by combining a scour depth obtained by manual underwater exploration in an installation day with the actual measured acceleration data for the installation day to establish a benchmark numerical model; stimulating different scour depths of the bridge with the benchmark numerical model by deleting spring units at different depths to obtain natural vibration frequencies under different scour depth working conditions; carrying out manual neural network training on partial natural vibration frequency results and corresponding scour depths obtained through stimulation, and carrying out checking with the rest of the results to ensure the accuracy of a network model, wherein the grid model selects a natural vibration frequency sensitive order (natural vibration frequency orders greatly affected by the scour depth) as a network input parameter, and an output parameter is the scour depth; inputting corresponding natural vibration frequencies identified by the actual measured acceleration data of the pile top and the pile cap into the manual neural network, thereby obtaining bridge scour depth values; and conversing the dynamic strain data into dynamic deflection data of the pile to evaluate bridge operation safety statuses by adopting a strain-curvature-deflection relationship through a curvature function-based method. 2) Under a rugged environment (such as a spring tide phase, typhoon, and storm surge): 2.1) carry out control to simultaneously turn on the three subsystems by the data industrial personal computer to realize dynamic online operation of the three subsystems so as to obtain clock-synchronous real-time monitoring data of the three monitoring subsystems, obtain sounding data of the single beam echo sounder in the adjustable sound velocity underwater depth monitoring system according to the step 1.1), obtain pressure and seepage pressure data in the scoured seabed soil pressure change testing system according to the step 1.2), and obtain acceleration data and dynamic strain data according to the high-frequency real-time bridge dynamic characteristic monitoring system in the step 1.3), thereby obtain scour depths through respective conversion of the high-frequency real-time bridge dynamic characteristic monitoring system, the adjustable sound velocity underwater depth monitoring system and the scoured seabed soil pressure change testing system. A scour depth evaluating method of a system for bridge scour multi-source monitoring has the specific steps as follows: As shown inFIG.6, carry out dynamic weight selection in consideration of an analytic hierarchy process to select dynamic weight of the intelligent monitoring system as a target hierarchy, take a water flow velocity, a water depth, a silt grain size, a suspended matter concentration, an environmental vibration magnitude and an external scour environment (such as ordinary weather, typhoon, a spring tide phase, storm surge, etc.) as a criterion hierarchy, and take the three subsystems of the intelligent monitoring system as a project hierarchy so as to form a structural model for multi-hierarchy intelligent monitoring system dynamic weight selection, constructing a judgment (paired comparison) matrix and carrying out the steps of single hierarchical arrangement and consistency check thereof as well as total hierarchical arrangement and consistency check thereof, and carrying out real-time analysis on dynamic weight selection of the intelligent monitoring system for bridge pile foundation scour to obtain dynamic weights λ1, λ2, λ3of the high-frequency dynamic bridge pile foundation dynamic characteristic monitoring system, the adjustable sound velocity underwater depth monitoring system and the scoured seabed soil pressure change testing system under specified conditions, wherein since all the monitoring data of the three subsystems are finally conversed into scour depth values, all the weights are normalized according to the following formulas: a1=λ1/(λ1+λ2+λ3)a2=λ2/(λ1+λ2+λ3)a3=λ3/(λ1+λ2+λ3) Carry out multi-source data fusion on actual bridge scour depths in accordance with the result of weight normalization: yb=a1y1+a2y2+a3y3 wherein ybis a scour depth under multi-source monitoring data fusion (the greater a value of ybis, the greater the scour depth is), a1, a2, a3are normalized dynamic values of the high-frequency real-time bridge dynamic characteristic monitoring system, the adjustable sound velocity underwater depth monitoring system and the scoured seabed soil pressure change testing system, and y1, y2, y3are scour depths obtained through respective conversion of the high-frequency real-time bridge pile foundation dynamic characteristic monitoring system, the adjustable sound velocity underwater depth monitoring system and the scoured seabed soil pressure change testing system. | 16,196 |
11859366 | DETAILED DESCRIPTION OF CERTAIN EMBODIMENTS By way of example, and referring toFIGS.1-7, one embodiment of a retractable tooth bucket, configured to provide both digging and grading functionality on a worksite. A crane10further comprises a cab C which provides controls for a boom B joined to an arm A. The arm A is joined to a bucket12. The retractable tooth bucket comprises a bucket12, joined to a pin16with a first lock14A and a second lock14B. A first bracket18A and a second bracket18B are joined to the bucket12. A first pivot frame34is joined to the first bracket18A with a first pin20A. A second pivot frame36is joined to the second bracket18B with a second pin20B. A first mounting bracket22is joined to the bucket12. A second mounting bracket24is joined to the bucket12. A hydraulic ram28is joined to the first mounting bracket22and the second mounting bracket24with a hydraulic ram pin26. A support bracket30is joined to the hydraulic ram28and configured to provide support for the hydraulic ram28. A cylinder32is joined to the hydraulic ram28, the first pivot frame34, and the second pivot frame36with a pivot frame pin38A secured by a fastener38B. A hydraulic fluid line40is joined to the hydraulic ram28and to a quick connect. The hydraulic fluid line40is representative of both supply and return lines necessary to operate the hydraulic ram28. A first cross member42, a second cross member44, and a third cross member46, and a pivot pin48are joined to the first pivot frame34and the second pivot frame36. A first spine50A, a second spine50B, a third spine50C and a fourth spine50D are joined to the third cross member46and arranged against the pivot pin48. A first tooth60A is joined to the first spine50A. A second tooth60B is joined to the second spine50B. A third tooth60C is joined to the third spine50C. A fourth tooth60D is joined to the fourth spine50D. A fifth tooth60E is joined to the fifth spine50E. Engaging the hydraulic ram28causes the first spine50A, the second spine50B, the third spine50C and the fourth spine50D extend away from the bucket12. A first bracket pin joined to the first bracket. A first tooth first sleeve52A, a first tooth second sleeve54A, a first tooth third sleeve56A, and a first tooth fourth sleeve58A are joined to the bucket12and partially covering the first spine50A. A second tooth first sleeve52B, a second tooth second sleeve54B, a second tooth third sleeve56B, and a second tooth fourth sleeve58B are joined to the bucket12and partially covering the second spine50B. A third tooth first sleeve52C, a third tooth second sleeve54C, a third tooth third sleeve56C, and a third tooth fourth sleeve58C are joined to the bucket12and partially covering the third spine50C. A fourth tooth first sleeve52D, a fourth tooth second sleeve54D, a fourth tooth third sleeve56D, and a fourth tooth fourth sleeve58D are joined to the bucket12and partially covering the fourth spine50D. A fifth tooth first sleeve52E, a fifth tooth second sleeve54E, a fifth tooth third sleeve56E, and a fifth tooth fourth sleeve58E is joined to the bucket12and partially covering the fifth spine50E. A first plate bracket70, a second plate bracket72, a third plate bracket74, a fourth plate bracket76, a fifth plate bracket78, and a sixth plate bracket80are attached to the bucket12. A plate90is attached to the first plate bracket70, the second plate bracket72, the third plate bracket74, the fourth plate bracket76, a fifth plate bracket78, and a sixth plate bracket80. As used in this application, the term “a” or “an” means “at least one” or “one or more.” As used in this application, the term “about” or “approximately” refers to a range of values within plus or minus 10% of the specified number. As used in this application, the term “substantially” means that the actual value is within about 10% of the actual desired value, particularly within about 5% of the actual desired value and especially within about 1% of the actual desired value of any variable, element or limit set forth herein. All references throughout this application, for example patent documents including issued or granted patents or equivalents, patent application publications, and non-patent literature documents or other source material, are hereby incorporated by reference herein in their entireties, as though individually incorporated by reference, to the extent each reference is at least partially not inconsistent with the disclosure in the present application (for example, a reference that is partially inconsistent is incorporated by reference except for the partially inconsistent portion of the reference). A portion of the disclosure of this patent document contains material which is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent file or records, but otherwise reserves all copyright rights whatsoever. Any element in a claim that does not explicitly state “means for” performing a specified function, or “step for” performing a specified function, is not to be interpreted as a “means” or “step” clause as specified in 35 U.S.C. §112, ¶ 6. In particular, any use of “step of” in the claims is not intended to invoke the provision of 35 U.S.C. §112, ¶ 6. Persons of ordinary skill in the art may appreciate that numerous design configurations may be possible to enjoy the functional benefits of the inventive systems. Thus, given the wide variety of configurations and arrangements of embodiments of the present invention the scope of the invention is reflected by the breadth of the claims below rather than narrowed by the embodiments described above. | 5,758 |
11859367 | MODES FOR CARRYING OUT THE INVENTION A construction machine according to embodiments of the present invention will be described below referring the drawings, while taking a hydraulic excavator as an example thereof. Note that the present invention is generally applicable to construction machines that include a plurality of hydraulic closed circuits having a closed circuit pump and a hydraulic cylinder connected through a selector valve in a state of a closed circuit and that include a swing closed circuit, so that the object to which to apply the present invention is not limited to the hydraulic excavator. First Embodiment A hydraulic excavator according to a first embodiment of the present invention will be described. (Machine Body Main Body) FIG.1is a side view depicting the hydraulic excavator according to the present embodiment. InFIG.1, a hydraulic excavator100includes a lower track structure103including crawler type track devices8aand8bon both side in a left-right direction, and an upper swing structure102swingably mounted onto the lower track structure103. The lower track structure103and the upper swing structure102constitute a machine body main body of the hydraulic excavator100. On the upper swing structure102, a cab101as an operating room in which an operator is seated is provided. The lower track structure103and the upper swing structure102are swingable through a swing motor7as a swing hydraulic motor. To the front side of the upper swing structure102, a base end portion of a front work implement104as a work device for performing, for example, excavation or the like is attached rotatably. Here, the front side refers to a direction in which the operator being seated in the cab101is directed (leftward direction inFIG.1). The front work implement104includes a boom2a base end portion of which is connected to the front side of the upper swing structure102in a vertically rotatable manner. The boom2operates through a boom cylinder1which is a single rod-type hydraulic cylinder. The boom cylinder1has a tip end portion of a boom rod1bconnected to the upper swing structure102, and has a base end portion of a boom head1aconnected to the boom2. To a tip end portion of the boom2, a base end portion of an arm4is connected rotatably vertically or in a front-rear direction. The arm4operates through an arm cylinder3which is a single rod-type hydraulic cylinder. The arm cylinder3has a tip end portion of an arm rod3bconnected to the arm4, and has a base end portion of the arm head3aconnected to the boom2. To a tip end portion of the arm4, a base end portion of a bucket6is connected rotatably vertically or in the front-rear direction. The bucket6operates through a bucket cylinder5which is a single rod-type hydraulic cylinder. The bucket cylinder5has a tip end portion of a bucket rod5bconnected to the bucket6, and has a base end portion of a bucket head5aconnected to the arm4. In the cab101, there are disposed operating levers30(depicted inFIG.2) as operating members for operating the boom2, the arm4, and the bucket6constituting the front work implement104and the upper swing structure102. (Hydraulic Driving Device) FIG.2is a schematic view depicting a hydraulic driving device for driving the hydraulic excavator100. Note that, inFIG.2, only the parts concerning driving of the boom cylinder1and the swing motor7are depicted, and the parts concerning driving of the other actuators are omitted. (Cylinders, Motor) A hydraulic driving device105includes the boom cylinder1, the swing motor7, a closed circuit pump11for driving the boom cylinder1, and a closed circuit pump12for driving the swing motor7. The swing motor7includes a pair of input-output ports7aand7b. (Pumps) The closed circuit pumps11and12are each driven by receiving power from an engine9through a transmission device10. The closed circuit pumps11and12each include tilting swash plate mechanism having a pair of input-output ports as flow rate regulating means, and include regulators11aand12a, respectively, for regulating the pump displacements by regulating the inclination angles of the swash plates. The regulators11aand12arespectively control the delivery rates and delivery directions of the closed circuit pumps11and12according to pump delivery rate command values received from a pump valve controller40through a control signal line. (Closed Circuits, Selector Valves) Delivery ports on both sides of the closed circuit pump11are connected to the boom cylinder1through lines21and22and a selector valve23, and constitute a cylinder closed circuit C1. Delivery ports on both sides of the closed circuit pump12are connected to the swing motor7through lines24and25and a selector valve26, and constitute a swing closed circuit C2. The selector valve23switches between communication and interruption of communication between the lines21and22by an opening-closing control command received from the pump valve controller40through a control signal line. The selector valve26switches between communication and interruption of communication between the lines24and25by an opening-closing control command received from the pump valve controller40through a control signal line. (Flushing Valves) A flushing valve31is connected to the lines21and22and a tank33. The flushing valve31is switched so as to communicate between the line with a lower pressure, of the line21and the line22, and the tank33. A flushing valve32is connected to the lines24and25and the tank33. The flushing valve32also is switched so as to communicate between the line with a lower pressure, of the line24and the line25, and the tank33. (Check Valves, Relief Valves) A check valve34ais provided so as to connect the tank33to each of the lines21and22. When the pressures in the lines21and22are lowered below the pressure in the tank33, a hydraulic operating oil is supplied from the tank33to the lines21and22. A check valve34bis provided so as to connect the tank33to each of the lines24and25. When the pressures in the lines24and25are lowered below the pressure in the tank33, a hydraulic operating oil is supplied from the tank33to the lines24and25. Relief valves37aand37bare each provided so as to connect the tank33to each of the lines21and22. The relief valves37a,37b,38a, and38bare opened when the pressures in the lines21,22,24, and25exceed a preset pressure, and play the role of safety valves for discharging the hydraulic operating oil to the tank33. (Pump Valve Controller) The pump valve controller40is connected to a boom lever30aand a swing lever30bas operating levers30by signal lines, and is connected to the selector valves23and26and the regulators11aand12aof the closed circuit pumps11and12by control signal lines. The pump valve controller40determines delivery rates of the closed circuit pumps11and12based on operation amounts of the boom lever30aand the swing lever30b, and outputs control signals according to the delivery rates to the regulators11aand12a. In addition, when it is detected that the boom lever30aand/or the swing lever30bis operated, the pump valve controller40opens the selector valves23and26to cause the hydraulic operating oil delivered respectively by the closed circuit pumps11and12to flow into the boom cylinder1and the swing motor7, thereby controlling the drive of the boom cylinder1and the swing motor7. The delivery directions of the hydraulic operating oil at the closed circuit pumps11and12are determined respectively by operating directions of the boom lever30aand the swing lever30b. Note that, in the present embodiment, the pump valve controller40is described taking a controller including an electric or electronic circuit as an example thereof, the pump valve controller40may be configured by a hydraulic circuit. (Configuration According to Present Invention) Next, the structure of the flushing valve in the present embodiment will be described. (Flushing Valve Structure) FIG.3depicts an example of the inside structure of a flushing valve31for the cylinder closed circuit C1. Lines31b,31c, and31dare formed in a manifold31a. The lines21and22, and the tank33inFIG.2are connected respectively to the lines31b,31c, and31d. A spool31ein which a line31his formed, shims31g1and31g2and springs31f1and31f2are disposed in the manifold31a. When a hydraulic operating oil is introduced into respective oil chambers where the springs31f1and31f2are present from the lines31band31c, the spool31eis moved either to the left or the right, depending on the magnitude relation between the pressures in the oil chambers. For example, when the pressure in the line31bis higher than that in the line31c, the pressure in the oil chamber where the spring31f1is present is higher, so that the spool31eis moved to the right. With the spool31emoved to the right by an amount corresponding to a stroke amount31i, a line32con the lower pressure side is connected to a line32dthrough a line32h. FIG.4depicts an example of the inside structure of a flushing valve32for the swing closed circuit C2. Lines32b,32c, and32dare formed in a manifold32a. The lines24and25and the tank33inFIG.2are connected respectively to the lines32b,32c, and32d. A spool32ein which a line32his formed, shims32g1and32g2, and springs32f1and32f2are disposed in the manifold32a. The flushing valve32operates similarly to the flushing valve31inFIG.3. InFIG.4, a moving amount from a neutral position of the spool32eis a stroke amount32i. Here, in the flushing valve32for the swing closed circuit C2inFIG.4, for making the throttle narrower than that in the flushing valve31(depicted inFIG.3) for the cylinder closed circuit C1, a thickness T2of the shims32g1and32g2is set larger than a thickness T1of the shims31g1and31g2. As a result, the stroke amount32iof the spool32ewhen a differential pressure is generated between the line32band the line32cinFIG.4is smaller than the stroke amount31iinFIG.3, and, therefore, the maximum opening area between the line32bor the line32cand the line32his reduced. (Conventional Swing Operation) Next, an operation when the swing motor7is driven by a conventional hydraulic driving device will be described usingFIG.2. Here, the conventional hydraulic driving device is the hydraulic driving device105depicted inFIG.2, in which the structure of the flushing valve32for the swing closed circuit C2is made to be the same as that of the flushing valve31(depicted inFIG.3) for the cylinder closed circuit C1. (Stop—Lever Input—Swing Acceleration) When an operator operates the swing lever30bfrom neutral to a predetermined operation amount to thereby give an input for instructing rotational drive of the swing motor7, the pump valve controller40receives the operation amount of the swing lever30bthrough a signal line. Based on the operation amount of the swing lever30bthus received, the pump valve controller40sets a control command value for the selector valve26to an open state, for connecting the closed circuit pump12to the swing motor7. In addition, the pump valve controller40sets a pump delivery rate command value for the closed circuit pump12to a value corresponding to the operation amount of the swing lever30b. The pump valve controller40outputs the control command value and the pump delivery rate command value to the selector valve26and the regulator12aof the closed circuit pump12through control signal lines. As a result, the selector valve26is opened, the hydraulic operating oil delivered by the closed circuit pump12flows into the input-output port7aof the swing motor7through the selector valve26and the line24, to drive the swing motor7. The hydraulic operating oil flowing out of the input-output port7bis sucked into the closed circuit pump12through the line25and the selector valve26. At this time, the hydraulic operating oil delivered by the closed circuit pump12accelerates the inertial body of the upper swing structure102(depicted inFIG.1) connected to the swing motor7, and, therefore, the pressure in the line24which is on the hydraulic operating oil delivery side of the closed circuit pump12becomes higher than the pressure in the line25. The flushing valve32is switched over so as to connect the line25on the low pressure side to the tank33. (During Swing—Lever Neutral—Swing Deceleration) When the operator operates the swing lever30bfrom a predetermined operation amount to a neutral position to give an input for instructing stoppage of the swing motor7, the pump valve controller40receives the operation amount of the swing lever30bthrough a signal line. Based on the operation amount of the swing lever30bthus received, the pump valve controller40sets a control command value for the selector valve26to a closed state for connecting the closed circuit pump12to the swing motor7. In addition, the pump valve controller40sets a pump delivery rate command value for the closed circuit pump12to a value according to the operation amount of the swing lever30b. When the swing lever30bis neutral, the pump delivery rate command value is 0. The pump valve controller40outputs the control command value and the pump delivery rate command value to the selector valve26and the regulator12aof the closed circuit pump12through control signal lines. As a result, the selector valve26is closed, and the closed circuit pump12stops delivery of the hydraulic operating oil, but since the swing motor7continues rotating by inertial force of the upper swing structure102(depicted inFIG.1) connected to the swing motor7, the swing motor7delivers the hydraulic operating oil from the input-output port7binto the line25. In this instance, the flushing valve32keeps a switching position at the time of starting swing, and, therefore, is connecting the line25to the tank33. Accordingly, the hydraulic operating oil flowing out of the input-output port7bis discharged to the tank33through the line25and the flushing valve32. The state inside the swing closed circuit C2at this time will be described usingFIG.5. When the operator operates the swing lever30bfrom a predetermined operation amount to a neutral position, the flow rate of the hydraulic operating oil flowing to the flushing valve32increases accordingly. When the through flow rate of the flushing valve32increases, the pressure inside the line25rises due to pressure loss. On the other hand, the pressure inside the line24is lowered, since the input-output port7aof the swing motor7sucks in the hydraulic operating oil in the line24. When the pressure inside the line24is lowered below the pressure inside the line25, the flushing valve32is switched over, to connect the line24to the tank33. Thereafter, the hydraulic operating oil flowing out from the input-output port7bof the swing motor7flows in the line25, and the pressure inside the line25is further raised. When the pressure inside the line25is raised to a preset pressure of the relief valve38b(hereinafter referred to as relief pressure), the relief valve38bis opened, and the hydraulic operating oil is discharged to the tank33. When the pressure inside the line25exceeds the pressure inside the line24to reach the relief pressure, the rotational speed of the swing motor7is decelerated, and the swing motor7is stopped after a predetermined time. (Case of Flushing Valve of Present Invention) Next, an operation when the swing motor7is driven by the hydraulic driving device105in the present embodiment will be described usingFIG.2. (Stop—Lever Neutral—Swing Acceleration) The behavior of the swing motor7when the operator operates the swing lever30bfrom neutral to a predetermined operation amount is similar to the aforementioned, and the description thereof is omitted. (During Swing-Lever Neutral-Swing Deceleration) When the operator operates the swing lever30bfrom a predetermined operation amount to a neutral position to give an input for instructing stoppage of the swing motor7, the pump valve controller40receives the operation amount of the swing lever30bthrough a signal line. Based on the operation amount of the swing lever30bthus received, the pump valve controller40sets a control command value for the selector valve26to a closed state for connecting the closed circuit pump12to the swing motor7. In addition, the pump valve controller40sets a pump delivery rate command value for the closed circuit pump12to a value corresponding to the operation amount of the swing lever30b. When the swing lever30bis neutral, the pump delivery rate command value is 0. The pump valve controller40outputs the control command value and the pump delivery rate command value to the selector valve26and the regulator12aof the closed circuit pump12through control signal lines. As a result, the selector valve26is closed, and the closed circuit pump12stops delivery of the hydraulic operating oil, but since the swing motor7continues rotating by inertial force possessed by the inertial body of the upper swing structure102(depicted inFIG.1) connected to the swing motor7, the swing motor7delivers the hydraulic operating oil from the input-output port7bto the line25. At this time, the flushing valve32keeps a switching position at the time of starting swing, and therefore, connects the line25to the tank33. Therefore, the hydraulic operating oil flowing out of the input-output port7bis discharged to the tank33through the line25and the flushing valve32. Next, the state inside the swing closed circuit C2will be described usingFIG.6. When the operator operates the swing lever30bfrom a predetermined operation amount to a neutral position, the through flow rate of the flushing valve32increases accordingly. The structure of the flushing valve32depicted in FIG.4is smaller in stroke amount32iand narrower in throttle as compared to the aforementioned structure inFIG.3, and therefore, a rise in the pressure inside the line25due to pressure loss is quicker as compared to the increase in the through flow rate of the flushing valve32. As a result, the flushing valve32is switched over more quickly in response to an operation of the swing lever30b, as compared to the case to which the structure ofFIG.3is applied. Thereafter, as depicted inFIG.6, when the pressure inside the line25exceeds the pressure inside the line24to reach the relief pressure, the rotational speed of the swing motor7is decelerated, and the swing motor7is stopped after a predetermined time. Effect of Invention Since the structure of the flushing valve32depicted inFIG.4is narrower in throttle than the structure ofFIG.3applied to the aforementioned flushing valve31, the rise in pressure inside the line25in response to the through flow rate of the flushing valve32depicted inFIG.6becomes larger as compared to the conventional example depicted inFIG.5. As a result, in response to an operation of returning the swing lever30bto the neutral position, the timing of the rise in the pressure inside the line25is earlier than in the conventional example (depicted inFIG.5), and the start of deceleration of the swing motor7is also earlier. In other words, the present invention enables deceleration responsiveness of the swing motor7to be enhanced. In the hydraulic excavator100, deceleration and stopping performance is important for the swing operation of the upper swing structure102. For example, when excavated soil is loaded on a vehicle such as a dump truck, it is necessary for the hydraulic excavator100to swing, after excavation, and carry the soil above the dump truck without dropping the soil; at this time, if swing deceleration response, or brake response is poor, the swing cannot be stopped above the dump truck, and the swing may pass the stop position above the dump truck, thereby lowering the work efficiency. When the brake responsiveness in swing of the swing closed circuit is enhanced by the present invention, the swing can be easily stopped above the dump truck, and work efficiency is improved. In the first embodiment of the present invention, the construction machine100includes: the lower track structure103; the upper swing structure102swingably mounted to the lower track structure103; the work device104provided on the upper swing structure102; the tank33reserving the hydraulic operating oil; the single rod-type hydraulic cylinder1that drives the work device104; the swing hydraulic motor7that drives the upper swing structure102; the operating device30that instructs operations of the work device104and the upper swing structure102; the first closed circuit pump11including a bidirectionally tiltable pump; the second closed circuit pump12including a bidirectionally tiltable pump; the cylinder closed circuit C1that connects the first closed circuit pump11to the single rod-type hydraulic cylinder1in a state of a closed circuit; the swing closed circuit C2that connects the second closed circuit pump12to the swing hydraulic motor7in a state of a closed circuit; the first flushing valve31that permits the line on the low pressure side of the cylinder closed circuit C1to communicate with the tank33; the second flushing valve32that permits the line on the low pressure side of the swing closed circuit C2to communicate with the tank33; the first selector valve23that switches between communication and interruption of communication between the first closed circuit pump11and the single rod-type hydraulic cylinder1; and the second selector valve26that switches between communication and interruption of communication between the second closed circuit pump12and the swing hydraulic motor7. The openings and closings of the first selector valve23and the second selector valve26and the delivery rates of the first closed circuit pump11and the second closed circuit pump12are controlled according to operation signals inputted from the operating device30, and in the construction machine100, the minimum passage area from the second flushing valve32to the tank33when the second flushing valve32is fully open is smaller than the minimum passage area from the first flushing valve31to the tank33when the first flushing valve31is fully open. According to the present embodiment configured as above, at the time of starting swing deceleration, when the hydraulic operating oil is discharged from the pump suction side to the tank through the flushing valve (second flushing valve)32for the swing closed circuit C2, a large pressure loss is generated in the second flushing valve32, whereby the pressure inside the line on the pump suction side is swiftly raised, and the second flushing valve32is swiftly switched over. As a result, the time necessary for the pressure inside the line on the pump suction side to reach the relief pressure is shortened, and, therefore, swing deceleration responsiveness is enhanced, and a good swing operability is obtained. In addition, the first flushing valve31includes: the first manifold31a; the first spool31edisposed inside the first manifold31a; the first springs31f1and31f2that are disposed inside the first manifold31aand that bias the first spool31e; and the first shims31g1and31g2disposed between the first spool31eand each of the first springs31f1and31f2, the second flushing valve32includes: the second manifold32a; the second spool32edisposed inside the second manifold32a; the second springs32f1and32f2that are disposed inside the second manifold32aand that bias the second spool32e; and the second shims32g1and32g2disposed between the second spool32eand each of the second springs32f1and32f2, and the thickness T2of each of the second shims32g1and32g2in a spool axial direction is larger than the thickness T1of each of the first shims31g1and31g2. As a result, the maximum opening area of the flushing valve32can be reduced without changing the shape of the manifold32awhich is cast in a die, and, therefore, cost of the flushing valve32can be suppressed. Second Embodiment FIG.7depicts the inside structure of a flushing valve32for a swing closed circuit C2according to a second embodiment of the present invention. InFIG.7, the difference from the flushing valve32(depicted inFIG.4) for the swing closed circuit C2according to the first embodiment is that the thickness T2of each of the shims32g1and32g2is equal to the thickness T1of each of the shims31g1and31g2of the flushing valve31(depicted inFIG.2) for the cylinder closed circuit C1and that a width W2of the line32hin the spool axial direction, the line32hbeing formed in the spool32e, is smaller than a width W1of the line31hof the flushing valve31. In this way, in the present embodiment, the first flushing valve31has the first manifold31aand the first spool31edisposed inside the first manifold31a, the second flushing valve32has the second manifold32aand the second spool32edisposed inside the second manifold32a; the first tank connection line31hfor causing the line on the low pressure side of the cylinder closed circuit C1to communicate with the tank33is formed at an intermediate portion of the first spool31e, the second tank connection line32hfor causing the line on the low pressure side of the swing closed circuit C2to communicate with the tank33is formed at an intermediate portion of the second spool32e; and the width W2of the second tank connection line32hin the spool axial direction is smaller than the width W1of the first tank connection line31hin the spool axial direction. Also, in the present embodiment configured as above, also, the minimum passage area from the flushing valve32to the tank33when the flushing valve32is fully open is smaller than the minimum passage area from the flushing valve31to the tank33when the flushing valve31is fully open, and, therefore, like in the first embodiment, swing deceleration responsiveness is enhanced, and a good swing operability is obtained. Third Embodiment FIG.8depicts a hydraulic driving device105according to a third embodiment of the present invention. InFIG.8, the difference from the first embodiment (depicted inFIG.2) is that the structure of the flushing valve32for the swing closed circuit C2is the same as that of the flushing valve31(depicted inFIG.3) for the cylinder closed circuit C1, and a throttle41is provided on a line for connecting the flushing valve31to the tank33. Here, the opening area of the throttle41is substantially on the order of the maximum opening area between the line32bor the line32cand the line32hin the flushing valve32(depicted inFIG.4) for the swing closed circuit C2according to the first embodiment. As a result, the minimum passage area from the flushing valve32to the tank33when the flushing valve32is fully open is smaller than the minimum passage area from the flushing valve31to the tank33when the flushing valve31is fully open, like in the first embodiment. In this way, the hydraulic excavator100according to the present embodiment further includes the throttle41provided on the line for connecting the second flushing valve32to the tank33, and the second flushing valve32has the same structure as that of the first flushing valve31. Also, in the present embodiment configured as above, the minimum passage area from the flushing valve32to the tank33when the flushing valve32is fully open is smaller than the minimum passage area from the flushing valve31to the tank33when the flushing valve31is fully open, and therefore, swing deceleration responsiveness is enhanced, and a good swing operability is obtained, like in the first embodiment Further, the flushing valve (second flushing valve32) for the swing closed circuit C2is the same in specifications as the flushing valve (first flushing valve31) for the cylinder closed circuit C1, and therefore, cost can be reduced. While the embodiments of the present invention have been described in detail above, the present invention is not limited to the above embodiments, but includes various modifications. For example, the above-described embodiments are described in detail for easily understandably explaining the present invention, and are not necessarily limited to those including all the configurations described. Further, it is possible to add a part of the configuration of other embodiment to the configuration of a certain embodiment, and a part of the configuration of a certain embodiment may be deleted or may be replaced by a part of other embodiment. DESCRIPTION OF REFERENCE CHARACTERS 1: Boom cylinder (single rod-type hydraulic cylinder)1a: Boom head1b: Boom rod2: Boom3: Arm cylinder3a: Arm head3b: Arm rod4: Arm5: Bucket cylinder5a: Bucket head5b: Bucket rod6: Bucket7: Swing motor (swing hydraulic motor)7a,7b: Input-output port8a,8b: Track device9: Engine10: Transmission device11: Closed circuit pump (first closed circuit pump)12: Closed circuit pump (second closed circuit pump)11a,12a: Regulator21,22,24,25: Line23: Selector valve (first selector valve)26: Selector valve (second selector valve)30: Operating lever (operating device)30a: Boom lever30b: Swing lever31: Flushing valve (first flushing valve)32: Flushing valve (second flushing valve)31b,31c,31d: Line31e: Spool (first spool)31g1,31g2: Shim (first shim)31f1,31f2: Spring (first spring)31h: Line (first tank connection line)31i: Stroke amount32b,32c,32d: Line32e: Spool (second spool)32g1,32g2: Shim (second shim)32f1,32f2: Spring (second spring)32h: Line (second tank connection line)32i: Stroke amount33: Tank34a,34b: Check valve37a,37b,38a,38b: Relief valve40: Pump valve controller100: Hydraulic excavator (construction machine)101: Cab102: Upper swing structure104: Front work implement (work device)105: Hydraulic driving device | 29,533 |
11859368 | The drawings show diagrammatic exemplifying embodiments of the present invention and are thus not necessarily drawn to scale. It shall be understood that the embodiments shown and described are exemplifying and that the invention is not limited to these embodiments. It shall also be noted that some details in the drawings may be exaggerated in order to better describe and illustrate the invention. Like reference characters refer to like elements throughout the description, unless expressed otherwise. DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS OF THE INVENTION FIG.1. is an illustration of a working machine100in the form of a wheel loader. The wheel loader100is an example of a working machine in which a powertrain according to embodiments of the invention can be applied. The wheel loader100has a bucket101which is arranged on a load arm102for lifting and lowering the bucket101. The wheel loader100comprises a hydraulic system103for lifting, lowering and tilting the bucket101. The hydraulic system103is further configured for steering of the working machine100by means of two hydraulic cylinders107a,107barranged on opposite sides of the wheel loader for turning the wheel loader by means of relative movement of a front body part108and a rear body part109. In other words; the working machine is frame-steered by means of the steering cylinders107a,107b. The wheel loader is driven by an electric powertrain (not shown inFIG.1), configured for propulsion of the wheel loader100via drive wheels104mounted on a propulsion axle40, as well as for driving at least one hydraulic machine of the hydraulic system103via a power take-off (not shown inFIG.1). The hydraulic machine(s) may preferably be at least one machine configured to function as a hydraulic pump as well as a hydraulic motor with a reversed flow of hydraulic fluid. Such a hydraulic machine with said both functions can be used as a pump for providing the hydraulic system with hydraulic fluid, for example to lift and tilt the bucket101, and as a hydraulic motor for recuperation of energy, for example during a lowering operation of the load arm102. The wheel loader100further comprises an energy storage system (not shown) for providing electric energy to the electric powertrain. Components of an electric powertrain200according to a first embodiment, which may be used in the wheel loader100, are schematically illustrated inFIG.2. The illustrated powertrain200comprises a first electric machine20, which is drivingly connectable to at least one propulsion axle (not shown inFIG.2), for example the propulsion axle40and drive wheels104as shown inFIG.1, for propulsion of the working machine100via a transmission assembly1. The first electric machine20is dimensioned and configured for propulsion of the working machine100in a forward as well as a rearward direction. The powertrain200further comprises a second electric machine30, which is drivingly connected to a power take-off50and may for instance be used for driving the hydraulic machine of the hydraulic system103. The second electric machine may in this case be dimensioned and configured for powering the hydraulic machine for lifting, lowering and tilting the bucket101and for frame steering of the wheel loader. A first input shaft2of the transmission assembly1is drivingly connected to the first electric machine20. A first output shaft4of the transmission assembly1is drivingly connected to the propulsion axle40and the drive wheels104(not shown inFIG.2), and is further selectively drivingly connectable to the first input shaft2via a set of selectively engageable gears6, so that torque may be transferred from the first electric machine20to the propulsion axle via the first input shaft2, the set of selectively engageable gears6, and the first output shaft4. The first input shaft2is independently drivingly connectable to the first output shaft4via the set of selectively engageable gears6, such that the first electric machine20may be used for propulsion by itself, independently of the second electric machine30. A second input shaft3of the transmission assembly1is drivingly connected to the second electric machine30. A second output shaft5for power take-off from the working machine100is drivingly connected to the hydraulic pump and to the second input shaft3, so that torque is transferred from the second electric machine30to the hydraulic pump via the second input shaft3and the second output shaft5. The second input shaft3is furthermore drivingly connectable to the first output shaft4, so that torque generated by the second electric machine30may be transferred to the propulsion axle. The set of selectively engageable gears6illustrated inFIG.2comprises two selectable gear ratios for transfer of torque. A desired gear ratio is selected by engaging one of a set of first clutches8a,8b, wherein each one of the first clutches8a,8bis configured for selectively engaging one gear of the set of selectively engageable gears. The first clutches8a,8bare herein in the form of a first friction clutch8aand a second friction clutch8b. Upon engagement of the first friction clutch8a, torque is transferable from the first input shaft2to the first output shaft4via a first input gear wheel6aconnected for common rotation with the first input shaft2, a first intermediate gear wheel6bconnected for common rotation with an intermediate shaft10, a second intermediate gear wheel6cconnected for common rotation with the intermediate shaft10by means of the first friction clutch8a, and a first output gear wheel6dconnected for common rotation with the first output shaft4. Corresponding gear wheels, such as the first input gear wheel6aand the first intermediate gear wheel6b, are in meshing engagement. This gear ratio is suitable for driving at relatively low speeds. Upon engagement of the second friction clutch8b, torque is transferable from the first input shaft2to the first output shaft4via a second input gear wheel6econnected for common rotation with the input shaft2by means of the second friction clutch8b, the second intermediate gear wheel6c, and the first output gear wheel6d. This gear ratio is suitable for driving at relatively high speeds. A second clutch9in the form of a dog clutch is further provided for selectively drivingly connecting the second input shaft3to the first output shaft4. When the second clutch9is engaged, a third input gear wheel11is connected for common rotation with the second input shaft3. Torque is thereby transferable via the third input gear wheel11, the second input gear wheel6e, the second intermediate gear wheel6c, and the first output gear wheel6d. In the embodiment illustrated inFIG.2, a single gear ratio is provided for selective torque transfer between the second electric machine30and the first output shaft4. The second input shaft3is independently selectively drivingly connectable to the first output shaft4, i.e. it may be connected without simultaneous connection of the first input shaft2. In other words, both friction clutches8a,8bmay be disengaged while torque is transferred from the second electric machine30to the first output shaft4. While shifting gears for torque transfer between the first electric machine20and the first output shaft4, torque may therefore be transferred continuously without disruption between the second electric machine30and the first output shaft4. The second input shaft3is herein drivingly connectable to the first output shaft4by means of meshing engagement between on one hand the third input gear wheel11, selectively connectable to the second input shaft3by means of the second clutch9, and on the other hand the second input gear wheel6e, selectively connectable to the first input shaft2by means of the second friction clutch8b, wherein the second input gear wheel6eis in turn in meshing engagement with the second intermediate gear wheel6c, meshing with the first output gear wheel6d. The second electric machine30is drivingly connected to the second output shaft5via a fourth input gear wheel12a, connected for common rotation with the second input shaft3, and a second output gear wheel12b, connected for common rotation with the second output shaft5. When the second clutch9is engaged, and furthermore one of the first clutches8a,8b, torque may also be transferred between the first electric machine20and the second output shaft5. The transmission assembly1is contained within a schematically illustrated transmission housing13. Bearings marked by crosses are provided between the transmission housing13and the input and output shafts2,3,4,5, as well as the intermediate shaft10. An electric powertrain200according to a second embodiment is shown inFIG.3. The individual components of the second embodiment and the configuration of the set of selectively engageable gears6are similar to the first embodiment, and will therefore not be described in detail. The powertrain200according to the second embodiment differs from the powertrain according to the first embodiment in that the third input gear wheel11, which is selectively connectable to the second input shaft3by means of the second clutch9, is in meshing engagement with the first input gear wheel6a. In this way, torque generated by the second electric machine30may only be transferred to the first output shaft4via the set of selectively engageable gears6. Thus, the different gear ratios provided within the same transmission assembly1are used for transferring torque generated by both electric machines20,30to the first output shaft4for propulsion of the working machine100. The second input shaft3is at all times drivingly connected to the second output shaft5via the fourth input gear wheel12a, and the second output gear wheel12b, regardless of whether the second clutch9is engaged or not. The second input shaft3is in this embodiment only drivingly connectable to the first output shaft4when also the first input shaft2is drivingly connected first output shaft4, since both input shafts2,3use the set of selectively engageable gears6for connection. The first input shaft2is however independently drivingly connectable to the first output shaft4upon disengagement of the second clutch9. In the second embodiment shown inFIG.3, torque from the first electric machine20may also be transferred to the second output shaft5upon engagement of the second clutch9, in this case via the first input shaft2, the first input gear wheel6a, the third input gear wheel11, the second input shaft3, the fourth input gear wheel12a, and the second output gear wheel12b. If at the same time the first clutches8a,8bare disengaged, no torque is transferred to the first output shaft4for propulsion of the working machine. Instead, torque from both the electric machines20,30is transferred to the second output shaft5. An electric powertrain200according to a third embodiment is shown inFIG.4. The individual components of the third embodiment which are similar to the first embodiment will not be described in detail. The powertrain200according to the third embodiment differs from the powertrain according to the first embodiment in that the set of selectively engageable gears6of the transmission assembly1comprises three selectable gear ratios. Three first clutches8a,8b,8ccomprising a first friction clutch8afor engaging a low speed gear, a second friction clutch8bfor engaging a medium speed gear, and a third friction clutch8cfor engaging a high speed gear are provided for this purpose. Furthermore, a reduction gear7is provided for reducing a rotational speed and increasing the torque transferred from the first electric machine20at all three gears. An exemplary torque path T1for transfer of torque at the medium speed gear is illustrated by a dashed line, wherein torque generated by the first electric machine20is transferred to the first output shaft4via the reduction gear7and further via the second friction clutch8b. Analogously to the first embodiment, the second clutch9is provided such that torque generated by the second electric machine30is selectively transferable to the first output shaft4at a single gear ratio upon engagement of the second clutch9, bypassing the set of selectively engageable gears6. An electric powertrain200according to a fourth embodiment is shown inFIG.5. The powertrain200of this embodiment is similar to the powertrain of the third embodiment, but with the second clutch9arranged such that it selectively connects the second input shaft3to the first output shaft4via the reduction gear7and the set of selectively engageable gears6, similarly to the second embodiment shown inFIG.3. An exemplary torque path T2for transfer of torque at the low speed gear is illustrated by a dashed line, wherein torque generated by the second electric machine30is transferred to the first output shaft4via the engaged second clutch9(shown in a disengaged position inFIG.5), the reduction gear7and further via the first friction clutch8a. The second input shaft3is at all times drivingly connected to the second output shaft5. If the first electric machine20is also generating torque, torque is simultaneously transferred from the first electric machine20via the low speed gear. The electric machines20,30may in all embodiments be electric machines of the same type or of different types. The electric machines may be configured to be drivable in both directions. For example, the electric machines20,30may be of a type that can regenerate energy and thereby be used for regenerative braking. At least one of the machines may e.g. be a direct current (DC) motor, such as a brushless DC motors. It is also possible that at least one of the machines may be an alternating current (AC) motor. Furthermore, universal motors, or induction motors, or other types of electric motors, may be used, alone or in combination with the above mentioned types of motors. As the skilled person understands, the configuration of the transmission assembly may be varied within the scope of the claims, for example by providing further gear ratios and/or additional intermediate components. Moreover, more than one power take-off may be provided, such as two or more power take-offs. The first output shaft may also be connected to the at least one propulsion axle using components not shown herein, such as various types of gears. Ground engaging drive members other than drive wheels may also be used for propulsion of the working machine, such as crawlers. It is to be understood that the present invention is not limited to the embodiments described above and illustrated in the drawings; rather, the skilled person will recognize that many changes and modifications may be made within the scope of the appended claims. | 14,755 |
11859369 | DETAILED DESCRIPTION FIG.1is a schematic side view of an example machine100with a torque converter102which includes a spring preloaded lockup clutch104. The example machine100shown inFIG.1is a bulldozer. However, the machine100may be any type of machine configured to travel across terrain, such as an automobile, a truck, an agricultural vehicle, and/or work vehicles, such as a wheel loader, a track loader, a skid-steer loader, a grader, an on-highway truck, an off-highway truck, and/or any other machine known to a person skilled in the art. The machine100includes a chassis or frame106to which a prime mover108is attached. The prime mover108may include an internal combustion engine or “engine”, a fuel cell, one or more batteries, or other prime mover types. The prime mover108is configured to supply power for operation of the machine100, including, for example, operating work implements, electronics, and steering, and/or for supplying torque to drive members to propel the machine100across the terrain. For example, the machine100shown inFIG.1includes a pair of tracks110(only one set of tracks shown) that are configured to propel the machine100across pavement, gravel, dirt, or other work surfaces. Although the machine100includes tracks110, it is contemplated that the machine100may include one or more wheels instead of, or in addition to, the tracks. The machine100also includes a cab112operationally connected to the frame106for protecting and/or providing comfort for an operator114of the machine100, and/or for protecting control-related devices of the machine100. In some examples, the machine100may be semi-autonomous or fully autonomous, and able to operate without an onboard or remote operator. In examples where the machine100is semi-autonomous or fully-autonomous, the machine100is prevented from, or avoids, accidentally colliding with or maneuvering undesirably close to other machines, personnel, and/or objects. In the example shown inFIG.1, the machine100also includes a work implement116for performing operations associated with the machine100, such as digging, carrying, raising, and/or depositing material. Although the work implement116inFIG.1is illustrated as a shovel, other forms of work implements are contemplated. For example, the work implement116may include augers, brushcutters, brooms, grapples, hammers, pulverizers, rippers, rotors, and so forth. The machine100includes a work implement actuator118coupled at one end to the frame106and/or to the proximal end of the work implement116. The work implement actuator118may be electric motors, hydraulic cylinders, or pneumatic cylinders. The work implement actuator118is configured to extend and retract, thereby pivoting the work implement116between an upright orientation and an at least partially inverted orientation, for example. In the upright orientation, the work implement116may hold material and in the at least partially inverted orientation, the work implement116may deposit or dump the material. The machine100may include a battery120to power various electrical equipment in the machine100. For example, the machine100may include an electronic control module (ECM)122that houses one or more processors124, which may execute any modules, components, or systems associated with the machine100, some of which may be housed in the ECM122as shown as modules126. In some examples, the processors124may include a central processing unit (CPU), a graphics processing unit (GPU), both CPU and GPU, or other processing units or components known in the art. Additionally, each of the processors124may possess its own local memory, which also may store program modules, program data, and/or one or more operating systems. Computer-readable media, such as memory128, associated with the machine100may include volatile memory (e.g., RAM), non-volatile memory (e.g., ROM, flash memory, miniature hard drive, memory card, or the like), or some combination thereof. The computer-readable media may be non-transitory computer-readable media. The computer-readable media may include or be associated with the one or more of the above-noted modules, which perform various operations associated with the machine100. In some examples, one or more of the modules may include or be associated with computer-executable instructions that are stored by the computer-readable media and that are executable by one or more processors to perform such operations. As discussed above, a machine with a torque converter, such as the machine100with the torque converter102, may have difficulty starting the prime mover108, especially in cold weather, due to hydraulic loads put on the prime mover108by the torque converter102. As will be described in greater detail below,FIG.2provides a cross-sectional view200of the torque converter102with the spring preloaded lockup clutch104with associated components to address the difficulty of starting the prime mover108. The spring preloaded lockup clutch104of the torque converter102comprises a piston housing202housing a lockup piston204and a mechanical spring206. While the lockup piston204and the mechanical spring206are described as singular in this example, the lockup piston204may comprise one or more lockup pistons and the mechanical spring206may comprise one or more mechanical springs. The mechanical spring206, placed behind the lockup piston204, may exert force against the inside of the piston housing202and on the lockup piston204to engage a clutch disc208in an oil cavity of the torque converter102while using hydraulic pressure during a prime mover start up. The mechanical spring206may be any type of resistance device or source of resistance suitable for this application. Such mechanical springs206may include, among other things, a wave spring, a Belleville disc spring, multiple coil springs, or other spring devices. The mechanical spring206exerts force on the lockup piston204to engage the clutch disc208when there is low pressure in a hydraulic system of the torque converter102, for example, during the prime mover start up. The clutch side of the lockup piston204is normally submerged in, and is in contact with, pressurized torque converter torus oil. In some examples, the torque converter torus oil may be partially drained when the prime mover is turned off and the torque convert is refilled upon a startup. An electronically controlled relief valve (not shown) may be utilized to allow an electronic control module (ECM), such as the ECM122, to bypass torque converter (TC) pressure allowing the clutch disc208to stay engaged. The relief valve can raise pressure and allow the lockup piston204to fully retract after the prime mover reaches a stable state, which may be based on ECM input(s) such as engine speed and/or TC ratio. The lockup piston204then returns to normal machine control with hydraulic operation at raised TC pressure, for example, when the prime mover108has reached a stable state, which in this example, may include when the prime mover engine speed settles to an idle speed, while the prime mover engine speed is ramping up to idle, or other conditions in which the engine stalling is unlikely and the prime mover108is able to handle additional loading without issue. Further, the mechanical spring206may be sized to apply pressure in balance with a rotating head and pressure of the torque converter102. The mechanical spring206provides higher force than hydraulic fluid on a torus side at low speeds, for example, below the prime mover speed at the stable state. As a torus210spins faster and builds hydraulic pressure, the hydraulic pressure overcomes the force exerted by the mechanical spring206on the lockup piston204, and the lockup piston204retracts from the clutch disc208. The lockup piston204then returns to normal machine control with hydraulic operation. The lockup piston204is sealed by piston seals212such that separate hydraulic pressures in a hydraulic fluid chamber214and a piston hydraulic passage216may be maintained or controlled. The lockup piston204, at a first end218, engages the clutch disc208as the mechanical spring206exerts force on the lockup piston204at a second end220of the lockup piston204. The clutch disc208, located within the hydraulic fluid chamber214, is engaged by the mechanical spring206, via a reaction plate222, when the hydraulic pressure in the hydraulic fluid chamber214is sufficiently low. While the clutch disc208, which may be coupled to a clutch hub224, and the reaction plate222are described as singular in this example, the clutch disc208may comprise one or more clutch discs and the reaction plate222may comprise one or more reaction plates. Some components of the spring preloaded lockup clutch104are identified and enclosed by a dotted line circle226. In the hydraulic fluid chamber214, rotational components include a turbine228and an impeller230which spin around a stator232forming the torus210. The turbine228is coupled to a turbine hub234and the stator232is supported by a stator support236. The impeller230uses rotational force from the prime mover108to accelerate hydraulic fluid inside the torus210. This flow reacts with the turbine blades of the turbine228to transmit rotational force out of the torque converter102through the turbine hub234and an output shaft238. Hydraulic fluid exiting the turbine228is redirected by the stator232back to the impeller230. A resulting rotational motion is output by the output shaft238. The components of the torque converter102described above are substantially symmetric about a center axis240. A lockup clutch, such as the spring preloaded lockup clutch104, may be utilized in a torque converter, such as the torque converter102, to transmit rotation force between an input component and an output component of the torque converter102. The rotational force can be transmitted in either direction, for example, from the input to the output or from the output to the input. The spring preloaded lockup clutch104may be connected, or coupled, to a variety of components within the torque converter102as long as it provides a rotational force path between the input component and the output component. In some examples, a primary input component is referred to as an impeller, such as the impeller230. The primary input component may also be, or may also be referred to as, a housing, an impeller attached to the housing, or other part receiving engine, or input, power. A primary output component may commonly be referred to as a turbine, such as the turbine228. The primary output component may also be, or may also be referred to as, a hub, an output shaft, or other part that transmits rotational force out of the torque converter102. FIG.3illustrates a schematic diagram300of some of the components of the spring preloaded lockup clutch104in the dotted line circle226ofFIG.2engaged with the clutch disc208. In this example, the lockup piston204is illustrated as being biased by the mechanical spring206, or in a first position, engaging with the clutch disc208. The components illustrated herein are exaggerated in shape and size or omitted for clarity. As discussed above with reference toFIG.2, the clutch disc208in this example is illustrated as comprising multiple discs, a first clutch disc set302and a second clutch disc set304, where the first clutch disc set302may be coupled to the turbine228and the second clutch disc set304may be coupled to the impeller230. A relief valve306is coupled to the hydraulic fluid chamber214and controls the hydraulic pressure in the hydraulic fluid chamber214. The hydraulic fluid chamber214is shown to be on one side of the piston seals212and isolated from the piston hydraulic passage216, which is shown to be on the other side of the piston seals212. When the prime mover108of the machine100is off, which may be referred to as an engine-off state, one of the states of the prime mover108, the relief valve306is open, and relieves hydraulic pressure previously built up in, and/or prevents hydraulic pressure from building up in, the hydraulic fluid chamber214. The ECM122, as a controller, may control the state, open or closed, of the relief valve306. For example, the ECM122may monitor the state of the prime mover108and/or the state of the prime mover starting process, such as the ignition switch/key/dial, and open the relief valve306in response to determining that the prime mover108is off, or in the engine-off state. Because the hydraulic pressure in the hydraulic fluid chamber214is low, the mechanical spring206exerts sufficient force on the lockup piston204on the second end220to overcome the force due to the hydraulic pressure in the hydraulic fluid chamber214and maintains the lockup piston204biased. As the lockup piston204is biased, the lockup piston204engages with the clutch disc sets302and304, that is, the lockup piston204provides sufficient force on the clutch disc sets302and304such that rotational motion is transferred from the clutch disc set302to the clutch disc set304, or vice versa. Compared to a conventional lockup clutch system, which requires the prime mover to be turned over to activate hydraulic pumps to build up the pressure in the piston hydraulic passage216to engage the clutch disc208, the spring preloaded lockup clutch104described above provides the clutch engagement at the beginning of the starting process of the prime mover108. Additionally, because the clutch disc sets302and304are already engaged and the hydraulic pressure in the hydraulic fluid chamber214is low, the spring preloaded lockup clutch104reduces hydraulic load on the prime mover108when starting the prime mover108and improves the prime mover starting process. FIG.4illustrates a schematic diagram400of some of the components of the spring preloaded lockup clutch104in the dotted line circle226ofFIG.2disengaged from the clutch disc208. In this example, the lockup piston204is illustrated as being unbiased by the mechanical spring206, or in a second position, disengaging form the clutch disc208. The components illustrated herein are exaggerated in shape and size or omitted for clarity. The difference between the first position and the second position is that in the first position the lockup piston204contacts and applies force to the clutch disc208, whereas in the second position the lockup piston204does not contact and apply force to the clutch disc208. Accordingly, the distance between the first position and the second position can be, but need not be, extremely small, possibly a fraction of a millimeter. In response to detecting the prime mover108being started and/or the ignition switch/key/dial indicating the prime mover starting process, the ECM122determines the state of the prime mover to be an engine-on state. After the prime mover108is started with the clutch disc sets302and304engaged and reaches the stable state, the ECM122may close the relief valve306and allow the hydraulic pressure in the hydraulic fluid chamber214to build up. As described above with regard toFIG.3, the prime mover108is started having the clutch disc sets302and304already engaged by the mechanical spring206without waiting for the hydraulic pressure to build up or additional hydraulic load from the hydraulic pumps. As the prime mover108is started (engine-on state), the ECM122also monitors the prime mover engine speed, for example, the revolution per minute (rpm) of the prime mover108, as the status of the prime mover108. In general, the prime mover108is started with a starter motor (not shown) spinning the prime mover108till the prime mover108is able to self-maintain running of the prime mover108(the stable state), and the prime mover108settles to its idle speed after some time. The ECM122may keep the relief valve306open util the prime mover108has reached the stable state. Upon determining that that the prime mover108has reached the stable state, the ECM122may close the relief valve306and allow the hydraulic pressure in the hydraulic fluid chamber214to build up. The ECM122may determine that the prime mover108has reached the stable state when the prime mover speed has remained greater than a preselected engine speed for a preselected period of time. For example, the preselected prime mover speed may be lower than a prime mover idle speed by a preselected amount, such as 100 rpm below the prime mover idle speed of 800 rpm, and the preselected period of time may be five seconds or any appropriate duration. With the relief valve306closed, the hydraulic pressure in the hydraulic fluid chamber214reaches a sufficiently high level at the prime mover idle speed to overcome the force applied by the mechanical spring206such that the lockup piston204is unbiased, which is shown as the lockup piston204pushed back to the second position, and is disengaged from the clutch disc208. The mechanical spring206may be selected to provide appropriate force, that is, the force provided by the mechanical spring206is strong enough to bias the lockup piston204to engage with the clutch disc208but is still able to be unbiased by the hydraulic pressure in the hydraulic fluid chamber214at and above the preselected engine speed, or at the stable state. After the ECM122closes the relief valve306, the ECM122may operate the torque converter102as a conventional hydraulic torque converter where the engagement of the clutch disc208is controlled by the pressure in the piston hydraulic passage216. The ECM122may keep the relief valve306closed while the prime mover speed is greater than the preselected engine speed. In response to detecting the state of the prime mover has changed from the engine-on state to the engine-off state, that is, the prime mover108is being turned off, the ECM122may open the relief valve306to relieve the hydraulic pressure built up in the hydraulic fluid chamber214while the prime mover108was on such that the lockup piston204biased by the force exerted by the mechanical spring206and engages with the clutch disc208. Additionally, or alternatively, the spring preloaded lockup clutch104may be selectively utilized when the temperature is low such that the hydraulic fluid in the hydraulic fluid chamber214would add extra loads to the prime mover108when started due to its viscosity at the low temperature. For example, a temperature sensor may be utilized to monitor the temperature of the hydraulic fluid in the hydraulic fluid chamber214or the outside temperature. In response to detecting the prime mover108being started and/or the ignition switch/key/dial indicating the prime mover starting process, the ECM122may determine the state of the prime mover108to be an engine-on state and check the temperature. If the ECM122determines the temperature to be lower than a preselected temperature, for example, 0° C., the ECM122opens the relief valve306to relieve hydraulic pressure previously built up in, and/or to prevent hydraulic pressure from building up in, the hydraulic fluid chamber214to reduce the load on the prime mover108during a cold startup. Thereafter, the ECM122may control the relief valve306as described above with reference toFIGS.3and4. Alternatively, the spring preloaded lockup clutch104may be utilized without the relief valve306. When the prime mover108is off (the engine-off state) and the hydraulic fluid in the hydraulic fluid chamber214is stationary, the hydraulic pressure in the hydraulic fluid chamber214is low. The force the mechanical spring206applies to the lockup piston204is sufficient to overcome the hydraulic pressure in the hydraulic fluid chamber214and move the lockup piston204to the first position as shown inFIG.3, thereby engaging the clutch disc208. In this example, because there is no relief valve306to relieve the hydraulic pressure in the hydraulic fluid chamber214, the hydraulic pressure in the hydraulic fluid chamber214increase due to a rotating head of the hydraulic fluid as the prime mover speed increases after the prime mover108is started. The mechanical spring206may be selected such that the hydraulic pressure in the hydraulic fluid chamber214, at the preselected prime mover speed, exerts sufficient force on the lockup piston204to be unbiased as shown inFIG.4and disengage from the clutch disc208. Thereafter, the ECM122may operate the torque converter102as a conventional hydraulic torque converter where the engagement of the clutch disc208is controlled by the pressure in the piston hydraulic passage216. While the prime mover speed, such as the rpm of the prime mover108, has been used as one of the states of the prime mover108for the examples describe above, other parameters indicative of the running state of the prime mover108may also utilized. For example, a fuel consumption rate, an air volume intake rate, an exhaust particle concentration, electrical current, voltage, and others, that are indicative of a current running state of the prime mover108may be utilized. FIG.5provides an example graph500illustrating torque converter input and output speed over time with and without the spring preloaded lockup clutch104. The torque converter input speed, or input speed, is generally equal to, directly proportional to, the engine speed of the prime mover108, shown as an engine in this example, as the prime mover108drives the input, such as the impeller230. The input then drives the output, such as the turbine228, via the clutch disc208. The output, such as the turbine228may also be driven hydraulically by fluid forces from the input, such as the impeller230. Graph lines502and504represent speeds of the input and output, respectively, of a conventional torque converter without utilizing a lockup clutch. As discussed above, the conventional torque converter requires hydraulic fluid pressure, which adds loads to the engine and takes some time to build up after the engine is started, to hydraulically couple the input and the output. The graph line504for the output speed shows that the output speed lags significantly compared to the input speed due to churning losses, the graph line502, for over 30 seconds due to the time required to build up the hydraulic pressure for sufficiently couple the rotational force from the input to the output. The output speed continues to lag behind the input speed till about 55 seconds, and the engine settles to the idle speed at about 60 seconds. Graph lines506and508represent speeds of the input and output, respectively, of a torque converter, such as the torque converter102, when the spring preloaded lockup clutch104is utilized. As discussed above with reference toFIG.3, with the spring preloaded lockup clutch104, the clutch disc208is engaged before the prime mover108is started and the input and the output are already coupled via the clutch disc208. The graph line508for the output speed shows that the output speed lags compared to the input speed, the graph line506, for about 15 seconds, then substantially matches the input speed. The spring preloaded lockup clutch104, when engaged, can still have different speeds of input and output. The spring preloaded lockup clutch104may simply slip some amount due to the high load especially when it is initially engaged. However, the rotational force is still being transmitted through the clutch disc208. As the load drops, the speeds of the input and the output synchronizes and the clutch disc208slips less and less then not at all. The prime mover108settles to the idle speed at about 38 seconds where the input and the output are synchronized, which shows about a 22 second start up improvement over the conventional torque converter. FIG.6provides a flow chart600representing an example process of the spring preloaded lockup clutch engagement and disengagement. The process600is illustrated as a logical flow graph, operation of which represents a sequence of operations that can be implemented in hardware, software, or a combination thereof. In the context of software, the operations represent computer-executable instructions stored on one or more computer-readable storage media that, when executed by one or more processors, perform the recited operations. Generally, computer-executable instructions include routines, programs, objects, components, data structures, and the like that perform particular functions or implement particular data types. The order in which the operations are described is not intended to be construed as a limitation, and any number of the described operations may be combined in any order and/or in parallel to implement the process. As described above with reference toFIGS.2,3, and4, the mechanical spring206exerts force on the lockup piston204such that the lockup piston204is biased (show as being pushed to the first position inFIG.3) and engages with the clutch disc208when the prime mover108is off (the engine-off state) with the relief valve306open to relieve the hydraulic pressure in the hydraulic fluid chamber214. At block602, the ECM122may monitor a state of an engine, such as the prime mover108of the machine100, and determine whether the state of the prime mover108has changed from an engine-off state to an engine-on state at block604. The ECM122may continue to monitor the state of the prime mover108if no change in the state of the prime mover108is detected. For example, the ECM122may monitor an ignition switch/key/dial of the machine to determine whether the state of the prime mover108has changed from the engine-off state to the engine-on state. Based on the ignition switch having been moved to the “ON” position from the “OFF” position, or the prime mover108having been turned on, the ECM122may infer that the prime mover108, which had been previously turned off, has been turned on, and determine that the state of the prime mover108has changed from the engine-off state to the engine-on state. In response to the ECM122determining that the state of the prime mover108has changed from the engine-off state to the engine-on state in block604, the ECM122may open a relief valve, such as the relief valve306, coupled to the hydraulic fluid chamber214of the torque converter102at block606. As previously described above with reference toFIGS.2-4, the hydraulic fluid chamber214houses the clutch disc208, the impeller230, and the turbine228. The relief valve306, when open, is operational to relieve hydraulic pressure from hydraulic fluid in the hydraulic fluid chamber214, and allow the lockup piston204to be biased by the force applied by the mechanical spring206and to engage with the clutch disc208to couple rotational force from the impeller230to the turbine228, or vice versa. At block608, the ECM122may determine whether the state of the prime mover108has reached a stable state. The stable state may be based, at least in part, on a speed of the prime mover108remaining greater than a preselected engine speed for a preselected period of time. The stable state, in this example, may include when the prime mover engine speed settles to an idle speed, while the prime mover engine speed is ramping up to idle, or other conditions in which the engine stalling is unlikely and the prime mover108is able to handle additional loading without issue. For example, the preselected engine speed may be lower than the prime mover idle speed by a preselected amount, such as 100 rpm below the prime mover idle speed of 800 rpm, and the preselected period of time may be five seconds or any appropriate duration. If the ECM122determines that the state of the prime mover108has not reached the stable state at block608, the ECM122continues monitoring the state of the prime mover108at block610, and the process loops back to block608. In response to the ECM122determining the state of the prime mover108has reached a stable state at block608, the ECM122may close the relief valve306at block612. The relief valve306, when closed, is further operational to allow the hydraulic pressure from the hydraulic fluid in the hydraulic fluid chamber214to build up and allow the lockup piston204to be unbiased due to the force on the lockup piston204generated by the hydraulic pressure in the hydraulic fluid chamber214. As the lockup piston204is unbiased, the lockup piston204disengages from the clutch disc208. After the ECM122closes the relief valve306at block612, the ECM122may operate the torque converter102as a conventional hydraulic torque converter where the engagement of the clutch disc208is controlled by the pressure in the piston hydraulic passage216. At block614, the ECM122may determine whether the state of the prime mover108has changed from the prime mover-on state to the prime mover-off state. If the ECM122determines that the state of the prime mover108has not changed from the prime mover-on state to the prime mover-off state at block614, the ECM122keeps the relief valve306closed and continues monitoring the state of the prime mover108at block616, and the process loops back to block614. In response to the ECM122determining the state of the prime mover108has changed from the prime mover-on state to the prime mover-off state indicating that the prime mover108has been turned off at block614, the ECM122may open the relief valve306at block618. As described above, with the prime mover108off and the relief valve open, the hydraulic pressure in the hydraulic fluid chamber214is low, and the lockup piston204becomes biased by the force applied by the mechanical spring206and engages with the clutch disc208ready to couple the rotational force from the impeller230to the turbine228. While the prime mover engine speed, such as the rpm of the prime mover108, has been used as one of the states of the prime mover108for the examples describe above, other parameters indicative of the running state of the prime mover108may also utilized. For example, a fuel consumption rate, an air volume intake rate, an exhaust particle concentration, and others, that are indicative of a current running state of the prime mover108may be utilized. FIG.7provides flow chart700representing an example addition or alternative process of the spring preloaded lockup clutch engagement and disengagement for a cold start. Additionally, or alternatively, the spring preloaded lockup clutch104may be selectively utilized when the temperature is low such that the hydraulic fluid in the hydraulic fluid chamber214would add extra loads to the prime mover108when started due to its viscosity at the low temperature. For example, in response to the ECM122determining that the state of the prime mover108has changed from the prime mover-off state to the prime mover-on state in block604, the ECM122may check the temperature of the of the hydraulic fluid in the hydraulic fluid chamber214at block702by, for example, utilizing a temperature sensor. Alternatively, the outside air temperature may be used. At block704, the ECM122may determine whether the temperature of the hydraulic fluid is lower than a preselected temperature, for example, 0° C. If the ECM122determines that the temperature of the hydraulic fluid is lower than the preselected temperature at block704, the process follows the steps as described with reference toFIG.6from block606. If the ECM122determines that the temperature of the hydraulic fluid is not lower than the preselected temperature at block704, then the ECM122keeps the relief valve306closed at block706. The process then follows the steps as described with reference toFIG.6from block614. FIG.8provides a flow chart800representing an example process of the spring preloaded lockup clutch engagement and disengagement without a relief valve. As described above with reference toFIGS.2,3, and4, the mechanical spring206exerts force on the lockup piston204such that the lockup piston204is biased and engages the clutch disc208when the prime mover108is off (the prime mover-off state) and there is low hydraulic pressure in the hydraulic fluid chamber214due to no rotational motion of the hydraulic fluid. At block802, the ECM122may monitor a state of a prime mover, such as the prime mover108of the machine100, and determine whether the state of the prime mover108has changed from an engine-off state to an engine-on state at block604. The ECM122may continue to monitor the state of the prime mover if no change in the state of the prime mover108is detected. For example, the ECM122may monitor an ignition switch/key/dial of the machine to determine whether the state of the prime mover108has changed from the prime mover-off state to the prime mover-on state. Based on the ignition switch having been moved to the “ON” position from the “OFF” position, or the prime mover108having been turned on, the ECM122may infer that the prime mover108, which had been previously turned off, has been turned on, and determine that the state of the prime mover108has changed from the prime mover-off state to the prime mover-on state. As previously described above with reference toFIGS.2,3, and4, the hydraulic fluid chamber214houses the clutch disc208, the impeller230, and the turbine228. In response to the ECM122determining that the state of the prime mover108has changed from the prime mover-off state to the prime mover-on state in block804, the ECM122may allow the lockup piston204to remain biased by the force applied by the mechanical spring206and engage with the clutch disc208to couple rotational force from the impeller230to the turbine228at block806. At block808, as the prime mover108is started and the speed of the prime mover increases, the hydraulic fluid in the hydraulic fluid chamber214builds hydraulic pressure as fluid cavity rotational speed increases due to the rotating head of the hydraulic fluid. When the hydraulic pressure due to the rotating head of the hydraulic fluid exceeds the force applied to the lockup piston204by the mechanical spring206, the lockup piston204automatically becomes unbiased and disengages from the clutch disc208at block810. After the lockup piston204retracts, the ECM122may operate the torque converter102as a conventional hydraulic torque converter where the engagement of the clutch disc208is controlled by the pressure in the piston hydraulic passage216at block812. INDUSTRIAL APPLICABILITY The example systems and methods of the present disclosure are applicable to a variety of machines, such as, for example, an automobile, marine vehicles, a truck, an agricultural vehicle, a paving machine, a mining machine, and/or construction vehicles. The systems and methods described herein may be used in association with a hydraulically operated torque converter for a large machine. For example, to reduce load on a prime mover, such as an internal combustion engine, when starting up, pressure in a hydraulic fluid chamber may be reduced and an impeller and a turbine may be coupled via clutch discs based on force of a mechanical spring instead of hydraulic pressure. Once the prime mover has started and settled to idle, the torque converter operation may revert to a conventional torque converter operation by controlling hydraulic pressure to engage and disengage the clutch disc(s). For example, a mechanical spring and a lockup piston are housed in a piston housing, and the mechanical spring exerts force on the lockup piston such that the lockup piston is biased and engages with the clutch disc when the prime mover is off (the engine-off state). In the engine-off state, there is low hydraulic pressure in the hydraulic fluid chamber due to no rotational motion of the hydraulic fluid. The ECM may monitor an ignition switch/key/dial of the machine to determine whether the state of the prime mover has changed from the engine-off state to the engine-on state. In response to determining that the state of the prime mover has changed from the engine-off state to the engine-on state, the ECM, by opening a relief valve coupled to the hydraulic fluid chamber, allows the lockup piston to remain biased by the force applied by the mechanical spring and to engage with the clutch discs to couple rotational force from the input component to the output component. Because of the lower load to the prime mover from the hydraulic fluid and the lockup clutch already engaged when the prime mover is started, a prime mover start up, from the first fire to idle, or a stable state, is improved. As the speed of the prime mover increases and settles reaches a stable state, the ECM closes the relief valve and allows the hydraulic fluid in the hydraulic fluid chamber to build hydraulic pressure due to the rotating head of the hydraulic fluid. When the hydraulic pressure reaches above the force applied to the lockup piston by the spring, the lockup piston becomes automatically unbiased. After the lockup piston becomes unbiased, the ECM may operate the torque converter as a conventional hydraulic torque converter where the engagement of the clutch disc is controlled by the pressure in the piston hydraulic passage. Unless explicitly excluded, the use of the singular to describe a component, structure, or operation does not exclude the use of plural such components, structures, or operations or their equivalents. The use of the terms “a” and “an” and “the” and “at least one” or the term “one or more,” and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The use of the term “at least one” followed by a list of one or more items (for example, “at least one of A and B” or one or more of A and B”) is to be construed to mean one item selected from the listed items (A or B) or any combination of two or more of the listed items (A and B; A, A and B; A, B and B), unless otherwise indicated herein or clearly contradicted by context. Similarly, as used herein, the word “or” refers to any possible permutation of a set of items. For example, the phrase “A, B, or C” refers to at least one of A, B, C, or any combination thereof, such as any of: A; B; C; A and B; A and C; B and C; A, B, and C; or multiple of any item such as A and A; B, B, and C; A, A, B, C, and C; etc. While aspects of the present disclosure have been particularly shown and described with reference to the examples above, it will be understood by those skilled in the art that various additional embodiments may be contemplated by the modification of the disclosed devices, systems, and methods without departing from the spirit and scope of what is disclosed. Such embodiments should be understood to fall within the scope of the present disclosure as determined based upon the claims and any equivalents thereof. | 38,691 |
11859370 | DETAILED DESCRIPTION Wherever possible, the same reference numbers will be used throughout the drawings to refer to same or like parts.FIG.1illustrates an isometric view of a work machine100within an XYZ coordinate system as one example suitable for carrying out the principles discussed in the present disclosure. The exemplary work machine100travels parallel to the X axis along a roadway, also termed a haul route101, typically from a source to a destination within a worksite. In one implementation as illustrated, work machine100is a hauling machine that hauls a load within or from a worksite within a mining operation. For instance, the work machine100may haul excavated ore or other earthen materials from an excavation area along haul route101to dump sites and then return to the excavation area. In this arrangement, work machine100may be one of many similar machines configured to ferry earthen material in a trolley arrangement. While a large mining truck in this instance, work machine100may be any machine that carries a load between different locations within a worksite, examples of which include an articulated truck, an off-highway truck, an on-highway dump truck, a wheel tractor scraper, or any other similar machine. Alternatively, work machine100may be an off-highway truck, on-highway truck, a dump truck, an articulated truck, a loader, an excavator, a pipe layer, or a motor grader. In other implementations, work machine100need not haul a load and may be any machine associated with various industrial applications including, but not limited to, mining, agriculture, forestry, construction, and other industrial applications. Referring toFIG.1, an example work machine100includes a frame103powered by electric engine102to cause rotation of traction devices104. Traction devices104are typically four or more wheels with tires, although tracks or other mechanisms for engagement with the ground along haul route101are possible. Electric engine102functions to provide mechanical energy to work machine100based on an external electrical power source, such as described in further detail below. An example of mechanical energy provided by electric engine102includes propelling traction devices104to cause movement of work machine100along haul route101, but electric engine102also includes components sufficient to power other affiliated operations within work machine100. For instance, in some implementations, electric engine102includes equipment for converting electrical energy to provide pneumatic or hydraulic actions within work machine100. While electric engine102is configured to operate from an external electrical power source, electric engine102typically includes one or more batteries for storing electrical energy for auxiliary or backup operations. In accordance with the principles of the present disclosure, and relevant to the presently disclosed subject matter, the work machine100further includes a conductor rod106configured to receive electrical power from a power rail108. In some examples, power rail108is one or more beams of metal arranged substantially parallel to and a distance above the ground. InFIG.1, power rail108is positioned to be substantially parallel to the X axis and the direction of travel of work machine100. Support mechanisms hold power rail108in place along a distance at the side of haul route101for work machine100to traverse. The support mechanisms and power rail108may be modular in construction, enabling their disassembly and reassembly at different locations or their repositioning along the existing haul route101. Moreover, while shown inFIG.1to the left of work machine100from the perspective of an operator sitting in the cab of the work machine100, power rail108may be disposed to the right of work machine100or in other locations suitable to the particular implementation. Power rail108provides a source of electrical power for work machine100as either AC or DC. In some examples, power rail108has two or more conductors, each providing voltage and current at a different electrical pole. In one implementation (e.g., an implementation in which the power rail108includes three conductors), one conductor provides positive DC voltage, a second conductor provides negative DC voltage, and a third conductor provides 0 volts relative to the other two conductors. The two powered conductors within power rail108provide +1500 VDC and −1500 VDC. These values are exemplary, and other physical and electrical configurations for power rail108are available and within the knowledge of those of ordinary skill in the art Further, it should be understood that the voltages described herein are merely exemplary, as various levels of AC voltage may be used, as well as a combination of AC and DC voltages, depending on the particular configuration. Conductor rod106enables electrical connection between work machine100and power rail108, including during movement of work machine100along haul route101. In the example shown inFIG.1, conductor rod106is an elongated arm resembling a pole.FIG.1shows conductor rod106positioned along a front side of work machine100, with respect to the direction of travel of work machine100in the direction of the X axis. In this arrangement, conductor rod106is located inFIG.1in the Y-Z plane essentially along the Y axis with a first end107near a right side of work machine100and a second end111at a left side of work machine100. Conductor rod106may be attached to any convenient location within work machine100, such as to frame103, in a manner to couple conductor rod106to power rail108. Shown inFIG.1as extending to a left side of work machine100toward power rail108, conductor rod106may alternatively be arranged to extend to a right side and at any desired angle from work machine100such that conductor rod106may be coupled to power rail108for obtaining electrical power. As embodied inFIG.1, conductor rod106includes a barrel109mounted to frame103of work machine100. Barrel109has a hollow interior and may be a conductive metal having suitable mechanical strength and resiliency, such as aluminum. Within barrel109, an arm110is retained. Arm110is engaged within conductor rod106along the Y axis inFIG.1. A length of conductor rod106roughly spans the width of work machine100. A junction112serves as the junction or interface between arm110and barrel109, which is the main body of conductor rod106. When arm110is fully retracted or collapsed into barrel109, junction112essentially becomes the left edge of conductor rod106. On the other hand, when arm110is extended from barrel109of conductor rod106, arm110may reach from work machine100to proximate power rail108on the side of haul route101. Within, and possibly including barrel109, conductor rod106includes a series of electrical conductors passing longitudinally, at least from a head122at a proximal end of the conductor rod106to a tip124at a distal end of the conductor rod106. Typically, the conductors within conductor rod106are formed of a metallic material and are rigid. In some examples, the conductors are concentric tubes, or hollow cylinders, of solid metal such as copper, aluminum, gold, silver, nickel, zinc, or alloys thereof nested together and sized to provide electrical capacity sufficient for powering work machine100. Other conductive materials may be used, such as graphite, and are considered to be within the scope of the presently disclosed subject matter. Tubular conductors within arm110engage with corresponding tubular conductors within barrel109to provide for electrical continuity. In other examples, one or more concentric copper tubes, rather than aluminum, of varying diameters may be used as tubular conductors. Other types of conductive tubes may be used and are within the scope of the presently disclosed subject matter. At tip124, a connector assembly114provides an interface to power rail108via trailing arms116and contactor118. Power rail108is typically arranged along a side of haul route101, and work machine100is steered so that it traverses haul route101substantially in parallel with power rail108. Thus, in reference toFIG.1, power rail108and a travel path for work machine100are substantially in parallel with each other and with the X axis. Contactor118is configured to maintain an electrical connection with power rail108while sliding along its surface in the direction of the X axis as work machine100moves. In some examples, trailing arms116are conductors coupled to contactor118, each conducting voltage and current at a different electrical pole and corresponding to the conductors within conductor rod106. In operation, electrical power is accessed from power rail108via contactor118, which remain in contact during movement of work machine100, and the electrical power is conducted through trailing arms116into connector assembly114. From connector assembly114, the electrical power is conveyed at tip124through the nested tubular conductors within arm110and barrel109to head122of conductor rod106and through a head-end interface120to work machine100. Head-end interface120provides at least an electrical connection between conductor rod106and work machine100for powering electric engine102and otherwise enabling operations within work machine100. In some examples, head-end interface120may also provide an interface for inputs to control mechanical operation of conductor rod106. As noted above, the tubular or cylindrical nature of conductor rod106, lending to a degree of rigidity greater than a solid conductor of similar or smaller mass or weight to conductor rod106due to a larger moment of inertia of a hollow tube than a solid rod of similar mass. Thus, by forming the conductive material into a hollow tube rather than a solid rod, for similar conductive performance, conductor rod106can provide a mechanism to conduct electrical power from a source to a load over an unsupported distance. As described above, trailing arms116are conductors coupled to contactor118, each conducting voltage and current at a different electrical pole and corresponding to the conductors within conductor rod106. Different cylindrical conductors within conductor rod106can provide for the transmission of different electrical potentials along conductor rod106, illustrated in more detail inFIG.2, below. FIG.2illustrates a longitudinal cross-section of a section of conductor rod106with arm110disposed in barrel109, in accordance with one or more examples of the present disclosure. More specifically,FIG.2depicts a longitudinal cross-section of a section of conductor rod106between head-end interface120and connector assembly114, from head122to tip124, when viewed facing in the direction of travel for work machine100, i.e., in the direction of the X axis along. Thus, conductor rod106lies in the Y-Z plane, as indicated inFIG.2. Referring to the right side ofFIG.2, barrel109contains an arrangement of concentric conductors of tubular shape, i.e., as hollow cylinders. In this example, from an axial center AB outward, first cylinder conductor202is positioned concentrically along axial center AB (i.e. the longitudinal axis of barrel109) of barrel109and is a tubular conductor made of aluminum or a similar metal with high electrical conductivity and high mechanical strength. For instance, an aluminum alloy such as 6061-T6 may be used for first cylinder conductor202and other conductive tubes in conductor rod106. Other suitable metals or alloys thereof may be used and are considered to be within the scope of the presently disclosed subject matter. In some examples, first cylinder conductor202has an outer diameter of approximately 3.5 inches to 4.5 inches. However, it should be understood that dimensions provided herein are merely for purposes of illustration and are not intended to be limitations, as dimensions described in relation to various components may be greater or less than the examples provided herein. First cylinder conductor202begins at head122and extends axially along conductor rod106around axial center AB to a barrel end205. As a tube, first cylinder conductor202defines first cylinder cavity204within inner surface207of first cylinder conductor202. If arm110were removed from barrel109inFIG.2, first cylinder cavity204would be an open, inner space within first cylinder conductor202from head122to barrel end205. In one example, first cylinder cavity204has a diameter of about 2.5 to 3 inches. A second cylinder conductor206is positioned concentrically along axial center AB and surrounds first cylinder conductor202. As with first cylinder conductor202, second cylinder conductor206is a tubular conductor made of aluminum or a similar metal with high electrical conductivity and high mechanical strength. Second cylinder conductor206is similarly positioned around a Y axis withinFIG.2and spans a distance from head122to barrel end205. In one example, second cylinder conductor206has an outer diameter of about 5 inches to 5.5 inches. These dimensions, as well as other dimensions discussed below, are merely examples and could be greater or lesser than the stated values. Being arranged concentrically around and, by definition, having a larger diameter than first cylinder conductor202, second cylinder conductor206forms a radial gap between it and first cylinder conductor202. In the example ofFIG.2, that gap is filled by second cylinder insulation208, which is an insulation comprised of a closed cell polyurethane foam. Other types of materials for second cylinder insulation208that provide electrical insulation and lightweight support within conductor rod106will be available and apparent to those of ordinary skill in the field. In some examples, second cylinder insulation208has a thickness of about 1.5 inches to 0.75 inches. In some examples, second cylinder insulation208can be a dielectric. Dielectric materials can be solids, liquids, or gases. Some solids can be used as dielectrics, such as porcelain, glass, plastics, and the closed cell polyurethane foam described above. In configurations in which a cylinder conductor or piston conductor is hermetically sealed on both ends of the cylinder conductor or piston conductor, fluidic dielectrics can be used in gaps, such as radial gap around first cylinder conductor202and second cylinder conductor206. Fluid dielectrics can include some forms of oil or gaseous dielectrics such as air, nitrogen, helium, and other dry gases such as sulfur hexafluoride. In further configurations in which a cylinder conductor or piston conductor is hermetically sealed on both ends of the cylinder conductor or piston conductor, a partial vacuum can be used. In various examples, a partial vacuum can be used as a nearly lossless dielectric even though its relative dielectric constant is unity. It should be noted that the dielectrics disclosed herein are merely examples, as other dielectrics may be used and are considered to be within the scope of the presently disclosed subject matter. Different dielectrics can be used in various radial gaps of conductor rod106to allow for different voltages and different types of electrical potentials to be conducted by conductor rod106. A partial vacuum can be created by pulling air from within a conductor rod, such as from within a cavity, explained in more detail inFIG.7. Moving farther out radially on the right side ofFIG.2, third cylinder conductor210is positioned concentrically along axial center AB and surrounds second cylinder conductor206and first cylinder conductor202. Third cylinder conductor210is a tubular conductor made of aluminum or a similar metal with high electrical conductivity and high mechanical strength. As with the other tubes discussed, third cylinder conductor210extends from head122to barrel end205within conductor rod106. In one example, third cylinder conductor210has an outer diameter of about 8 to 9 inches. A third cylinder cavity212between second cylinder conductor206and third cylinder conductor210is an open space, which, if arm110were removed from barrel109inFIG.2, would form a tubular cavity extending from head122to barrel end205. Concentrically along axial center AB and around third cylinder conductor210and the other tubular conductors, fourth cylinder conductor214forms an outer conductive path from head122to barrel end205. Similarly, fourth cylinder conductor214is a tubular conductor made of an aluminum alloy or a similar metal with high electrical conductivity and high mechanical strength. In one example, fourth cylinder conductor214has an outer diameter of about 14 inches. A gap215defined as a space between outer surface217of third cylinder conductor210and an inner surface219of fourth cylinder conductor214, in some examples, is about 0.75 inches and is filled with fourth cylinder insulation216, which is a closed cell polyurethane foam, dielectric, or similar substance. Radially beyond fourth cylinder conductor214, a covering or barrel shell218encases conductor rod106. Barrel shell218is typically a metal or similar substance providing structural integrity to conductor rod106. Barrel shell218has an inner diameter in excess of an outer diameter of fourth cylinder conductor214. As a result, a retraction cavity220of a tubular shape is formed between fourth cylinder conductor214and barrel shell218that extends from head122to barrel end205. A stop222, which is part of a housing for conductor rod106at junction112, defines a longitudinal end for retraction cavity220away from head122. The various annular or tubular cavities within barrel109, namely, first cylinder cavity204, third cylinder cavity212, and the head end of retraction cavity220(barrel shell cavity242, described below), are sealed or capped by the attachment of head-end interface120to their ends at head122. The attachment of head-end interface120is designed to provide an airtight (or hermetic) seal within these cavities, for purposes to be understood further below. ViewingFIGS.1and2together, arm110is a substantially cylindrical body having an outer diameter D1that is smaller than inner diameter D2of barrel shell218, allowing arm110to slidable engage into barrel109. As well as providing a longitudinal end for retraction cavity220, stop222also defines an inner diameter D3through which arm110slides, as shown to the left ofFIG.2. By sliding, it is meant that arm110may move longitudinally along the Y axis within barrel109as arm110is moved axially with respect to conductor rod106, from left to right inFIG.2for retraction and from right to left inFIG.2for extension. The result of the sliding is the increase or decrease in the overall length of conductor rod106via arm110, as illustrated inFIG.1. Referring now to the left side ofFIG.2, arm110also contains a series of concentric conductors of cylindrical or tubular shape. In this example, from the axial center outward, first piston conductor224is positioned at a center of arm110and is, as with the other tubular conductors of arm110, made of a metal such as aluminum 6061-T6 or similar substance having high electrical conductivity and high mechanical strength. First piston conductor224extends from tip124to an arm end225, shown at the right side ofFIG.2. Being tubular, first piston conductor224has a first piston cavity226within its inner diameter that is filled with air or another gas. A second piston conductor228concentrically surrounds first piston conductor224and extends from tip124to arm end225. Second piston conductor228is made of a conductive material, and in some examples has an inner diameter of between about 5 and 6 inches. A space defined as second piston cavity230is formed between the inner diameter of second piston conductor228and the outer diameter of first piston conductor224, which is left unfilled other than with air or a similar gas. Moving radially outward from second piston conductor228, a third piston conductor232axially centered on the Y axis concentrically surrounds second piston conductor228. Similarly made of a conductive material, third piston conductor232is set off radially from second piston conductor228a distance of less than 1 inch, which is filled with a third piston insulation234. As with second cylinder insulation208and fourth cylinder insulation216, third piston insulation234can be a closed cell polyurethane foam or comparable substance providing electrical insulation and lightweight stability. An arm shell236of conductive material such as metal concentrically surrounds third piston conductor232from tip124to about arm end225. In some examples, arm shell236has an outer diameter of about 11.625 inches. Within an inner diameter of arm shell236, an arm shell cavity238of free space exists between arm shell236and third piston conductor232. In some examples, the outer surface of arm shell236includes gasket240, which serves to stably set apart arm shell236, and arm110generally, from barrel shell218. As illustrated inFIG.2, as arm110is retracted or extended within barrel109, gasket240separates retraction cavity220from a barrel shell cavity242. As well, gasket240can help retain arm110within conductor rod106in a state of maximum extension by butting against stop222. As illustrated,FIG.2represents an arrangement in which conductor rod106essentially has two longitudinal halves. It should be noted, however, that a conductor rod of the presently disclosure does not require multiple halves, illustrated inFIG.3, below. Returning toFIG.2, a first half, barrel109, on the right side ofFIG.2, includes barrel shell218enclosing a series of tubular cylinder conductors aligned along the Y axis. Those cylinder conductors, viewed radially from axial center AB, are first cylinder conductor202, second cylinder conductor206, third cylinder conductor210, and fourth cylinder conductor214. Within that concentric arrangement, tubular regions of open space exist within first cylinder cavity204and third cylinder cavity212. Further, barrel shell218encases barrel109and forms an open space244within retraction cavity220and barrel shell cavity242. On the left side ofFIG.2, arm110includes arm shell236enclosing a series of tubular piston conductors also aligned along axial center AB of conductor rod106. Those piston conductors, viewed radially from axial center AB, are first piston conductor224, second piston conductor228, and third piston conductor232. Within that concentric arrangement, tubular regions of open space exist within first piston cavity226and second piston cavity230. Further arm shell236encases arm110and forms an open space246within arm shell cavity238. In an operating state for conductor rod106, arm110is inserted into barrel109to form a nested configuration of the piston conductors and the cylinder conductors. For example, when arm110is inserted into barrel109, the outer surface227of first piston conductor224fits within an internal space formed by an inner surface229of first cylinder conductor202. During operation, first piston conductor224maintains electrical contact with first cylinder conductor202, permitting electrical conductivity between those tubular conductors. When first piston conductor224is mated within first cylinder conductor202, first piston cavity226and first cylinder cavity204connectively extend axially through conductor rod106from head122to tip124. Similarly, when the combination of second piston conductor228, third piston conductor232, and interposed third piston insulation234are slid as part of arm110into barrel109, an outer surface231of third piston conductor232fits within an inner surface233of third cylinder conductor210, and an inner surface235of second piston conductor228fits over an outer surface237of second cylinder conductor206. As a result, second piston conductor228, third piston conductor232, and third piston insulation234are disposed in the empty space defined by third cylinder cavity212. In this configuration, third piston conductor232electrically contacts third cylinder conductor210, and second piston conductor228electrically contacts second cylinder conductor206. In some examples, and as shown similarly inFIG.2, when conductor rod106is fully collapsed, at least some volume of empty space will remain within third cylinder cavity212, which will have an annular or tubular shape and be defined radially by portions of second cylinder conductor206and third cylinder conductor210. Conversely, when arm110is inserted into barrel109, the cylinder conductors will be disposed within cavities within the piston from left to right inFIG.2, and the cylinder conductors are nested with the piston conductors. For example, the combination of first cylinder conductor202, second cylinder conductor206, and second cylinder insulation208are in the open space defined by second piston cavity230within arm110, during which, as mentioned, first cylinder conductor202electrically contacts first piston conductor224and second cylinder conductor206electrically contacts second piston conductor228. Likewise, in the illustrated example, the sandwich of third cylinder conductor210, fourth cylinder conductor214, and fourth cylinder insulation216are in the open space defined by arm shell cavity238within arm110. Third cylinder conductor210will contact third piston conductor232, and fourth cylinder conductor214will do the same against arm shell236. As mentioned above, head-end interface120provides at least an electrical connection between conductor rod106and work machine100for powering electric engine102and otherwise enabling operations within work machine100. Head-end interface120also provides the physical securement of first cylinder conductor202, second cylinder conductor206, third cylinder conductor210, and fourth cylinder conductor214to work machine100, allowing arm110to extend and retract in relation to conductor rod106, illustrated in more detail inFIGS.3and4, below. FIG.3is a longitudinal cross-sectional view of a conductor rod300on the side of a tip324proximate to connector assembly312, in accordance with one or more examples of the present disclosure. For purposes of simplicity, only the side of conductor rod300proximate to connector assembly312is illustrated, though the technologies and techniques described inFIG.3and below are applicable to conductor rod300proximate to a head-end interface, such as head-end interface120ofFIGS.1and2.FIG.3depicts a longitudinal cross-sectional of a portion of conductor rod300when viewed facing in the direction of travel for a work machine, such as work machine100ofFIG.1, i.e., in the direction of the X axis. Thus, conductor rod300lies in the Y-Z plane, as indicated inFIG.3. Conductor rod300includes first cylinder conductor302, second cylinder conductor304, third cylinder conductor306, and barrel308. Conductor rod300includes connector assembly312. Similar to the conductor rod106ofFIG.1, connector assembly312is located proximate to a power supply to conduct power from the power supply to work machine100(or load). First cylinder conductor302, second cylinder conductor304, and third cylinder conductor306are concentric conductors of tubular shape, i.e. as hollow cylinders. InFIG.3, from axial center CD outward, first cylinder conductor302is positioned at a center of barrel308. Second cylinder conductor304concentrically surrounds first cylinder conductor302. As with first cylinder conductor302, second cylinder conductor304is a tubular conductor made of aluminum or a similar metal with high electrical conductivity and high mechanical strength. Second cylinder conductor304is similarly positioned concentrically around axial center CD. Moving farther out radially, third cylinder conductor306concentrically surrounds second cylinder conductor304and first cylinder conductor302. Concentrically around third cylinder conductor306and the other tubular conductors, barrel308forms an outer conductive path. In some examples, barrel308can act as a fourth cylinder conductor if constructed from a conductive material. First cylinder conductor302, second cylinder conductor304, third cylinder conductor306, and barrel308span a distance from head-end interface310to connector assembly312. Radially beyond fourth cylinder conductor214, barrel308encases conductor rod300. Barrel308is typically a metal or similar substance providing structural integrity to conductor rod300. However, in some examples, barrel308is a non-conductive material that isolations the electrically energized interior of conductor rod300from an environment. Barrel308has an inner diameter in excess of an outer diameter of fourth cylinder conductor214. As tubes, first cylinder conductor302defines first cylinder cavity314within inner surface315of first cylinder conductor302, second cylinder conductor304defines second cylinder cavity316between inner surface317of second cylinder conductor304and outer surface319of first cylinder conductor302, third cylinder conductor306defines third cylinder cavity318between inner surface321of third cylinder conductor306and outer surface323of the second cylinder conductor304, and barrel308defines fourth cylinder cavity320between inner surface325of barrel308and outer surface327of the third cylinder conductor306. First cylinder cavity314, second cylinder cavity316, third cylinder cavity318, and/or fourth cylinder cavity320can be filled with insulative materials such as closed cell polyurethane foam. In other examples, first cylinder cavity314, second cylinder cavity316, third cylinder cavity318, and/or fourth cylinder cavity320are filled with a dielectric. Dielectric materials can be solids, liquids, or gases. Some solids can be used as dielectrics, such as porcelain, glass, plastics, and the closed cell polyurethane foam described above. In configurations in which a cylinder conductor is hermetically sealed on both ends of conductor rod300, fluidic dielectrics can be used in cavities, First cylinder cavity314, second cylinder cavity316, third cylinder cavity318, and/or fourth cylinder cavity320. Fluid dielectrics can include some forms of oil or gaseous dielectrics such as air, nitrogen, helium, and other dry gases such as sulfur hexafluoride. In further configurations in which a cylinder conductor or piston conductor is hermetically sealed on both ends of the cylinder conductor or piston conductor, a partial vacuum can be used. In various examples, a partial vacuum can be used as a nearly lossless dielectric even though its relative dielectric constant is unity. It should be noted that the dielectrics disclosed herein are merely examples, as other dielectrics may be used and are considered to be within the scope of the presently disclosed subject matter. Different dielectrics can be used in various cylinder cavities of conductor rod300to allow for different voltages and different types of potentials to be conducted by conductor rod300. For example, first cylinder conductor302and second cylinder conductor304can be configured to conduct a DC voltage and third cylinder conductor306can be configured to conduct an AC voltage. Because both first cylinder conductor302and second cylinder conductor304are conducting DC voltage, there may be no need or requirement to have a dielectric other than air between first cylinder conductor302and second cylinder conductor304. However, if the AC voltage being carried on third cylinder conductor306is of a certain voltage level or frequency, a dielectric of suitable strength can be used to prevent a short between second cylinder conductor304and third cylinder conductor306. The various annular or tubular cavities within barrel308, namely, first cylinder cavity314, second cylinder cavity316, third cylinder cavity318, and/or fourth cylinder cavity320, are sealed or capped by the attachment of the ends of the cylinder conductors to an interface. InFIG.3, the interface is connector assembly312, though the same technology and techniques can be used to attach the other ends of cylinder conductors to another interfaces, such as head-end interface120ofFIG.2. The attachment is designed to provide an airtight (or hermetic) seal within these cavities. For example, when using fluidic insulative materials or dielectrics, or a partial vacuum, a hermetic seal maintains the fluid within the particular cavity to which the fluid is inserted, or, maintains the partial vacuum from which the air was pumped out. To provide for an airtight seal, the ends of the cylinder conductors can be affixed to interfaces using various technologies, including welding, glue, adhesive, gaskets, and the like. To removably affix the ends of the cylinder conductors, whereby the ends can be installed, removed, and reinstalled, the cylinder conductors can use a terminal connector assembly. The terminal connector assemblies use a threaded member inserted into a terminal receiver. The terminal receiver is affixed to a respective cylinder conductor, thereby providing for affixing and removing the cylinder conductors from either a head-end interface, such as head-end interface ofFIGS.1and2, or connector assembly312. InFIG.3, first cylinder conductor302is affixed to head-end interface310using terminal connector assembly330and threaded members332A and332B. Threaded members332A and332B are inserted through head-end interface310and into terminal connector assembly330. Second cylinder conductor304is affixed to head-end interface310using terminal connector assembly360A and360B and threaded members346A and346B. Threaded members346A and346B are inserted through head-end interface310and into terminal connector assembly360A and360B. Third cylinder conductor306is affixed to head-end interface310using terminal connector assembly362A and362B and threaded members348A and348B. Threaded members348A and348B are inserted through head-end interface310and into terminal connector assembly362A and362B. Barrel308is affixed to head-end interface310using terminal connector assembly364A and364B and threaded members350A and350B. Threaded members350A and350B are inserted through head-end interface310and into terminal connector assembly364A and364B. InFIG.3, threaded members332A/332B,346A/346B,348A/348B, and/or350A/350B are used to provide electrical power from their respective conductor cylinders to a load, such as work machine100. It is noted that threaded members350A and350B may provide electrical power or may be connected to a ground, such as work machine100. However, as illustrated inFIG.3, threaded members332A/332B,346A/346B,348A/348B, and/or350A/350B are disposed substantially along the same plane or the Z axis. In some examples, however, threaded members may be disposed on different planes of a head-end connector, as illustrated by example inFIG.4. FIG.4is an isometric view of a head-end interface400having multiple tiers, in accordance with one or more examples of the present disclosure. Head-end interface400is within an XYZ coordinate system. Head-end interface400can be used as head-end interface120ofFIG.1. Head-end interface400may be constructed of various types of materials, including metals, ceramics, and plastic. If constructed of a metal, head-end interface400may be coated with an insulative material to prevent electrical shorts. Head-end interface400includes structural features that enable electrical connection with a conductor rod, such as conductor rod300ofFIG.3, using threaded members. Head-end interface400provides access for passing electrical power from a conductor rod to a work machine, such as work machine100. Head-end interface400is shown illustrated with threaded members402A and402B,404A and404B,406A and406B, and408A and408B. Threaded members402A and402,404A and404B,406A and406B, and408A and408B are constructed in a manner similar to threaded members ofFIG.3. Threaded member408A is shown inFIG.4as being partially extracted from head-end interface400. As illustrated inFIG.4, load437can receive electrical power through electrical connector434connected to head-end interface400by threaded member408A. Load437can also receive electrical power through electrical connector436. In some examples, if threaded member408A is connected to a barrel or outer tube, rather than being connected to load437, electrical connector may be connected to a ground. Head-end interface400includes one or more tiers, for example tiers410-416, that are disposed above each other longitudinally along the Z axis, which inFIG.4, are generally circular in shape. Head-end interface400includes a central axis GT that extends through the center of head-end interface400in the direction of the Z axis. Tier410is defined by a substantially planar surface418extending substantially perpendicular to the central axis GT. The tier410also includes a riser section420extending substantially perpendicularly from the surface418. The riser section420comprises a substantially cylindrical outer wall of the tier410, and the central axis GT extends substantially centrally through the surface418and the riser section420. As shown inFIG.4, the tier410has an axial height A as measured from the surface418to a substantially planar surface422of the tier412. In some examples, the height A of the tier410comprises an axial height of the substantially cylindrical riser section420. Tier412is defined by a substantially planar surface422extending substantially perpendicular to the central axis GT. The tier410also includes a riser section424extending substantially perpendicularly from the surface422. The riser section424comprises a substantially cylindrical outer wall of the tier412, and the central axis GT extends substantially centrally through the surface422and the riser section424. As shown inFIG.4, the tier412has an axial height B as measured from the surface422to a substantially planar surface426of the tier414. In some examples, the height B of the tier412comprises an axial height of the substantially cylindrical riser section424. Tier414is defined by a substantially planar surface426extending substantially perpendicular to the central axis GT. The tier414also includes a riser section428extending substantially perpendicularly from the surface426. The riser section428comprises a substantially cylindrical outer wall of the tier414, and the central axis GT extends substantially centrally through the surface426and the riser section428. As shown inFIG.4, the tier414has an axial height C as measured from the surface426to a substantially planar surface430of the tier416. In some examples, the height C of the tier414comprises an axial height of the substantially cylindrical riser section428. In some examples, height A, height B, and height C are substantially the same or similar. In other examples, height A, height B and/or height C can be different from each other. Threaded members402A and402are located on tier410. Threaded members404A and404B are located on tier412. Threaded members406A and406B are located on tier414. Threaded members408A and408B are located on tier416. Head-end interface400is shown with bore438. Bore438is an annular space439in head-end interface400that extends through head-end interface400and provides an opening through head-end interface400into which fluids such as air may be introduced or removed. Bore438cylindrical structure extending substantially perpendicularly from surface418along axis GT,438. Bore438through annular space439is a channel extending substantially centrally through head-end interface400formed by the structure to some other location (not shown). For example, bore438may be used to deliver pressurized air within a conductor rod. In some examples, the pressurized air provides an axial force that can affect a movement of a conductor rod. Head-end interface400provides for a multi-tier interface to which cylinder conductors may be affixed. Threaded members can be physically and electrically connected to respective conductive cylinders to transfer electrical energy received from a power source, through one or more piston conductors and cylinder conductors, and into their respective terminal connectors, illustrated by way of example inFIG.5, which uses head-end interface400connected to conductor rod300ofFIG.3. FIG.5is an isometric cross-sectional partial view of a conductor rod500with head-end interface400along the cut lines shown inFIG.4revealing internal conductors, as discussed below, in accordance with one or more examples of the present disclosure. Head-end interface400is physically and electrically connected to conductor rod300ofFIG.3. Threaded members402A and402B are located on tier410. Threaded members404A and404B are located on tier412. Threaded members406A and406B are located on tier414. Threaded members408A and408B are located on tier416. Conductor rod500includes first cylinder conductor502, second cylinder conductor504, third cylinder conductor506, and barrel508. First cylinder conductor502, second cylinder conductor504, third cylinder conductor506, and barrel508are mechanically affixed to head-end interface400. Conductor rod500further includes first piston conductor512, second piston conductor514, third piston conductor516, and arm518. In some examples, tier416has a diameter D1through axial length FG defined by the substantially cylindrical riser section428and/or by the substantially planar surface430(FIG.4), tier414has a diameter D2through axial length FG defined by the substantially cylindrical riser section428and/or by the substantially planar surface426(FIG.4) that is less than diameter D1, tier412has a diameter D3through axial length FG defined by the substantially cylindrical riser section424and/or by the substantially planar surface422(FIG.4) that is less than diameter D1and diameter D2, and tier410has a diameter D4through axial length FG defined by the substantially cylindrical riser section420and/or by the substantially planar surface418(FIG.4) that is less than diameter D1, diameter D2, and diameter D3. An inner surface568of first cylinder conductor502concentrically surrounds and is slidably engaged with an outer surface570of first piston conductor512from radius HI along axial length FG. An inner surface572of second piston conductor514concentrically surrounds is slidably engaged with an outer surface574of second cylinder conductor504from radius HI along axial length FG. Third cylinder conductor506concentrically surrounds third piston conductor516from radius HI along axial length FG. Barrel508concentrically surrounds arm518from radius HI along axial length FG. First piston conductor512, second piston conductor514, third piston conductor516, and arm518are insertable into and retractable from first cylinder conductor502, second cylinder conductor504, third cylinder conductor506, and barrel508. First cylinder conductor502is mechanically affixed to internal surface564of head-end interface400tier410by threaded members402A and402B extending from an outer surface566of head-end interface400thru internal surface564and into first cylinder conductor502. Second cylinder conductor504is mechanically affixed to internal surface564of head-end interface400tier412by threaded members404A and404B extending from outer surface566of head-end interface400thru internal surface564and into second cylinder conductor504. Third cylinder conductor506is mechanically affixed to internal surface564of head-end interface400tier414by threaded members406A and406B extending from outer surface566of head-end interface400thru internal surface564and into third cylinder conductor506. Barrel508is mechanically affixed to internal surface564of head-end interface400tier416by threaded members408A and408B extending from outer surface566of head-end interface400thru internal surface564and into barrel508. In use, if the outermost tube, barrel508acts as a rigid outer tube of conductor rod500. Piston conductors512-516and arm518are in electrical and physical communication with their respective cylinder conductors502-506and barrel508via one or more conducting interfaces. For example, a conducting interface528comprises a contacting interface between an exterior contacting surface529of arm518and an interior contacting surface531of barrel508. Conducting interface528provides both a slidable physical interface as well as an electrical interface between barrel508and arm518. Acting as an electrical interface, electrical power is transferred from piston conductors512-516to their respective cylinder conductors502-506, allowing for the continuous transfer of electrical power while the conductor rod500extends and retracts. Various technologies may be used to provide for a physical and electrical interface. Arm518extends and retracts by sliding along the conducting interface528, maintaining a physical and electrical interface. InFIG.5, the interface is head-end interface400, though the same technology and techniques can be used to attach piston conductors512-516to connector assembly114ofFIG.1using threaded members. The attachment is designed to provide an airtight (or hermetic) seal within these cavities. Another example of a conducting interface is conducting interface530. Rather than direct contact between a cylinder conductor and a piston conductor acting as an electrical and physical interface, conducting interface530uses carbon brushes, such as brush532. Brush532is a solid material formed from a conductive material, such as carbon or graphite, that provides both a physical and electrical interface between second cylinder conductor504and second piston conductor514. Brush532may be formed by compacting a mix of materials such as carbon, graphite, and metallic power (e.g. copper) into a solid piece of material sized and shaped to be used in conducting interface530. Another example of an electrical interface material that provides for the conduction of electrical power from a piston conductor to a cylinder conductor is a metallic alloy that is liquid at a certain temperature, such as room temperature. An example of a metallic alloys is GALINSTAN. GALINSTAN is a eutectic alloy composed of gallium, indium, and tin which melts at −19 C (−2 F) and is thus liquid at room temperature. It should be noted, however, that other metal allows with properties similar to GALINSTAN may be used and are considered to be within the scope of the presently disclosed subject matter. In order to keep a metallic alloy at an interface, the metallic alloy will be contained within a space enclosed by the surfaces of the piston conductor and the cylinder conductor in which the liquid alloy is being used. For example, conducting interface534is a space defined by an interior surface539of first cylinder conductor502and an exterior surface541of first piston conductor512. Conducting interface534is configured to act as a fluidic barrier, reducing or eliminating potential leaks of the liquid metallic alloy contained therein into other areas of the conductor rod500. As first piston conductor512extends and retracts within first cylinder conductor502, conducting interface534with a liquid metallic alloy contained therein provide for a constant electrical connection between first cylinder conductor502and first piston conductor512. During use, the conducting interface534may be filled with additional liquid metallic alloy.FIG.5illustrates one manner in which this may be accomplished, though other technologies for filling or refilling conducting interface534with additional liquid metallic alloy may be used and are considered to be within the scope of the presently disclosed subject matter. InFIG.5, to introduce a liquid metallic alloy into conducting interface534, piston channel536, and interface channel538are used. To introduce a liquid metallic alloy into conducting interface534, conductor rod500is in a retracted configuration so that first piston conductor512abuts or nearly abuts interface channel538so that interface channel538is in liquid communication with piston channel536. Terminal connector520is removed, creating a fluidic input bore537extending through the head-end interface400providing for interface channel538to extend from an outer surface559of head-end interface510to piston channel536. The liquid metallic alloy can be introduced at input540, through interface channel538, through fluidic input bore537, through piston channel536, and into conducting interface534. Bore438may also be used to introduce air or other fluids into an inner volume560, or annular space, of first piston conductor512. In some examples, the fluid is pressurized air that is used to increase a pressure in inner volume560, forcing first piston conductor512away from head-end interface400. Turning from the structure of work machine100, conductor rod500, and head-end interface400as illustrated inFIG.5,FIG.6illustrates a method600involving these structures.FIG.6is a flowchart of a representative method600for using multi-tiered head-end interface of a rod conductor rod to power a work. As shown inFIG.6, at step602at least a proximal end of a conductor rod500is secured to a work machine. For example, step602may be performed by connecting cylinder conductors502,504, and506to head-end interface400using terminal connectors. As discussed in detail above, work machine100, such as a hauling truck at a mining site, can include conductor rod106with a plurality of conductive tubes, typically made of an aluminum alloy, arranged concentrically around a longitudinal axis. Near a head122of conductor rod106proximal to work machine100, head-end interface400is integrated into conductor rod500, as reflected inFIG.5. Conductor rod500can be mounted to work machine100in any convenient fashion depending on the implementation, including securing the conductor rod to work machine100in some situations to be stationary and in other situations to be rotational about its longitudinal axis. Further, in a step604, a distal end of conductor rod500is connected to a connector assembly, such as connector assembly114ofFIG.1. In step604, piston conductors are affixed to connector assembly114ofFIG.1. For example, piston conductors512-516are affixed to connector assembly114ofFIG.1. Connecting cylinder conductors502,504, and506to head-end interface400and piston conductors512-516to connector assembly114, when piston conductors512-516are slidably engaged to cylinder conductors502-506provide for a continuous electrical path from a power source, through connector assembly114, piston conductors512-516, cylinder conductors502-506, into and through head-end interface400. In step606, work machine100is electrically connected to head-end interface400using one or more terminal connectors and wire or cables. The head-end interface400is a multi-tier interface, meaning the electrical connection to each cylinder conductor through a terminal connector is at a different tier of head-end interface. To provide power to work machine100, at step608, connector assembly114is connected to power rail108via trailing arms116and contactor118. At step610, electrical power is delivered through the terminal connectors into work machine100. In some examples, trailing arms116are conductors coupled to contactor118, each conducting voltage and current at a different electrical pole and corresponding to the conductors within conductor rod106. The voltages are designed to service various loads in work machine100, including electric engine102of work machine100. In step612, a pneumatic connection is established with a bore, such as bore438ofFIG.5, positioned at a longitudinal end of the head-end interface. The connection with bore438, in the illustrated examples, provides passage for pressurized air into cavities within conductor rod500for providing forces to move or position arm110with respect to barrel109. WhileFIGS.1-6illustrate a first example of head-end interface120,FIGS.7and8depict a second example of a head-end interface700for use with work machine100. Head-end interface700includes structural features that enable electrical connection with conductor rod106radially around its exterior, as well as pneumatic connection with conductor rod106axially through an interface end702. Head-end interface700, with an interface on the circumference of conductor rod106, provides radial access for passing electrical power from conductor rod106to work machine100and enables continued electrical conductivity during rotation of conductor rod106around a longitudinal central axis YZ.FIG.7is a view of a portion of conductor rod106).FIG.8is a longitudinal section of conductor rod106along the cut lines shown inFIG.7, revealing internal conductors, cavities, and conduits as discussed below. Referring first toFIG.7, conductor rod106includes head-end interface700connected to head122of barrel109. Barrel109has an outer shell703around its exterior, which may be a conductive material having mechanical rigidity, such as an aluminum alloy. In some implementations, outer shell703serves as an electrical grounding path for conductor rod106. Radially around its exterior, head-end interface700includes rings of conductive material, specifically first metallic contact704and second metallic contact706. First metallic contact704and second metallic contact706are connected within head-end interface700to conductors extending longitudinally within conductor rod106that carry electrical power from power rail108. First metallic contact704and second metallic contact706function as interfaces for the electrical power from power rail108to work machine100. The example depicted inFIG.7contains two contacts as first metallic contact704and second metallic contact706corresponding to two conductors within conductor rod106. In other examples, more or fewer conductors and contacts may be used to correspond to the arrangement of conductors within conductor rod106. For instance, a version of head-end interface700not shown could employ one or more rings of conductive material in addition to first metallic contact704and second metallic contact706. Separators in the form of an insulative material such as plastic are positioned longitudinally between first metallic contact704and second metallic contact706. First separator708, for instance, serves as a structural interface between head-end interface700and head122of barrel109, while electrically separating outer shell703and second metallic contact706. Second separator710spaces first metallic contact704from second metallic contact706. Third separator712acts as an endcap for head-end interface700, while providing insulation longitudinally for first metallic contact704. As shown inFIG.7, third separator712has an annular shape and includes interface end702having a surface705extending perpendicular from central axis YZ and forming a structural terminus for conductor rod106. The insulative material of third separator712can serve to protect equipment and personnel from voltages present on first metallic contact704and second metallic contact706present farther inward longitudinally along central axis YZ on conductor rod106. Thus, in some examples, first separator708, second separator710, and third separator712help electrically insulate first metallic contact704, and second metallic contact706, and provide structural and mechanical form to head-end interface700. When installed on work machine100, conductor rod106enables electrical connection radially via one or more of first metallic contact704and second metallic contact706. Extending around a circumference of head-end interface700, first metallic contact704and second metallic contact706of head-end interface700can provide a substantial surface area for interfacing electrical power from conductor rod106to work machine100with a high level of conductivity. A mating mechanism (not shown) within work machine100can grasp or otherwise contact first metallic contact704and second metallic contact706around the exterior of head-end interface700. In addition, in some examples, first metallic contact704, second metallic contact706, and the mating mechanism may facilitate a sliding connection. For instance, in implementations where conductor rod106rotates about central axis YZ, such as to enable vertical movement of trailing arms116, first metallic contact704and second metallic contact706permit head-end interface700to stay in electrical contact with a mating mechanism while the rotation occurs, ensuring the continued delivery of electrical power to work machine100. FIG.7further illustrates a pneumatic interface within interface end702of third separator712. In general, third separator712includes one or more openings through interface end702in which pressurized air may be passed axially to the inside of conductor rod106from a compressor within work machine100. The pressurized air may be used as an energy source to drive mechanical movement of conductor rod106. In some examples, the pressurized air can be used in a pneumatic control system to force nested conductor tubes within conductor rod106to move axially with respect to each other, such as when arm110is forced to slide axially with respect to barrel109. In other examples, the pressurized air can be routed through conductor rod106to be used near or beyond tip124, such as to provide force relating to contactor118on power rail108. As embodied inFIG.7, the openings for pressurized air through interface end702include center bore714, first middle bore716, second middle bore718, first outer bore720, and second outer bore722, which are explained below with respect toFIG.8. Center bore714is at an axial center of head-end interface700along the central axis YZ. In some examples, such as shown inFIG.7, first middle bore716and second middle bore718are positioned a first radial distance outward from the central axis YZ, while first outer bore720and second outer bore722are located a second radial distance outward from the central axis YZ, where the second radial distance is greater than the first radial distance. FIG.8, which is a longitudinal section of conductor rod106inFIG.7, illustrates the internal structure of barrel109and head-end interface700.FIG.8reveals that the contactor rings on the exterior of head-end interface700, which are first metallic contact704and second metallic contact706, are annular-shaped rings or discs that connect with a respective tubular conductor and extend radially for a portion through the interior of head-end interface700. For instance, a first barrel conductor802is a metallic material such as an aluminum alloy in the shape of a tube or a hollow cylinder centered axially along the central axis YZ. A first barrel cavity804is defined by an inner surface805of first barrel conductor802. First barrel conductor802is a central conductor within barrel109and, in the example ofFIG.8, terminates longitudinally in the direction of Y of central axis YZ axis into first metallic contact704. First barrel conductor802and first metallic contact704are configured to be substantially orthogonal to each other, and together provide a conductive path for electrical voltage radially from an interior to an exterior of conductor rod106. First metallic contact704extends from first barrel conductor802to an outer surface835of head-end interface700, as shown, where mechanical and electrical connection can be made to work machine100. In one example, first barrel conductor802and first metallic contact704conduct +1500 VDC from within barrel109to first metallic contact704at an exterior of conductor rod106. In some examples, first metallic contact704and first barrel conductor802are the same material and structure, although they may be different substances or separate pieces connected together. In general, first barrel conductor802and first metallic contact704form a shape resembling a tubular pole (first barrel conductor802) arranged along central axis YZ with a flat base or endplate (first metallic contact704) positioned along the X axis. Similarly, second barrel conductor806is a conductor formed of a metallic material such as an aluminum alloy in the shape of a tube or a hollow cylinder as part of barrel109. Second barrel conductor806is axially centered along central axis YZ and concentrically positioned surrounding first barrel conductor802. A distance between the concentric tubes of first barrel conductor802and second barrel conductor806results in second barrel cavity808. Radially outside second barrel conductor806and within an outer shell703of barrel109is third barrel cavity810. Second barrel conductor806terminates longitudinally in the direction Y of the YZ axis inFIG.8into second metallic contact706, which is substantially orthogonal with second barrel conductor806. Together, second barrel conductor806and second metallic contact706form a conductive path for electrical voltage, such as −1500 VDC from within barrel109to second metallic contact706at an exterior of conductor rod106. In some examples, second metallic contact706and second barrel conductor806are the same material and structure, although they may be different substances or separate components connected together. As with first barrel conductor802and first metallic contact704, second barrel conductor806and second metallic contact706collectively form a shape resembling a tubular pole arranged along the central axis YZ having a flat base or endplate positioned along the X axis. FIG.8further illustrates a portion of arm110nested within outer shell703and barrel109. Specifically, arm110includes first arm conductor812arranged axially along the central axis YZ. First arm conductor812is a conductor made of a metallic material such as an aluminum alloy and has a tubular or hollow cylinder shape. An outer diameter of first arm conductor812is sized so that first arm conductor812contacts an inner surface of first barrel conductor802and yet can slide axially into the annular first barrel cavity804. The tubular configuration of first arm conductor812leads to a central arm cavity814along the axial center of first arm conductor812. The combination of central arm cavity814and first barrel cavity804provides a central passageway of open space longitudinally within conductor rod106. Similarly, arm110includes a second arm conductor816as a tube-shaped conductive element arranged concentrically around first arm conductor812. Second arm conductor816, which may also be an aluminum alloy or another material having an acceptable level of electrical conductivity and mechanical resilience, has an outer diameter sufficient to cause contact with an inner diameter of second barrel conductor806. At the same time, the sizing of second arm conductor816and second barrel conductor806are such that second arm conductor816may freely slide within second barrel conductor806and move into second barrel cavity808during retraction of arm110. Finally, in the example ofFIG.8, arm110includes third arm conductor818. Third arm conductor818is also a conductive material such as an aluminum alloy and is sized to slide in contact within an inner diameter of outer shell703. In a position of retraction for arm110, third arm conductor818will slide into third barrel cavity810within barrel109. The axial ends of first arm conductor812, second arm conductor816, and third arm conductor818leading into barrel109are respectively covered by first arm cap820, second arm cap822, and third arm cap824. First arm cap820essentially fills the radial diameter of first barrel cavity804within first barrel conductor802but for central arm cavity814. Second arm cap822substantially fills the radial distance of second barrel cavity808(i.e., the distance between the outer diameter of first barrel conductor802and the inner diameter of second barrel conductor806). Third arm cap824substantially fills the radial distance of third barrel cavity810(i.e., the distance between the outer diameter of second barrel conductor806and the inner diameter of outer shell703). Head-end interface700further includes a series of bores and passageways through which pressurized air may be delivered within at least barrel109. As arm110is axially slidable within barrel109, pressurized air from a pneumatic control system may provide forces to cause the extension or retraction of arm110. As noted above, the pressurized air is provided to conductor rod106from work machine100through interface end702. As shown inFIG.8, center bore714passes from interface end702along the central axis YZ and into first barrel cavity804. As first barrel cavity804and central arm cavity814adjoin each other in forming a central passageway through conductor rod106, center bore714serves to feed pressurized air from work machine100into barrel109and along the length of arm110, possibly to tip124. First outer bore720and second outer bore722provide passageways for pressurized air to enter third barrel cavity810of barrel109. In some examples, the pressurized air within third barrel cavity810provides an axial force against third arm cap824that, depending on other pneumatic forces acting on arm110, may affect the movement of arm110axially within barrel109. Likewise, first middle bore716and second middle bore718(not shown inFIG.8) provide passageways for pressurized air to enter second barrel cavity808of barrel109. First middle bore716and second middle bore718in some implementations are in the same plane as center bore714, first outer bore720, and second outer bore722, namely, the longitudinal section shown inFIG.8. As illustrated inFIG.8, however, in other implementations first middle bore716and second middle bore718are offset angularly about the central axis YZ with respect to first outer bore720and second outer bore722. In some examples, first middle bore716and second middle bore718are each about 90 degrees apart from first outer bore720and second outer bore722, although other angles are within the scope of this disclosure. This angular offset may, for instance, provide room between each of the bores along interface end702for connection of equipment to supply the pressurized air. In some examples, one or more of first metallic contact704, second metallic contact706, first separator708, second separator710, and second separator710include ringed conduits to provide passageways for pressurized air circumferentially around the central axis Y-Y from where axial bores radially enter interface end702. For instance, at the upper right inFIG.8, second outer bore722provides access for pressurized air into conductor rod106that leads into third barrel cavity810. Third ringed conduit832intersects with second outer bore722and forms a pathway (not shown) of circular or similar shape for the pressurized air to travel about axis Y-Y (i.e., in the X-Z plane). At the lower right inFIG.8, third ringed conduit832intersects first outer bore720. Similarly, first middle bore716extends longitudinally parallel to central axis YZ and intersects with first ringed conduit828within third separator712. From first ringed conduit828, the pressurized air can pass through internal middle bore826and into second barrel cavity808. Second ringed conduit830provides an additional example of a pneumatic connection or pathway made from a radial position of first outer bore720and second outer bore722circumferentially around head-end interface700(i.e., in the X-Z plane). The various ringed conduits may be made as grooves within longitudinal sides of first metallic contact704or second metallic contact706or within first separator708, second separator710, or third separator712. Moreover, additional bores connecting from one or more of the ringed conduits may be present within head-end interface700to provide other paths for pressurized air to enter any one of first barrel cavity804, second barrel cavity808, or third barrel cavity810. In addition, although discussed in terms of pneumatic control, one or more of center bore714, first middle bore716, second middle bore718, first outer bore720, or second outer bore722could be used to facilitate the passage of signals into conductor rod106. For instance, conductor rod106could contain electrical sensors or controls, such as for monitoring its position, temperature, or movement, and signals relating to those activities may be passed through interface end702via the one or more bores. The signals could be passed optically using line-of-sight arrangements, such as through center bore714, first barrel cavity804, and central arm cavity814, or they could be passed through wires, optical fibers, or other media. Additional orifices within interface end702and through head-end interface700could be added to facilitate the passage of electrical or optical signals as desired without departing from the principles discussed. Therefore, the example head-end interface700inFIGS.7and8provides a structure configured to enable work machine100to have an electrical connection radially with conductor rod106and a pneumatic connection axially. The electrical connection can provide sufficient power to operate electric engine102, while the pneumatic connection can deliver pressurized air to selective cavities within conductor rod106to cause at least axial movement of arm110with respect to barrel109. Moreover, head-end interface700provides a configuration sufficient, if desired for the implementation, for conductor rod106to rotate about its longitudinal axis while maintaining electrical connection between head-end interface700and work machine100. Turning from the structure of work machine100, conductor rod106, and head-end interface700as illustrated inFIGS.7and8to a method900for powering a work machine from a moveable conductive rod. As shown inFIG.9, at step902at least a proximal end of a rod of concentrically arranged tubular conductors is secured to a work machine. As discussed in detail above, work machine100, such as a hauling truck at a mining site, can include conductor rod106with a plurality of conductive tubes, typically made of an aluminum alloy, arranged concentrically around a longitudinal axis. Near a head122of conductor rod106proximal to work machine100, head-end interface700is integrated into conduction rod106, as reflected inFIGS.1,7, and8. Conductor rod106can be mounted to work machine100in any convenient fashion depending on the implementation, including securing the conductor rod to work machine100in some situations to be stationary and in other situations to be rotational about its longitudinal axis. Further, in a step904, an electrical connection is established with two or more ringed contacts positioned around the circumference of a head-end interface on the conductor rod. As implemented in the example ofFIG.7, head-end interface700includes first metallic contact704and second metallic contact706around the outside of conductor rod106. According to step904, connection is made between one or both of first metallic contact704and second metallic contact706and compatible contacts within work machine100at the radial sides of head-end interface700. In step906, a pneumatic connection is established with two or more bores positioned at a longitudinal end of the head-end interface. The two or more bores may include center bore714, first middle bore716, second middle bore718, first outer bore720, or second outer bore722, for example. The connection with these bores, in the illustrated examples, provides passage for pressurized air into cavities within conductor rod106for providing forces to move or position arm110with respect to barrel109. In subsequent steps, the work machine is powered with electricity, and the conductor rod is power with pressurized air. Specifically, in step906, a distal end of the rod is connected to a power rail providing electrical power. As shown inFIG.1, the connection between contactor118and power rails108provides access for work machine100to electrical power present on power rails108. In step910, the electrical power is delivered through the two or more ringed contacts on the conductor rod to the electrical connection. As shown in part inFIG.8, concentric conductor tubes convey the electrical power from power rail108through arm110and barrel109to the series of annular or disk-shaped terminals, first metallic contact704and second metallic contact706. From those contacts, the electrical power may pass through a connection into work machine100. In a step912, pressurized air is delivered from the work machine through the pneumatic connection to the two or more bores. In some examples, the delivery of pressurized air through interface end702and into head-end interface700provides a means under a pneumatic control system to manipulate the position of at least arm110. Accordingly, head-end interface700can provide an interface that enables radial attachment for electrical power and axial attachment for pneumatic power between conductor rod106and work machine100, enabling the efficient powering of work machine100and conductor rod106including the flexibility to permit rotation of conductor rod106about its longitudinal axis as desired. Those of ordinary skill in the field will also appreciate that the principles of this disclosure are not limited to the specific examples discussed or illustrated in the figures. For example, while conductor rod106forFIGS.7and8are illustrated with two conductors, three or more conductors may be employed following the principles explained in the present disclosure. In addition, the principles disclosed are not limited to implementation on a work machine. Any moving vehicle deriving electrical power from a ground-based conductor rail could benefit from the examples and techniques disclosed and claimed. INDUSTRIAL APPLICABILITY The present disclosure provides a system for a moving machine having a conductor rod configured to convey multiple poles of electrical energy from an energized rail to the moving machine, where the conductor rod has tubular conductors successively arranged concentrically around a longitudinal axis. As noted above with respect toFIGS.4and5, a multi-tier head-end interface can be used to provide some technical benefits. For example, having tiers410-416provide for the ability to connect electrical connectors onto head-end interface400while maximizing the distance between adjacent threaded members. For example, threaded member408A and406A are proximate to each other radially along the X axis. If on the same plane along the X axis, threaded member408A may be in a proximate distance that may make connecting electrical connector434onto threaded member408A difficult because of the close contact between threaded member408A and threaded member406A. Further, if threaded member408A and406A are proximate to each other radially along the X axis, the close proximate distance may increase a probability of a short between threaded member408A and406A. For example, if on the same tier (or plane), electrical connector434may be in close proximity to electrical connector436affixed to threaded member406A. Because threaded members408A and406A may each be carrying electrical current from a power source, the close proximity may increase the probably of an electrical short, potentially causing safety issues and equipment damage. Electrical connectors434and436provide electrical power received from threaded members408A and406A, respectively, to load437. The use of tiers710-716provides a degree of separation from proximate threaded members along the Z axis. Although threaded members408A and406A may be in close proximity along the X axis, threaded member408A is separated from threaded member406A along the Z axis by height C. The increased distance can reduce the effort to connect electrical connector434onto threaded member408A because of the distance C between threaded member408A and threaded member406A. Further, because of the distance C between threaded member408A and threaded member406A, the probability of an electrical short between threaded members408A and406A may be reduced. Thus, the use of a multi-tiered head-end interface400provides distance between adjacent threaded members without requiring an increase of a diameter of the base, tier416. Unless explicitly excluded, the use of the singular to describe a component, structure, or operation does not exclude the use of plural such components, structures, or operations or their equivalents. As used herein, the word “or” refers to any possible permutation of a set of items. For example, the phrase “A, B, or C” refers to at least one of A, B, C, or any combination thereof, such as any of: A; B; C; A and B; A and C; B and C; A, B, and C; or multiple of any item such as A and A; B, B, and C; A, A, B, C, and C; etc. While aspects of the present disclosure have been particularly shown and described with reference to the embodiments above, it will be understood by those skilled in the art that various additional embodiments may be contemplated by the modification of the disclosed machines, systems and methods without departing from the spirit and scope of what is disclosed. Such embodiments should be understood to fall within the scope of the present disclosure as determined based upon the claims and any equivalents thereof. | 77,034 |
11859371 | In the figures, some embodiments are shown simplified for the sake of clarity. Similar parts are marked with the same reference numbers in the figures. DETAILED DESCRIPTION FIG.1is a schematic view of a bucket system comprising a bucket and wear parts attached therein. Buckets2comprises typically plurality of wear parts1. In the embodiment shown inFIG.1, there are six wear parts1attached to the bucket2. As shown, the wear parts1may be arranged side by side so that a continuous lip or shroud of wear parts is constituted. In another embodiment, there are tooth arranged at the front edge of the bucket2, and the wear parts1are arranged therebetween. All the wear parts1of a bucket2may have same shape and dimensions. Alternatively, there are differently shaped and/or dimensioned wear parts1. In the embodiment shown inFIG.1, forward edges3of the wear parts constitutes a V-shaped design (seen from above). Alternatively, the shape may be straight, curved or any combinations of said shapes. FIG.2ais a schematic side view of a detail of another bucket system and method for attaching the wear part to the bucket in partial cross-section,FIG.2bis a schematic side view of the bucket system and method shown inFIG.2a, andFIG.2cis a schematic top view of the wear part shown inFIG.2a. The wear part1comprises a forward edge3for intruding in a material to be handled by the bucket. The wear part1may comprise at least one pocket31that reduces the weight of the wear part1. Furthermore, the wear part1comprises an engagement portion4for engaging with an engagement edge5of the bucket2. The engagement portion4comprises a concave cross section14that consist of contact points15arranged on a periphery of a circular arch. In the embodiment shown in Figures, the engagement portion4has a cross section that has a shape of a circular arch in all the length of the engagement portion4. In another embodiment, not all the length has a shape of a circular arch, nonetheless the engagement portion4comprises a cross section that has a shape of a circular arch. According to an idea, 30%-100% of the length of the engagement portion4has a shape of a circular arch. In an embodiment, the engagement portion4comprises e.g. a wear indicator the shape of which does not follow a shape of circular arch. In still another embodiment, the cross sectional shape of the engagement portion4is not a circular arch, but it consists of contact points15arranged on a periphery of a circular arch. According to an idea, the engagement portion4comprises ridges the apexes of which are arranged on a periphery of (an imaginary) circular arch. The circular arch has an angle A in its centre point. In the shown embodiment, angle A is about 180°, but the angle may, of course vary. The wear part1comprises a lever element6extending from a side of the engagement portion4(that is an underside of the wear part1in the shown embodiment) in a direction backwards B from the forward edge3. In the embodiment shown in Figures, the shape of the lever element6tapers from the engagement portion4towards the fastening unit7. However, the shape of the lever element6may vary. In a distal end8of the lever element6there is arranged a fastening unit7that comprises a raised surface12. The raised surface12creates a protrusion extending from the surface of the lever6that is on the same side as the engagement portion4(that is an upper side in the shown embodiment). Furthermore, the fastening unit7comprises a wear part hole10for receiving a fastening element11that fastens the wear part1to the bucket2. In another embodiment, there may be more than one wear part hole10, e.g. two or three. The wear part hole10extends from the raised surface12to an opposite surface13of the lever element6. In the shown embodiment the wear part hole10ends in a recess26in said opposite surface13. The recess may give protection for the fastening element11, especially if a nut is used in the fastening element. According to an aspect of the invention, the fastening unit7comprises a wedge surface9arranged on the side of the lever element6being on the same side as the engagement portion4(that is an upper side in the shown embodiment). The wedge surface9extends in the direction backwards B, and ends to the raised surface12. In an embodiment, the wedge surface9has an angle C of 95° to 120°, preferably 98° to 105°, in relation to the lever element6. The bucket system further comprises a bucket2. The bucket2has attachment means in order to attaching the bucket2in a bucket machine (not shown). The bucket2comprises an attachment system16for attaching one or more wear parts1to the bucket2. The attachment system16receives an engagement portion4of the wear part. The attachment system16comprises an engagement edge5arranged to extend along a front edge19of a front plate17of the bucket. The front edge19may be straight through all its length, or it may have at least one discontinuity where the direction of the front edge19changes. Thus the front edge19may have (seen from above) e.g. V-shape (spade), or combination of straight and spade designs. Furthermore, the front edge19may be a part of bucket body, or it may be arranged in a front edge plate that is attached to the bucket body by e.g. welding. The engagement edge5comprises a convex cross section20that consist of contact points21arranged on a periphery of a circular arch. In the embodiment shown in Figures, the engagement edge5has a cross section that has a shape of a circular arch in all the length of the engagement portion4. In the shown embodiment, the engagement edge5has been made of a round metal bar. In another embodiment, not all the length has a shape of a circular arch, According to an idea, at least 30% of the length of the engagement edge5has a shape of a circular arch. In still another embodiment, the cross sectional shape of the engagement portion4is not a circular arch, but it consists of contact points15arranged on a periphery of a circular arch. According to an idea, the engagement portion4comprises ridges the apexes of which are arranged on a periphery of (an imaginary) circular arch. The circular arch has an angle E in its centre point. In the shown embodiment, angle E is about 230°, but the angle may, of course vary. The attachment system16further comprises a backwardly directed bucket threshold22arranged on a first side23of the front plate (an underside thereof in the shown embodiment) and a distance from the front edge19, and a plate hole24that is arranged behind the bucket threshold22in relation to the engagement edge5. The bucket threshold22has an angle 95° to 110°, preferably 98° to 108°, in relation to the front plate17. In the embodiment shown in Figures, the bucket threshold22constitutes a recess26on said first side23together with a counter threshold33. However, in some another embodiments, there is no counter threshold33. In an embodiment, the recess26is continuous along the width of the bucket2. In another embodiment, there are plurality of separate recesses26arranged parallel in the bucket2. The plate hole24receives the fastening element11already disclosed in this description. The plate hole24extends from the first side23to the second side25of the plate. In an embodiment, the plate hole24has an elongated shape for giving some tolerance for fitting the plate hole24to the wear part hole10. It is to be noted, that the plate hole24may have another shape, too. According to an aspect of the invention, the bucket system is dimensioned so that a first distance D1(measured as shown inFIG.2b) from the backwardly directed bucket threshold22to the uttermost point of the engagement edge5is dimensioned in relation to a length LL (measured as shown inFIG.2a) of the lever element6from the raised surface12to the bottom of the engagement portion4such that, when the wear part1is attached to the bucket2, a spanning force F effecting in the wear part1to a direction backwards B from the engagement edge5will occur. In other words, the mounting bolt11pulls the wedge surface9towards the first side23of front plate and along the bucket threshold22such that the engagement portion4presses firmly against the engagement edge5. According to an aspect, the radius of the wear part arch and the bucket arch, or an imaginary arch thereof, shall be at least essentially equal. In an embodiment, said radiuses are equal. In another embodiment, the wear part arch is slightly smaller than that of the bucket arch, say e.g. 0.03 mm, in height direction (perpendicular to the front plate17) of the radius. According to an aspect, the wear part1is attached to the bucket2as follows:1. The engagement portion4is fitted around the engagement edge5of the bucket2. This step is facilitated if the angle E is at least equal to the angle A, but preferably more. In the shown embodiment, the angle E is about 230°, and the angle A about 180°.2. The lever element6is turned against the first side23of the front plate17.3. The rotation is finalized by arranging the fastening unit7of the wear part1back of the bucket threshold22of the bucket2.4. The wear part1is fastening to the bucket2by tightening the fastening element11in the wear part hole10and the plate hole24. As a result, a spanning force F is created, said force F effecting in the wear part1to the direction backwards B from the engagement edge5. The wear part1, the bucket2and the bucket system has several advantages. Firstly, the wedges9and22are introduced for carrying forces, and the fastening element11is affected only by a pulling force. Secondly, the engagement portion4and the engagement edge5with their roundish shape distribute the force very evenly. FIG.3ais a schematic side view of third bucket system and method for attaching the wear part to the bucket in partial cross-section, andFIG.3bis a schematic side view of the bucket system and method shown inFIG.3a. In this embodiment, the wear part1comprises a wedge piece27attached movably next to the raised surface12. In an embodiment, the wedge piece27is attached by an elastic attaching element34to the wear part1. The wedge piece27comprises a counter-wedge surface28that is at least essentially parallel with and arrangeable against the wedge surface9. The wedge piece27comprises further a contact surface29for receiving a tightening element30. Said tightening element30is used for tightening the counter-wedge surface28against the wedge surface9. The tightening element30is adapted in a tightening hole that is arranged in an area between the fastening element11and the engagement edge5, and extends through the front plate17. The wear part1is fastening to the bucket2by first tightening the fastening element11in the wear part hole10and the plate hole24, and then tightening the tightening element30against the wedge piece27. As a result, the wedge piece27is pushed against the wedge surface9and a spanning force F is created. According to an idea, the bucket threshold22has an angle G that is at least about 60° in relation to the front plate17. In the embodiment shown inFIGS.3a,3b, the angle α is 90°. FIG.4ais a schematic side view of fourth bucket system and method for attaching the wear part to the bucket in partial cross-section, andFIG.4bis a schematic side view of the bucket system and method shown inFIG.4a. In an embodiment, the wear part1comprises a spring element18that is adapted in the surface of the lever element6, on the same side as the engagement portion4, and arranged against a the raised surface12(or a side thereof). The spring element18may be attached to the wear part1by e.g. glue or by some other attachment means that allows the spring element18extend along the lever element6. The spring element18comprises a contact surface29for receiving a tightening element30that is used for tightening the spring element18between the raised surface12and the bucket threshold22. Following said tightening, a force effecting the wear part1in direction backwards B from the engagement portion4will occur. The tightening element30may extend through the front plate17in an area between the fastening element11and the engagement edge5. FIG.5is a schematic side view of fifth bucket system in partial cross-section. According to an aspect, the engagement edge5is construed form a bar35, e.g. a round bar, welded to the front plate17. In an embodiment, the diameter of the bar is about same as or smaller than the thickness of the front plate17, or even smaller than said thickness. In another embodiment, such as shown inFIG.5, the diameter of the bar35is essentially more than the thickness of the front plate17. The bar35may be hollow as shown inFIG.5, or solid. FIG.6is a schematic side view of sixth bucket system in partial cross-section, showing an embodiment wherein the first side23of the front plate is the upper side thereof, and the lever element6with the raised surface12is arranged, correspondingly, on upper side of the engagement portion4. The structure is thus reversed to those shown in the previous Figures. This embodiment may be advantageous in operations where the bucket2and the wear part1are susceptible for wearing mainly on upper-side of the front plate17. FIG.7is a schematic side view of seventh bucket system in partial cross-section. According to an aspect, a leveler32is arranged in or integrated to the wear part1. In an embodiment, the lever32is an extension of the back edge of the lever element6. The lever32may be used for levelling e.g. ground as the bucket is moved in backwards direction B. The invention is not limited solely to the embodiments described above, but instead many variations are possible within the scope of the inventive concept defined by the claims below. Within the scope of the inventive concept the attributes of different embodiments and applications can be used in conjunction with or replace the attributes of another embodiment or application. The drawings and the related description are only intended to illustrate the idea of the invention. The invention may vary in detail within the scope of the inventive idea defined in the following claims. REFERENCE SYMBOLS 1wear part2bucket3forward edge4engagement portion5engagement edge6lever element7fastening unit8distal end9wedge surface10wear part hole11fastening element12raised surface of fastening unit13opposite surface of fastening unit14cross section of engagement portion15contact point of engagement portion16attachment system17front plate18spring element19front edge20cross section of engagement edge21contact point of engagement edge22bucket threshold23first side of front plate24plate hole25second side of front plate26recess in bottom surface27wedge piece28counter-wedge surface29contact surface30tightening element31pocket32leveler33counter threshold34attaching element35barA angle of wear part archB backwards directionC angle of wedge surfaceD1first distanceE angle of bucket archF spanning forceG angle of bucket thresholdLL length of lever element | 15,021 |
11859372 | For simplicity and clarity of illustration, the drawing figures illustrate the general manner of construction, and descriptions and details of well-known features and techniques can be omitted to avoid unnecessarily obscuring the invention. Additionally, elements in the drawing figures are not necessarily drawn to scale. For example, the dimensions of some of the elements in the figures can be exaggerated relative to other elements to help improve understanding of embodiments of the present invention. Identical reference numbers do not necessarily indicate an identical structure. The terms “first,” “second,” “third,” “fourth,” and the like in the description and in the claims, if any, are used for distinguishing between similar elements and not necessarily for describing a particular sequential or chronological order. It is to be understood that the terms so used are interchangeable under appropriate circumstances such that the embodiments described herein are, for example, capable of operation in sequences other than those illustrated or otherwise described herein. Furthermore, the terms “include,” and “have,” and any variations thereof, are intended to cover a non-exclusive inclusion, such that a process, method, system, article, device, or apparatus that comprises a list of elements is not necessarily limited to those elements, but can include other elements not expressly listed or inherent to such process, method, system, article, device, or apparatus. The terms “left,” “right,” “front,” “back,” “top,” “bottom,” “over,” “under,” and the like in the description and in the claims, if any, are used for descriptive purposes and not necessarily for describing permanent relative positions. It is to be understood that the terms so used are interchangeable under appropriate circumstances such that the embodiments of the invention described herein are, for example, capable of operation in other orientations than those illustrated or otherwise described herein. The terms “couple,” “coupled,” “couples,” “coupling,” and the like should be broadly understood and refer to connecting two or more elements or signals, electrically, mechanically and/or otherwise. Two or more electrical elements can be electrically coupled together, but not be mechanically or otherwise coupled together; two or more mechanical elements can be mechanically coupled together, but not be electrically or otherwise coupled together; two or more electrical elements can be mechanically coupled together, but not be electrically or otherwise coupled together. Coupling can be for any length of time, e.g., permanent or semi-permanent or only for an instant. “Electrical coupling” and the like should be broadly understood and include coupling involving any electrical signal, whether a power signal, a data signal, and/or other types or combinations of electrical signals. “Mechanical coupling” and the like should be broadly understood and include mechanical coupling of all types. The absence of the word “removably,” “removable,” and the like near the word “coupled,” and the like does not mean that the coupling, etc. in question is or is not removable. As defined herein, “approximately” can, in some embodiments, mean within plus or minus ten percent of the stated value. In other embodiments, “approximately” can mean within plus or minus five percent of the stated value. In further embodiments, “approximately” can mean within plus or minus three percent of the stated value. In yet other embodiments, “approximately” can mean within plus or minus one percent of the stated value. DETAILED DESCRIPTION In accordance with certain embodiments, a system is disclosed for extracting water from ambient air, the system comprising: a regeneration fluid pathway configured to receive a regeneration fluid; a thermal unit configured to receive the regeneration fluid from the regeneration fluid pathway and to heat the regeneration fluid to a first temperature when the regeneration fluid is received in the thermal unit; and a first continuous desiccant unit comprising: an adsorption zone configured to receive the ambient air, the ambient air being at an ambient temperature; and a desorption zone configured to receive the regeneration fluid from the regeneration fluid pathway. The system further includes a first batch desiccant unit comprising: a regeneration inlet configured to receive at least a first portion of the regeneration fluid from the regeneration fluid pathway at a second temperature and during a first release time, the second temperature being lower than the first temperature; and a batch desiccant housing defining a batch desiccant volume, the batch desiccant housing comprising: a batch desiccant inlet configured to input the ambient air to the batch desiccant volume during a first load time; a batch desiccant outlet configured to output a batch output fluid from the batch desiccant volume to a batch output fluid conduit during the first release time; and a batch desiccant material retained within the batch desiccant volume. The system further comprises a first condenser unit configured to produce liquid water from the regeneration fluid, wherein the system is configured to maximize a water production rate of the first condenser unit based on an amount of heat carried by the regeneration fluid in the regeneration pathway. In accordance with certain embodiments, a system is disclosed that is operable to extract water from ambient air. The system comprises: a regeneration fluid pathway configured to receive a regeneration fluid; a thermal unit configured to receive the regeneration fluid from the regeneration fluid pathway and to heat the regeneration fluid when the regeneration fluid is received in the thermal unit; and a continuous desiccant unit comprising: an adsorption zone configured to receive the ambient air, the ambient air being at an ambient temperature; and a desorption zone configured to receive the regeneration fluid from the regeneration fluid pathway. The system further comprises multiple batch desiccant units, wherein each of the multiple batch desiccant units includes: a regeneration inlet configured to receive at least a portion of the regeneration fluid from the regeneration fluid pathway during a batch release time; and a batch desiccant housing defining a batch desiccant volume, the batch desiccant housing comprising: a batch desiccant inlet configured to input the ambient air to the batch desiccant volume during a batch load time; a batch desiccant outlet configured to output a batch output fluid from the batch desiccant volume to a batch output fluid conduit during the batch release time; and a batch desiccant material retained within the batch desiccant volume. The system further comprises a first condenser unit configured to produce liquid water from the regeneration fluid and the batch output fluid, wherein: the system is configured to maximize a water production rate of the first condenser unit by varying the batch load time and batch release time of the multiple batch desiccant units. In accordance with certain embodiments, a method is disclosed for extracting water from ambient air comprising: heating, by a thermal unit, a regeneration fluid; moving a zone of a continuous desiccant unit between the ambient air and the regeneration fluid; inputting the ambient air to a first batch desiccant unit during a first batch load time; inputting at least a first portion of the regeneration fluid to the first batch desiccant unit during a first batch release time; outputting a first batch output fluid from the first batch desiccant unit to a first batch output fluid conduit during the first batch release time; condensing, by at least one condenser unit, water vapor from the regeneration fluid and the first batch output fluid conduit to produce liquid water from the regeneration fluid; and maximizing a liquid water production rate of the at least one condenser unit. Producing liquid water by extracting water vapor from ambient air can be challenging in terms of maximizing water production rate and/or efficiency at a low cost and high reliability. As a result, there exists a need for improved systems and methods to produce liquid water by extracting water vapor extracted from ambient air. In particular, the thermal performance including the thermal coefficient of performance (COP) of desiccant-based water from air systems and methods can be improved by integrating the complementary thermodynamics of continuous desiccant units (e.g. rotary desiccants) and batch desiccant units. As described in more detail below, improved systems and methods for maximizing liquid water production from desiccant-based systems can be implemented by making use of low grade thermal energy for highly efficient water production. At any one operating point in a diurnal or thermal cycle, the highest grade heat for regenerating desiccant material can be utilized to produce a maximum amount of liquid water when extracting water vapor from ambient air. FIG.1depicts a system100to extract water from air, according to an embodiment. In many embodiments, system100can comprise a water generation system or a water extraction system. In some embodiments, system100can be configured to function responsively to one or more diurnal variations (e.g., variations in ambient air temperature, variations in ambient air relative humidity, variations in solar insolation, and/or the like). For example, as described in more detail below, system100can be configured to control one or more operational parameters (e.g., control and/or controlled variables) based on one or more diurnal variations. In many embodiments, system100can comprise a continuous desiccant unit110. Continuous desiccant unit110can comprise a desiccant118. In some embodiments, desiccant118can comprise a sorption medium. In some embodiments, part or all of desiccant118can be selectively (e.g., and/or alternatively) movable between an adsorption zone112, in which desiccant118is in fluid communication with a process air pathway126(e.g., a process airflow path for inputting ambient air at126aand outputting ambient air at126b) and a desorption zone114, in which desiccant118is in fluid communication with a regeneration fluid in a regeneration fluid pathway134. In some embodiments, regeneration fluid pathway134can comprise one or more conduits forming a closed-loop, such as, for example, with one or more other elements of system100. For example, regeneration fluid pathway134can provide closed-loop flow of the regeneration fluid. In some embodiments, desiccant unit110can comprise a desiccant unit housing115. Further, desiccant unit housing115can define adsorption zone112and desorption zone114. Continuous desiccant unit110can operate in a continuous, or non-batch, fashion, such that continuous desiccant unit110is configured to absorb water and desorb water substantially simultaneously or simultaneously. For example, system100can be configured such that a first portion of desiccant118can be disposed within adsorption zone112(e.g., such that the first portion of desiccant118can capture water from process air in process air pathway126) and a second portion of desiccant118can be disposed (e.g., simultaneously disposed) within desorption zone114(e.g., such that the second portion can desorb water into regeneration fluid in regeneration fluid pathway134). In some embodiments, exemplary regeneration fluids can include, but are not limited to, air (e.g., including any suitable amount of water vapor), super-saturated or high relative humidity gas (e.g., 90-100% relative humidity) and/or the like. Continuous desiccant unit110can comprise a rotatable desiccant disk111. In some embodiments, desiccant118can be disposed on rotatable desiccant disk111. Further, part or all of desiccant118can be configured to move between adsorption zone112and desorption zone114as rotatable desiccant disk111is rotated. For example, a first portion of desiccant118can be in communication with process air pathway126(e.g., at adsorpotion zone112), and a second portion of desiccant118can be in communication with regeneration fluid pathway134(e.g., at desorption zone114). System100can comprise an actuator116configured to cause rotation of rotatable desiccant disk111. For example, actuator116can comprise an electric motor. Further system100can comprise a controller150. Controller150can be configured to optimize liquid water production of system100at least by controlling movement (e.g., through control of actuator116) of desiccant118(e.g., on rotatable desiccant disk111) between adsorption zone112and desorption zone114. In other embodiments, actuator116can rotate one or more portions of continuous desiccant unit110(e.g. rotatable desiccant disk111) at a predetermined rotation rate. In some embodiments, controller150can be similar or identical to computer system1200(FIG.12). In some embodiments, desiccant118can be capable of quickly desorbing water back into low relative humidity air (e.g., to regenerate desiccant118). For example, in some embodiments, desiccant118can comprise a hygroscopic material. Therefore, in some embodiments, a performance of desiccant118can be driven by an ability to quickly cycle through an absorption state and a desorption state. System100further can comprise a batch desiccant unit160. Batch desiccant unit160can comprise a batch desiccant housing162defining a batch desiccant volume164. Batch desiccant unit160can comprise a desiccant168. Desiccant168can comprise a sorption medium. In some embodiments, desiccant168can be referred to as a batch or bulk desiccant material. In many embodiments, desiccant168can be retained within the batch desiccant volume164. For example, desiccant particles can be packed in batch desiccant volume164to maximize a surface area for interaction with air or other fluid. In some embodiments, the desiccant particles can be agglomerated via a binder. In some embodiments, the desiccant particles can be dyed black (e.g., to improve absorption of thermal radiation). In some embodiments, the desiccant particles can be mixed and/or combined with thermal radiation absorbing materials. Batch desiccant housing162can comprise a batch desiccant inlet172. Batch desiccant inlet172can input ambient air to batch desiccant volume164(e.g. process air from a process air pathway173). As described in more detail below, batch desiccant inlet172can input ambient air to the batch desiccant volume164during a batch load time. For example, batch desiccant inlet172can comprise one or more flow management devices (e.g., a valve) configured such that ambient air enters batch desiccant volume164during a batch load time and is sealed or otherwise closed at times other than the batch load time. In some embodiments, the flow management device(s) can be controlled by controller150. InFIG.1, process air pathway126and process air pathway173are depicted separately, however in other embodiments, one or more continuous desiccant units and one or more batch desiccant units can share process air pathway126or process air pathway173. In some of these embodiments, one of process air pathway126and process air pathway173can be omitted. Batch desiccant housing162further can comprise a batch desiccant outlet174for outputting a batch output fluid comprising water vapor from batch desiccant volume164to a batch output conduit178. As described in more detail below, the batch desiccant outlet174can be configured to output batch output fluid from the batch desiccant volume164to batch output conduit178during a batch release time. For example, batch desiccant outlet174can comprise one or more flow management devices (e.g., a valve) configured such that batch output fluid leaves or evacuates from batch desiccant volume164during a batch release time and is sealed or otherwise closed at times other than the batch release time. In some embodiments, the flow management device(s) can be controlled by controller150. Batch desiccant unit160can comprise a regeneration inlet161configured to input at least a portion of the regeneration fluid from the regeneration fluid pathway134to batch desiccant unit160. Batch desiccant unit160can further comprise a regeneration outlet163for outputting at least a portion of the regeneration fluid from batch desiccant unit160to the regeneration fluid pathway134. As described in more detail below, the regeneration fluid can enter batch desiccant unit160via regeneration inlet161during a batch release time. As described in more detail below, regeneration inlet161can be configured to permit at least a portion of regeneration fluid into batch desiccant unit160so as to heat batch desiccant unit160during a batch release time. Meanwhile, regeneration outlet163can be configured to permit the regeneration fluid input to batch desiccant unit160to be output to regeneration fluid pathway134during the batch release time. For example, regeneration inlet161can comprise one or more flow management devices (e.g., a valve) configured such that regeneration fluid enters batch desiccant unit160during a batch release time and is sealed or otherwise redirected at times other than the batch release time. Further, regeneration outlet163can comprise one or more flow management devices (e.g., a valve) configured such that regeneration fluid is output from batch desiccant unit160during the batch release time and is sealed or otherwise redirected at times other than the batch release time. In many embodiments, system100can be configured such that the regeneration fluid (e.g. in regeneration fluid pathway at134′) enters batch desiccant unit160at a batch inlet temperature that is lower than a temperature of the regeneration fluid at other locations along the regeneration fluid pathway134except at locations subsequent to batch desiccant unit160(e.g., in regeneration fluid pathway at134″). In these or other embodiments, the batch inlet temperature and the temperature of the regeneration fluid at other locations along regeneration fluid pathway134, including at locations subsequent to batch desiccant unit160(e.g., in regeneration fluid pathway134″), can be greater than the ambient temperature. In further embodiments, the temperature of the regeneration fluid entering regeneration inlet161can be less than 30 degrees Celsius (° C.) above the ambient temperature. In further embodiments, the regeneration fluid entering the regeneration inlet161of batch desiccant unit160can have a heat flow less than 500 Watts (W) (e.g. regeneration fluid flowing at 40 cubic feet per minute and 20 degrees Celsius above ambient temperature can translate to approximately 400 Watts carried by the regeneration fluid flowing in the regeneration fluid pathway). Desiccant118can comprise any suitable medium in any suitable configuration (e.g., such that desiccant118is capable of adsorption and desorption of water). In some embodiments, desiccant118can be capable of sorption at a first temperature and/or pressure and desorption at a second temperature and/or pressure. Suitable mediums for desiccant118can comprise liquids, solids, and/or combinations thereof. In some embodiments, desiccants or sorption mediums can comprise any suitable porous solid impregnated with hygroscopic materials. For example, desiccant118can comprise silica, silica gel, alumina, alumina gel, montmorillonite clay, zeolites, molecular sieves, activated carbon, metal oxides, lithium salts, calcium salts, potassium salts, sodium salts, magnesium salts, phosphoric salts, organic salts, metal salts, glycerin, glycols, hydrophilic polymers, polyols, polypropylene fibers, cellulosic fibers, derivatives thereof, and combinations of thereof. In some embodiments, desiccant118can be selected and/or configured to avoid sorption of certain molecules (e.g., molecules that can be dangerous or toxic when consumed by a human). In many embodiments, desiccant168can be similar or identical to desiccant118. In some embodiments, desiccant118and desiccant168can be selected to have one or more differing properties. For example, desiccant118can have a lower density than desiccant168. System100can include blowers142and/or a circulator146. For example, in this embodiment, blowers142can be disposed in process air pathway126and process air pathway173and can be configured to adjust a flow rate of ambient air through the process air pathway. In some embodiments, as shown atFIG.1, continuous desiccant unit110and batch desiccant unit160each can have a distinct process air pathway (e.g., process air pathway126and process air pathway173, respectively). However, in other embodiments, one or more continuous desiccant units and one or more batch desiccant units can share one of process air pathway126or process air pathway173. In these embodiments, one of process air pathway126and process air pathway173can be omitted. Further, in these embodiments, one of blowers142can be omitted. In some embodiments, circulator146can be disposed in regeneration fluid pathway134and can be configured to adjust a flow rate of regeneration fluid through regeneration fluid pathway134. In some embodiments, blowers142and/or circulator146can be controlled by controller150, such as, for example, to optimize liquid water production of system100. For example, controller150can control speeds of blowers142and/or circulator146. In some embodiments, controller150and blowers142can be configured to substantially maintain predetermined flow rates through process air pathway126and process air pathway173. In some embodiments, controller150and blowers142can be configured to substantially maintain a predetermined flow rate through regeneration fluid pathway134. In some embodiments, the predetermined flow rates through two or more of process air pathway126, process air pathway173, and/or regeneration fluid pathway134can be similar or the same. System100can comprise a thermal unit154configured to provide thermal energy to fluid in regeneration fluid pathway134(e.g., such that desiccant118and/or desiccant168can be regenerated or release captured water). In some embodiments, thermal unit154can be a solar thermal unit. For example, thermal unit154can be configured to convert solar insolation to thermal energy. While thermal unit154can comprise any suitable thermal unit, whether solar or otherwise, in many embodiments, thermal unit154can be implemented with a thermal unit as described in International (PCT) Application No. PCT/US2015/061921, filed Nov. 20, 2015, U.S. patent application Ser. No. 15/482,104, filed Apr. 7, 2017, and/or U.S. patent application Ser. No. 15/600,046, filed May 19, 2017, which are hereby incorporated by reference in their entirety. System100can comprise a condenser unit180configured to receive regeneration fluid via regeneration fluid pathway134and produce liquid water from the received regeneration fluid (e.g., by condensing water vapor in regeneration fluid in regeneration fluid pathway134). In some embodiments, condenser unit180can receive batch output fluid via batch output conduit178and produce liquid water from the received batch output fluid (e.g., by condensing water vapor in batch output fluid in batch output conduit178). For example, batch output fluid exiting the batch desiccant outlet174of the batch desiccant volume164can be directed to condenser unit180, such as depicted inFIG.1. As described in more detail below, batch output fluid in the batch output conduit178can enter the condenser unit180during a batch release time so as to produce liquid water from the batch output fluid via the batch output fluid conduit178. As depicted inFIG.1, system100comprises a single condenser unit180to condense both water vapor in regeneration fluid received from regeneration fluid pathway134and from continuous desiccant unit110and water vapor in batch output fluid received from batch output conduit178and from batch desiccant unit160. However, in other embodiments, a plurality of condenser units can be provided such that one or more continuous desiccant units and one or more batch desiccant units can be associated with a distinct condenser unit. For example, in some embodiments, a first condenser unit can produce liquid water from a continuous desiccant unit and a second condenser unit can produce liquid water from a batch desiccant unit. A condenser or condenser unit can comprise any suitable material and can be of any suitable configuration (e.g., to condense water vapor in regeneration fluid into liquid water and/or condense water vapor in batch output conduit into liquid water). For example, suitable condensers can comprise polymers, metals, and/or the like. Condensers can be arranged to include coils, fins, plates, tortuous passages, and/or the like. Condenser unit180can be configured to transfer thermal energy from fluid in regeneration fluid pathway134downstream of desiccant118to air in process air pathway126and/or process air pathway173upstream of desiccant118(e.g., such that air in process air pathway126and/or process air pathway173can facilitate cooling of condenser180). In some embodiments, condenser unit180can be cooled by ambient air. System100can comprise a water collection unit184configured to receive liquid water produced by condenser180. Liquid water produced by the condenser unit180can be provided to water collection unit184by way of gravity; however, in other embodiments, flow of liquid water from the condenser to the water collection unit can be assisted (e.g., by one or more pumps, any other suitable delivery mechanism, and/or the like). System100can comprise a filter (e.g., a filtration membrane), which can be positioned between condenser180and water collection unit184(e.g., to reduce an amount of impurities, such as, for example, sand, bacteria, fibrous, carbonaceous species, and/or the like, which can be present in liquid water produced by condenser180). Water collection unit184(e.g., or filter thereof) can comprise an ultraviolet (UV) light source (e.g., for disinfection of liquid water produced by condenser180). In some embodiments, suitable light sources can comprise light emitting diodes (LEDs) having, for example: wavelengths below 400 nanometers (nm) (e.g., 385 nm, 365 nm, and/or the like), wavelengths below 300 nm (e.g., 265 nm), and/or the like. Water collection unit184can comprise a receptacle configured to receive one or more additives for introduction to the produced liquid water. Such additives can be configured to dissolve slowly into liquid water stored in the water collection unit. Additives can include, but are not limited to, minerals, salts, other compounds, and/or the like. In some embodiments, additives can impart flavor to the produced liquid water. For example, additives can include potassium salts, magnesium salts, calcium salts, fluoride salts, carbonate salts, iron salts, chloride salts, silica, limestone, and/or combinations thereof. In some embodiments, system100can comprise multiple continuous desiccant units. For example, system100can comprise a continuous desiccant unit120. Continuous desiccant unit120can be similar or identical to continuous desiccant unit110. For example, continuous desiccant unit120can comprise an adsorption zone122in fluid communication with regeneration fluid in the regeneration fluid pathway134exiting condenser unit180. Continuous desiccant unit120further can comprise a desorption zone124in fluid communication with the regeneration fluid in regeneration fluid pathway134exiting desorption zone114of continuous desiccant unit110. In some embodiments, at least a portion of the regeneration fluid exiting desorption124zone of continuous desiccant unit120can be directed to batch desiccant unit160during a batch release time. For example, at least a portion of the regeneration fluid in regeneration fluid pathway114exiting continuous desiccant unit120can be directed to regeneration inlet161of batch desiccant unit160. In other embodiments, such as, for example, when continuous desiccant unit120is omitted, at least a portion of the regeneration fluid in regeneration fluid pathway134exiting condenser unit180can be directed to regeneration inlet161of batch desiccant unit160. System100can comprise controller150configured to control production rate of liquid water from air based on one or more operational parameters for water production. Controller150can control exposure of desiccant118(or a portion thereof) to air in process air pathway126and regeneration fluid in regeneration fluid pathway134(e.g., to increase, maximize and/or optimize the liquid water ultimately produced by system100), and such control can vary over a diurnal cycle (e.g., in response to diurnal variations). Furthermore, controller150can control exposure of desiccant168to air in process air pathway173via batch desiccant inlet172during a batch load time and can control heating of batch desiccant unit160by regeneration fluid in regeneration fluid pathway134during a batch release time (e.g., to increase and/or optimize the liquid water ultimately produced), and such control can vary over a diurnal cycle (e.g., in response to diurnal variations). Such variations in environmental conditions (e.g., inputs into controller150) can include, for example, ambient air temperature, ambient air relative humidity, and solar insolation. System100can comprise a solar power unit156configured to provide power to at least a portion of system100(e.g., blowers142, circulator146, actuator116, and/or the like). Solar power unit156can be configured to convert solar insolation to electrical power (e.g., solar power unit156comprises a solar panel). For example, solar power unit156can be provided as a photovoltaic solar panel comprising semiconducting materials exhibiting a photovoltaic effect. In these and similar embodiments, controller150can be configured to control system100in response to diurnal variations in solar insolation (e.g., an amount of electrical power generated by solar power unit156). System100can comprise a telematics unit158(e.g., a transmitter, receiver, transponder, transverter, repeater, transceiver, and/or the like). For example, telematics unit158can be configured to communicate operational parameters and/or data to and/or from system100(e.g., controller150) via a wired and/or wireless interface. In on example, wireless communications can conform to standardized communications protocols, such as, for example, global system for mobile communications (GSM), short message service (SMS) components operating at relatively low rates (e.g., operating every few minutes), protocols that can be geographically specified, and/or the like). Inputs to controller150can include, for example, an amount of thermal energy generated by thermal unit154, an amount of thermal energy of fluid in the regeneration fluid pathway134(e.g. at one or more locations along the regeneration fluid pathway134), a relative humidity of air in process air pathway126and/or process air pathway173, a relative humidity of fluid in regeneration fluid pathway134and/or batch output conduit178, a temperature of fluid in regeneration fluid pathway134between continuous desiccant unit110and thermal unit154, a temperature of fluid in regeneration fluid pathway134″ between batch desiccant unit160and thermal unit154, a temperature of batch output fluid in batch output conduit178between batch desiccant unit160and condenser unit180, a rate of water production, an amount of water produced, an amount of heat carried by the regeneration fluid in the regeneration pathway (e.g. at one or more locations along the regeneration fluid pathway134), and/or the like. Controller150can be configured to optimize liquid water production by controlling a rate of movement of desiccant118between adsorption zone112and desorption zone114, controlling speeds of blowers142and/or circulator146, controlling exposure of desiccant168to ambient air during a batch load time, controlling heating of batch desiccant168during a batch release time, controlling evacuation of batch output fluid from batch desiccant volume164to batch output conduit178during a batch release time, and/or the like, based, on measurements of one or more of such inputs (e.g., such that controller150can optimize liquid water production based on current or expected environmental and system conditions). Controller150can be configured to control one or more of blowers142, circulator146, actuator116, batch desiccant inlet172, batch desiccant outlet174and/or the like (e.g., to optimize liquid water production, where such control can be in response to diurnal variations, for example, in ambient temperature, ambient air relative humidity, solar insolation, and/or the like). For example, controller150can be configured to increase a rate of liquid water production by controlling blower142, circulator146, actuator116, batch desiccant inlet172, batch desiccant outlet174and/or the like, taking into account, for example, diurnal variations. Such variations can change the amount of thermal energy generated by thermal unit154, the amount of thermal energy or heat present in regeneration fluid pathway134, the level of electrical power provided by solar power unit156, the level of humidity in process or ambient air entering the system, and/or the like. In some embodiments, ambient conditions can be measured in real-time or can be forecast based on, for example, historical averages and/or the like. In embodiments in which controller150receives real-time measurements, various sensors (described in more detail below) can provide data indicative of ambient conditions to controller150(e.g., continuously, periodically, when requested by controller150, and/or the like). System100can comprise indicators (e.g., lights, such as, for example, LEDs), which can be configured to provide information regarding operation of system100. For example, in some embodiments, indicator lights can be configured to provide information (e.g., visually, for example, to a user of system100) that system100is running, that solar power or insolation is available, that an air filter (e.g., within process air pathway126and/or process air pathway173) needs to be changed, that water collection unit184is full and/or contains a predetermined volume of liquid water (e.g., 20 liters), that one or more of actuator116, blowers142, circulator146, and/or the like has failed and/or is failing, that telematics errors (e.g., as indicated by telematics unit158operation) have and/or are occurring, and/or the like. Any desirable information (including the information described above with reference to indicators) can be transmitted over a communications network (e.g., alone and/or in addition to operation of any indicators). Controller150can operate system100based on one or more of: a user selection, data received from one or more sensors, programmatic control, and/or by any other desirable bases. For example, controller150can be associated with peripheral devices (including sensors) for sensing data information, data collection components for storing data information, and/or communication components for communicating data information relating to the operation of system100. In some embodiments, inputs to controller150can be measured in that the inputs can be indicated in data captured by one or more sensors. Furthermore, controller150can be configured to vary a size of an adsorption zone or a desorption zone (e.g., in response to diurnal variations) of a continuous desiccant unit (e.g., continuous desiccant unit110, continuous desiccant unit120, etc.), vary the exposure of a desiccant of a batch desiccant unit (e.g., batch desiccant unit160) (e.g. via ambient air flow rate, ambient air flow location in batch desiccant volume, etc.) or a combination thereof. System100can comprise one or more peripheral devices, such as sensors136(e.g., temperature sensors, humidity sensors, solar insolation sensor, flow rate sensors, water level sensors, and/or the like). In some embodiments, one or more of sensors136can provide data indicative of ambient air temperature, ambient air relative humidity, solar insolation, process air temperature, regeneration fluid temperature, process air relative humidity, regeneration fluid relative humidity, process air flow rate, regeneration fluid flow rate, liquid water production rate, water usage rate, and/or the like. One or more of sensors136can be located remotely from other components of system100and can provide captured data to the other components of system100via a wired and/or wireless connection. For example, a town, village, city, and/or the like can include a plurality of system100, and one of the plurality of system100can provide data indicative of ambient environmental conditions (e.g., air temperature, air relative humidity, a solar insolation level, and/or the like) to another one of the plurality of system100. In this way, in some embodiments, one or more of sensors136can be shared by multiple of the plurality of system100. In some embodiments, data communicated to controller150by one or more peripheral devices (e.g., one or more of sensors136) can be stored in a data logging unit. Specific controller, telematics and sensor embodiments and functions are described in greater detail in the co-pending PCT Application No. PCT/US2015/061921, filed Nov. 20, 2015, U.S. patent application Ser. No. 15/600,046, filed May 19, 2017, and U.S. Provisional Patent Application No. 62/554,176, filed Sep. 5, 2017, which are hereby incorporated herein by reference in their entirety. System100can be modular in nature. For example, system100can be configured such that each component of system100(e.g. solar power unit156, thermal unit154, continuous desiccant unit110, continuous desiccant unit120, batch desiccant unit160, condenser unit180, water collection unit184, and/or the like) can be separated from one another, transported, assembled and/or re-assembled with one another (e.g., in a same or a different configuration), and/or the like. For example, in some embodiments, system100can be configured such that no dimension of any singular component of system100(e.g. solar power unit156, thermal unit154, continuous desiccant unit110, continuous desiccant unit120, batch desiccant unit160, condenser unit180, water collection unit184, and/or the like) is larger than six to eight feet (e.g., to facilitate transport of system100or components thereof, for example, in a single cab truck bed, such as a bed of a Toyota Hilux pickup truck) (e.g., each component has a footprint that is less than or equal to 64 square feet (ft2) and/or each component can be contained within a cubic volume less than or equal to 512 cubic feet (ft3)). Any desirable number of system100can be spread across a water management area depending on historical and/or expected ambient conditions within the water management area, building or structures within the water management area, populations within the water management area and so on. Turning ahead in the drawings,FIG.2depicts a system200to extract water from air, according to an embodiment. In some embodiments, system200can be similar or identical to system100(FIG.1). However, in some embodiments, system200can differ from system100(FIG.1) as described below. Unless otherwise specified, components shown inFIG.2assigned reference numbers having the same last two digits as components shown inFIG.1above can be similar or identical to those components shown inFIG.1. In some embodiments, system200can comprise a pump290operatively coupled to a batch desiccant volume264so as to evacuate gases contained therein and/or establish a low pressure condition in the batch desiccant volume264. For example, pump290can comprise a vacuum pump. In some embodiments, pump290can be associated with or connect to a batch output conduit278so as to establish a low pressure condition in batch output conduit278. In some embodiments, a valve at batch desiccant outlet274can be actuated or opened so as to establish a low pressure condition in batch output conduit278and, in turn, the batch desiccant volume264. As described in more detail below, in some embodiments, pump290can increase a partial pressure of water in batch desiccant volume264and/or batch output conduit278during a first release time. As a non-limiting example, gases or fluids in batch desiccant volume264and/or batch output conduit278can have a water vapor pressure greater than 0.1 atmosphere, which can be facilitated by pump290operatively coupled to batch output conduit278. Turning to the next drawing,FIG.3depicts a system300to extract water from air, according to an embodiment. In some embodiments, system300can be similar or identical to system100(FIG.1) and/or system200(FIG.2). However, in some embodiments, system200can differ from system100(FIG.1) and/or system200(FIG.2) as described below. Unless otherwise specified, components shown inFIG.3assigned reference numbers having the same last two digits as components shown inFIG.1and/orFIG.2above can be similar or identical to those components shown inFIG.1and/orFIG.2. In some embodiments, system300comprises a pump390operatively coupled to a batch desiccant volume364so as to evacuate gases contained therein and/or establish a low pressure condition in batch desiccant volume364. In some embodiments, at least a portion of batch output fluid exiting batch desiccant outlet374can be directed to regeneration fluid pathway334via batch output fluid conduit378. In some embodiments, as depicted inFIG.3, at least a portion of batch output fluid exiting batch desiccant outlet374can be directed to or mixed with regeneration fluid in regeneration fluid pathway334at a flow coupling379between conduit378and regeneration fluid pathway334(e.g. T-fitting or mixing valve, for example with adjustable flow control), for example in advance of condenser unit380. In some embodiments, at least a portion of batch desiccant output fluid in batch output fluid conduit378can be directly sent to condenser unit380(such as depicted inFIG.1andFIG.2), and at least a portion of the batch output fluid in batch output conduit378can be directed to regeneration fluid pathway334(such as depicted inFIG.3) at any one or more desirable locations (e.g. at flow coupling379) along regeneration fluid pathway334, and/or a combination thereof. In some embodiments, one or more of sensors336(e.g., temperature sensors, humidity sensors, flow rate sensors, pressure sensor, and/or the like) can be operatively coupled to batch desiccant conduit378. For example, in some embodiments, one or more of sensors336can provide data indicative of batch output fluid temperature, relative humidity, pressure, flow rate, and/or the like. Furthermore, in some embodiments, controller350can vary operating parameters of pump390in response to sensed conditions, for example sensed conditions of batch output fluid in batch output conduit376, regeneration fluid in regeneration fluid pathway334, or a combination thereof. Turning to the next drawing,FIG.4depicts a system400to extract water from air, according to an embodiment. In some embodiments, system400can be similar or identical to system100(FIG.1), system200(FIG.2), and/or system300(FIG.3). However, in some embodiments, system400can differ from system100(FIG.1), system200(FIG.2), and/or system300(FIG.3) as described below. Unless otherwise specified, components shown inFIG.4assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2, and/orFIG.3above can be similar or identical to those components shown inFIG.1,FIG.2, and/orFIG.3. In some embodiments, system400can comprise a thermal unit454configured to provide thermal energy to regeneration fluid in regeneration fluid pathway434. In some embodiments, at least a portion of a regeneration fluid exiting thermal unit454can be directed to a regeneration inlet461of a batch desiccant unit460during a batch release time. Furthermore, in some embodiments, at least a portion of regeneration fluid can exit batch desiccant unit460via a regeneration outlet463during a batch release time, such as, for example, to be directed to a desorption zone414of a continuous desiccant unit410. Turning to the next drawing,FIG.5depicts a system500to extract water from air, according to an embodiment. In some embodiments, system500can be similar or identical to system100(FIG.1), system200(FIG.2), system300(FIG.3), and/or system400(FIG.4). However, in some embodiments, system500can differ from system100(FIG.1), system200(FIG.2), system300(FIG.3), and/or system400(FIG.4) as described below. Unless otherwise specified, components shown inFIG.5assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2,FIG.3, and/orFIG.4above can be similar or identical to those components shown inFIG.1,FIG.2,FIG.3, and/orFIG.4. In some embodiments, system500can comprise a continuous desiccant unit510, a continuous desiccant unit520, and a batch desiccant unit560. In some embodiments, at least a portion of regeneration fluid exiting a desorption zone524of continuous desiccant unit510is directed to a regeneration inlet561of batch desiccant unit560, such as, for example, during a batch release time. As depicted inFIG.5, in some embodiments, batch desiccant unit560comprises a batch output conduit578configured to direct at least a portion of batch output fluid directly to condenser580. However, in other embodiments, at least a portion of batch desiccant output fluid can be directed to regeneration pathway534and/or a second condenser unit. Turning to the next drawing,FIG.6depicts a batch desiccant unit660, according to an embodiment. In some embodiments, batch desiccant unit660can be similar or identical to batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), and/or batch desiccant unit560(FIG.5). However, in some embodiments, batch desiccant unit660can differ from batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), and/or batch desiccant unit560(FIG.5) as described below. Unless otherwise specified, components shown inFIG.6assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2,FIG.3,FIG.4, and/orFIG.5above can be similar or identical to those components shown inFIG.1,FIG.2,FIG.3,FIG.4, and/orFIG.5. In some embodiments, batch desiccant unit660can comprise a batch desiccant housing662defining a batch desiccant volume664. In some embodiments, desiccant668can be retained within batch desiccant volume664. In some embodiments, batch desiccant housing662and batch desiccant volume664are depicted in a cylindrical configuration inFIG.6; however, batch desiccant housing662and batch desiccant volume664can be provided in any desirable shape or configuration, for example to maximize water uptake and/or release. In some embodiments, batch desiccant housing662can comprise one or more batch desiccant inlets672configured to input ambient air to batch desiccant volume664(e.g. via process air pathway673). In some embodiments, batch desiccant inlet(s)672can be configured to input ambient air to the batch desiccant volume664, such as, for example, during a batch load time. In some embodiments, batch desiccant housing662further can comprise one or more batch desiccant outlets674configured to output a batch output fluid to a batch output conduit178, such as, for example, during a batch release time. In some embodiments, batch desiccant unit660can comprise a regeneration inlet661configured to input at least a portion of the regeneration fluid from regeneration fluid pathway634into batch desiccant unit660. In some embodiments, batch desiccant unit660further can comprise a regeneration outlet663configured to output at least a portion of the regeneration fluid from batch desiccant unit660to regeneration fluid pathway634. In some embodiments, batch desiccant housing662can comprise a heat transfer surface665configured to transfer heat carried by the regeneration fluid in regeneration fluid pathway634to desiccant668in batch desiccant volume664, such as, for example, during a batch release time. In some embodiments, batch desiccant volume664and regeneration fluid pathway634through batch desiccant unit660can be provided as distinct volumes, conduits or chambers such that regeneration fluid in regeneration fluid pathway634is inhibited from directly interacting with desiccant668in batch desiccant volume664. Furthermore, pressure within batch desiccant volume664can be independent from pressure of regeneration fluid pathway634through batch desiccant unit660. During a batch release time, a system comprising a pump can be operatively coupled to batch desiccant volume664so as to evacuate gases contained therein and/or establish a low pressure condition in batch desiccant volume664and/or batch output conduit678. For example, the system can be similar or identical to system200(FIG.2) and/or the pump can be similar or identical to pump290(FIG.2). When a low pressure condition is present within batch desiccant volume664and/or batch output conduit678, the pressure of the regeneration fluid in regeneration fluid pathway634through batch desiccant unit660can be unaffected. Turning to the next drawing,FIG.7depicts a batch desiccant unit760, according to an embodiment. In some embodiments, batch desiccant unit760can be similar or identical to batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), batch desiccant unit560(FIG.5), and/or batch desiccant unit660(FIG.6). However, in some embodiments, batch desiccant unit660can differ from batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), batch desiccant unit560(FIG.5), and/or batch desiccant unit660(FIG.6) as described below. Unless otherwise specified, components shown inFIG.7assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2,FIG.3,FIG.4,FIG.5, and/orFIG.6above can be similar or identical to those components shown inFIG.1,FIG.2,FIG.3,FIG.4,FIG.5, and/orFIG.6. In some embodiments, batch desiccant unit760can comprise a batch desiccant housing762defining batch desiccant volume764. In some embodiments, batch desiccant housing762can comprise one or more openings735configured to transfer at least a portion of the regeneration fluid from regeneration fluid pathway734into batch desiccant volume764so as to heat desiccant768, such as, for example, during a batch release time. In some embodiments, regeneration fluid from the regeneration fluid pathway734can exit batch desiccant volume764via batch output conduit778. Turning to the next drawing,FIG.8depicts a system800to extract water from air, according to an embodiment. In some embodiments, system800can be similar or identical to system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), and/or system500(FIG.5). However, in some embodiments, system800can differ from system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), and/or system500(FIG.5) as described below. Unless otherwise specified, components shown inFIG.8assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2,FIG.3,FIG.4, and/orFIG.5above can be similar or identical to those components shown inFIG.1,FIG.2,FIG.3,FIG.4, and/orFIG.5. In some embodiments, system800can comprise a thermal unit854configured to heat a regeneration fluid in a regeneration fluid pathway834, a continuous desiccant unit810, a continuous desiccant unit220, a batch desiccant unit860a, and a batch desiccant unit860b. Batch desiccant unit860aand/or batch desiccant unit860bcan be similar or identical to batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), batch desiccant unit560(FIG.2), batch desiccant unit660(FIG.6), and/or batch desiccant unit760(FIG.7). In further embodiments, system800can comprise one or more additional batch desiccant units, which can be similar or identical to batch desiccant unit860aand/or batch desiccant unit860b. In some embodiments, batch desiccant unit860acan comprise a regeneration inlet861aconfigured to input at least a portion of the regeneration fluid from regeneration fluid pathway834into batch desiccant unit860aand a regeneration fluid outlet863aconfigured to output at least a portion of the regeneration fluid from batch desiccant unit860ainto regeneration fluid pathway834, such as, for example, during a first batch release time. Further, in some embodiments, batch desiccant unit860bcan comprise a regeneration inlet861bconfigured to input at least a portion of the regeneration fluid from regeneration fluid pathway834into batch desiccant unit860band a regeneration fluid outlet863bconfigured to output at least a portion of the regeneration fluid from batch desiccant unit860binto regeneration fluid pathway834, such as, for example, during a second batch release time. In some embodiments, batch desiccant unit860acan comprise a batch desiccant housing862adefining a batch desiccant volume864a. Batch desiccant housing862acan comprise a batch desiccant inlet872aconfigured to input ambient air to batch desiccant volume864avia process air pathway873a, such as, for example, during a first batch load time. Batch desiccant housing862afurther can comprise a batch desiccant outlet874aconfigured to output a batch output fluid from batch desiccant volume864ato a batch output fluid conduit878a, such as, for example, during a first batch release time. Further, in some embodiments, batch desiccant unit860bcan comprise a batch desiccant housing862bdefining a batch desiccant volume864b. Batch desiccant housing862bcan comprise a batch desiccant inlet872bconfigured to input ambient air to batch desiccant volume864bvia process air pathway873b, such as, for example, during a second batch load time. Batch desiccant housing862bfurther can comprise a batch desiccant outlet874bconfigured to output a batch output fluid from batch desiccant volume864bto a batch output fluid conduit878b, such as, for example, during a second batch release time. In some embodiments, system800can comprise a condenser unit880for producing liquid water from regeneration fluid in regeneration fluid pathway834and batch output fluid from batch output fluid conduit878aand batch output fluid conduit878b. In some embodiments, a pump890can be operatively coupled to batch desiccant volume864aand batch desiccant volume864band/or batch output fluid conduit878aand batch output fluid conduit878bso as to evacuate gases contained therein and/or establish a low pressure condition in the batch desiccant volume864aand batch desiccant volume864band/or batch output fluid conduit878aand batch output fluid conduit878b. In some embodiments, a valve of batch desiccant outlet874acan be actuated or opened so as to establish a low pressure condition in batch desiccant volume864aand/or a valve at a flow coupling of batch output fluid conduit878aand regeneration fluid conduit834acan be actuated or opened so as to establish a low pressure condition in batch output conduit878a, such as, for example, during a first batch release time associated with batch desiccant unit860a. Similarly, a valve of batch desiccant outlet874bcan be actuated or opened so as to establish a low pressure condition in batch desiccant volume864band/or a valve at a flow coupling of batch output fluid conduit878band regeneration fluid conduit834bcan be actuated or opened so as to establish a low pressure condition in batch output conduit878b, such as, for example, during a second batch release time associated with batch desiccant unit860b. Turning to the next drawing,FIG.9depicts a system900to extract water from air, according to an embodiment. In some embodiments, system900can be similar or identical to system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), system500(FIG.5), and/or system800(FIG.8). However, in some embodiments, system900can differ from system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), system500(FIG.5) and/or system800(FIG.8) as described below. Unless otherwise specified, components shown inFIG.9assigned reference numbers having the same last two digits as components shown inFIG.1,FIG.2,FIG.3,FIG.4,FIG.5, and/orFIG.8above can be similar or identical to those components shown inFIG.1,FIG.2,FIG.3,FIG.4,FIG.5, and/orFIG.8. In some embodiments, system900can comprise a plurality of batch desiccant units (e.g., batch desiccant unit960a, a batch desiccant unit960b, . . . batch desiccant unit960n). Each of the plurality of batch desiccant units can be similar or identical to batch desiccant unit160(FIG.1), batch desiccant unit260(FIG.2), batch desiccant unit360(FIG.3), batch desiccant unit460(FIG.4), batch desiccant unit560(FIG.2), batch desiccant unit660(FIG.6), and/or batch desiccant unit760(FIG.7). In these or other embodiments, system900can comprise one or more continuous desiccant units. The one or more continuous desiccant units are not shown inFIG.9for simplicity. Each of the plurality of continuous desiccant units can be similar or identical to continuous desiccant unit110(FIG.1), continuous desiccant unit210(FIG.2), continuous desiccant unit310(FIG.3), continuous desiccant unit410(FIG.4), continuous desiccant unit510(FIG.5), and/or continuous desiccant unit810(FIG.8). In some embodiments, each of the plurality of batch desiccant units (e.g., batch desiccant unit960a, a batch desiccant unit960b, . . . batch desiccant unit960n) can comprise a batch desiccant housing (e.g., batch desiccant housing962a) which can define a batch desiccant volume (e.g., batch desiccant volume964a, batch desiccant volume964b, batch desiccant volume964n) configured to retain a desiccant. In some embodiments, each batch desiccant housing can be similar or identical to batch desiccant housing162(FIG.1), batch desiccant housing262(FIG.2), batch desiccant housing362(FIG.3), batch desiccant housing462(FIG.4), batch desiccant housing562(FIG.5), batch desiccant housing662(FIG.6), batch desiccant housing762(FIG.7), and/or batch desiccant housing862(FIG.8). In these or other embodiments, each batch desiccant volume can be similar or identical to batch desiccant volume164(FIG.1), batch desiccant volume264(FIG.2), batch desiccant volume364(FIG.3), batch desiccant volume464(FIG.4), batch desiccant volume564(FIG.5), batch desiccant volume664(FIG.6), batch desiccant volume764(FIG.7), and/or batch desiccant volume864(FIG.8). In these or other embodiments, each desiccant can be similar or identical to desiccant168(FIG.1), desiccant268(FIG.2), desiccant368(FIG.3), desiccant468(FIG.4), desiccant568(FIG.5), desiccant668(FIG.6), desiccant768(FIG.7), and/or desiccant868(FIG.8). In some embodiments, each batch desiccant housing (e.g., batch desiccant housing962a) can comprise a batch desiccant inlet (e.g., batch desiccant inlet972a, . . . batch desiccant inlet972n) configured to input ambient air to its corresponding batch desiccant volume. For example, each batch desiccant inlet can be configured to input ambient air to its corresponding batch desiccant volume during a batch load time associated with its corresponding batch desiccant unit. In some embodiments, each batch desiccant inlet can be similar or identical to batch desiccant inlet172(FIG.1), batch desiccant inlet272(FIG.2), batch desiccant inlet372(FIG.3), batch desiccant inlet472(FIG.4), batch desiccant inlet572(FIG.5), batch desiccant inlet672(FIG.6), batch desiccant inlet772(FIG.7), and/or batch desiccant inlet872(FIG.8). In some embodiments, each batch desiccant housing (e.g., batch desiccant housing962a) further can comprise a batch desiccant outlet (e.g., batch desiccant outlet974a) configured to output a batch output fluid comprising water vapor to a batch output fluid conduit (e.g., batch output fluid conduit978a, batch output fluid conduit978b, . . . batch output fluid conduit978n). For example, each batch desiccant outlet can be configured to output a batch output fluid to its corresponding batch output fluid conduit during a batch release time associated with its corresponding batch desiccant unit. In some embodiments, each batch desiccant outlet can be similar or identical to batch desiccant outlet174(FIG.1), batch desiccant outlet274(FIG.2), batch desiccant outlet374(FIG.3), batch desiccant outlet474(FIG.4), batch desiccant outlet574(FIG.5), batch desiccant outlet(s)674(FIG.6), batch desiccant outlet(s)774(FIG.7), batch desiccant outlet874a(FIG.8), and/or batch desiccant outlet874b(FIG.8). In these or other embodiments, each batch output fluid conduit can be similar or identical to batch output fluid conduit178(FIG.1), batch output fluid conduit278(FIG.2), batch output fluid conduit378(FIG.3), batch output fluid conduit478(FIG.4), batch output fluid conduit578(FIG.5), batch output fluid conduit678(FIG.6), batch output fluid conduit778(FIG.7), and/or batch output fluid conduit878(FIG.8). In some embodiments, as illustrated atFIG.9, each batch output fluid conduit can be coupled to a primary batch output fluid conduit978coupled to a condenser unit980, but in other embodiments, each batch output fluid conduit can be directly coupled to condenser unit980. In further embodiments, primary output fluid conduit can be similar or identical to batch output fluid conduit178(FIG.1), batch output fluid conduit278(FIG.2), batch output fluid conduit378(FIG.3), batch output fluid conduit478(FIG.4), batch output fluid conduit578(FIG.5), batch output fluid conduit678(FIG.6), batch output fluid conduit778(FIG.7), and/or batch output fluid conduit878(FIG.8). In some embodiments, each batch desiccant unit (e.g., batch desiccant unit960a, a batch desiccant unit960b, . . . batch desiccant unit960n) can comprise a regeneration inlet (e.g., regeneration inlet961a, . . . regeneration inlet961n) configured to input at least a portion of the regeneration fluid from regeneration fluid pathway934to the corresponding batch desiccant units. In some embodiments, each regeneration inlet can be similar or identical to regeneration inlet161(FIG.1), regeneration inlet261(FIG.2), regeneration inlet361(FIG.3), regeneration inlet461(FIG.4), regeneration inlet561(FIG.5), regeneration inlet661(FIG.6), regeneration inlet761(FIG.7), and/or regeneration inlet861(FIG.8). Further, each batch desiccant unit (e.g., batch desiccant unit960a, a batch desiccant unit960b, . . . batch desiccant unit960n) can comprise a regeneration outlet (e.g., regeneration outlet963n) configured to output at least a portion of the regeneration fluid from the corresponding batch desiccant units back to regeneration fluid pathway934. In some embodiments, each regeneration outlet can be similar or identical to regeneration outlet163(FIG.1), regeneration outlet263(FIG.2), regeneration outlet363(FIG.3), regeneration outlet463(FIG.4), regeneration outlet563(FIG.5), regeneration outlet663(FIG.6), regeneration outlet763(FIG.7), and/or regeneration outlet863(FIG.8). In some embodiments, each batch desiccant unit (e.g., batch desiccant unit960a, a batch desiccant unit960b, . . . batch desiccant unit960n) can comprise a process air pathway (e.g., process air pathway973a). The process air pathway can be similar or identical to process air pathway173(FIG.1), process air pathway273(FIG.2), process air pathway373(FIG.3), process air pathway473(FIG.4), process air pathway573(FIG.5), process air pathway673(FIG.1), process air pathway773(FIG.7), and/or process air pathway873(FIG.8). System900can be configured such that regeneration fluid can enter one or more of the batch desiccant units via the corresponding batch desiccant inlet(s) during a batch release time associated with the batch desiccant unit(s). Further, system900further can comprise a pump990operatively coupled to the batch desiccant volumes of the batch desiccant units so as to evacuate gases contained and/or establish a low pressure condition therein. For example, pump990can be coupled to the batch output fluid conduits of the batch desiccant units and/or the primary batch output fluid conduit. Each of the batch desiccant outlets (e.g., batch outlet974a) and/or batch desiccant conduits can comprise a valve that can be actuated or opened so as to establish a low pressure condition in the corresponding batch output fluid conduit and, in turn, the corresponding batch desiccant volume. Pump990can increase a partial pressure of water in one or more of the batch desiccant volume(s) and/or batch output conduit(s) during a release time associated with the corresponding batch desiccant unit(s). The batch desiccant units of system900can operate such that one or more batch desiccant units can have a load time at night, early in the day and/or late in the day. Once a solar thermal unit954is heating the regeneration fluid and one or more of the batch desiccant units are in a loaded state, a batch release operation associated with one or more of the batch desiccant units can be executed in a cyclic, revolving or “round-robin” manner. For example, during the nighttime, the batch desiccant units can be loaded with water by flowing ambient air (e.g., from the corresponding air process pathway) across each batch desiccant volume (e.g. by actuating valves at the batch desiccant inlets). During the daytime, the regeneration inlet of batch desiccant unit960acan be configured to permit at least a portion of regeneration fluid into batch desiccant unit960aso as to heat batch desiccant volume964aduring a batch release time associated with batch desiccant unit960a. Pump990can establish a low pressure condition in batch output conduit978aduring a batch release time associated with batch desiccant unit960a, such as, for example, by actuating a valve corresponding to batch output conduit978a. Once a predetermined amount of water (e.g. as determined via a sensor, relative humidity in output conduit, elapsed time, etc.) has been extracted from the batch desiccant unit960a, this release operation can be repeated for each of the batch desiccant units of the system900in a cyclic manner. In particular, pump990can establish a low pressure condition in batch output conduit978bduring a batch release time associated with batch desiccant unit960b, and so on to batch desiccant unit960n. In some embodiments, a predetermined first fraction of the water contained within the batch desiccant volume964acan be extracted, followed by extraction of a first predetermined fraction from batch desiccant unit960band so on to batch desiccant unit960nso as to maximize efficiency of water production of system900. This “shallow extraction” of water from the batch desiccant units of system900in cyclic manner, can optimize the use of heat present in system900for maximum water production. Not to be bound by any particular theory, but the water release process at the beginning of a batch release time facilitates the use of low grade heat, whereas higher temperatures can be required to extract water from a batch desiccant unit as less water remains in the batch desiccant volume. Improved systems and methods for maximizing the extraction of liquid water from air are described herein. As described above, systems to extract liquid water from air can comprise one or more continuous desiccant units and one or more batch desiccant units. Continuous desiccant units can operate in a continuous, or non-batch, fashion, such that water can be absorbed and desorbed by the continuous desiccant unit substantially simultaneously or simultaneously. Batch desiccant units can operate in an intermittent, alternating or batch fashion such that water can be absorbed and desorbed by the batch desiccant unit substantially separately, sequentially or consecutively. Liquid water produced by extracting water vapor from ambient air can be maximized or optimized by implementing both continuous and batch desiccant units that are regenerated by heat from a thermal unit via regeneration fluid flow. The dynamics of such systems and methods are such that the maximum grade of heat for regenerating desiccant material at any one time can be utilized at any one time to produce a maximum amount of water. Not to be bound by any particular theory, but a system comprising a continuous desiccant unit allows a small desiccant mass to produce water dynamically at high efficiency. However, low grade heat, low temperature heat or waste heat (e.g. regeneration fluid having a low thermal energy or low temperature above ambient air temperature which does not provide a significant temperature swing to regenerate desiccant material in a continuous desiccant unit) flows through the system but can remain wasted or unused in terms of producing liquid water. Furthermore, thermal performance including the thermal coefficient of performance (COP) of desiccant-based water from air systems can be improved by integrating the complementary thermodynamics of adsorption and desorption in a continuous desiccant unit (e.g. rotary desiccant) and a batch desiccant unit, thereby efficiently using low grade thermal energy for maximum water production. In some embodiments, regeneration fluid flowing in a regeneration fluid pathway (e.g. regenerative fluid pathway134′ (FIG.1)) of a system (e.g., system100(FIG.1)) at approximately 40 cubic feet per minute (cfm) and having a temperature of approximately 20 degrees Celsius above ambient air temperature (e.g. regeneration fluid at 45° C. and ambient temperature at 25° C.) can translate to approximately 400 Watts of “low grade” or waste heat, such as, for example, leaving a condenser unit (e.g., condenser unit180(FIG.1)) or a continuous desiccant unit (e.g., continuous desiccant unit120(FIG.1)). A batch desiccant unit of the system (e.g. batch desiccant unit160(FIG.1)) can utilize this 400 Watts of waste heat to heat a desiccant of the batch desiccant unit (e.g. desiccant168(FIG.1)) so as to generate water therefrom. In one example, the batch desiccant unit can have a load time at night, early in the day and/or late in the day when water is not being produced from the continuous desiccant unit and/or solar thermal unit is not heating the regeneration fluid. Once the batch desiccant unit is in a loaded state, the batch release time can occur at a different time of day, for example when a solar thermal unit is producing a high amount of heat in the presence of high solar insolation. Turning ahead in the drawings,FIG.10depicts a method1000to extract water from air. Activities of method1000that are indicated by dashed lines can be optional in some embodiments. Activities of method1000can be performed separately, sequentially or simultaneously. In some embodiments, method1000can comprise a method of operating a system. The system can be similar or identical to system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), system500(FIG.5), system800(FIG.8), and/or system900(FIG.9). In some embodiments, method1000can comprise activity1002of heating a regeneration fluid in a regeneration fluid pathway, such as, for example, by a solar thermal unit. In some embodiments, method1000can comprise activity1004of moving a zone of a continuous desiccant unit between an ambient air flow and the regeneration fluid in the regeneration fluid pathway. In some embodiments, method1000can comprise activity1006of inputting ambient or process air to a first batch desiccant unit during a first batch load time. For example, one or more blowers can increase a flow rate of ambient air into a batch desiccant volume of the first batch desiccant unit. In some embodiments, a batch desiccant inlet can comprise a valve (e.g. actuated by a controller) and/or other flow management device to allow ambient air to enter the batch desiccant volume during the first batch load time. In some embodiments, method1000can comprise activity1008of inputting at least a portion of the regeneration fluid to the first batch desiccant unit during a first batch release time. A regeneration inlet and regeneration outlet of the batch desiccant unit can permit at least a portion of regeneration fluid to heat desiccant material in the batch desiccant volume during the first batch release time. For example, the regeneration inlet and/or outlet can comprise a valve (e.g. actuated by a controller) and/or other flow management device to facilitate heating. In some embodiments, method1000can comprise activity1010of outputting a batch output fluid comprising water vapor from the first batch desiccant unit to a first batch output fluid conduit during the first batch release time. For example, a valve (e.g. actuated by a controller) and/or other flow management device can allow the batch output fluid to exit the batch desiccant volume. In some embodiments, method1000can comprise activity1012of forming a low pressure condition in the batch desiccant volume and/or the batch output fluid conduit. For example, a pump can evacuate gases contained in the batch desiccant volume and/or batch output fluid conduit. For example, the pump can increase a partial pressure of water in the batch desiccant volume and/or batch output conduit during the first batch release time. In some embodiments, forming a low pressure condition in the batch desiccant volume and/or batch output fluid conduit occurs during a batch release time. In further embodiments, forming the low pressure condition can comprise forming a pressure below 1 atmosphere in the batch desiccant volume and/or batch output conduit. In some embodiments, method1000further can comprise an activity of determining whether a pressure of gases in the batch desiccant unit and/or batch output fluid conduit is below a predetermined minimum pressure value. In response to determining a pressure of gases in the batch desiccant unit and/or batch output fluid conduit is below a predetermined minimum pressure value, the method can comprise transitioning from outputting batch output fluid from the batch desiccant unit during the batch release time to inputting ambient air to the batch desiccant unit during the batch load time. In some embodiments, method1000can comprise activity1014of condensing water vapor contained in the regeneration fluid and/or the batch output fluid conduit. At activity1014, a first condenser unit can receive the regeneration fluid in the regeneration fluid pathway to produce liquid water from the received regeneration fluid. The first condenser unit or another condenser unit can receive the batch output fluid in the batch output fluid conduit. In some embodiments, ambient or process air can be directed to condenser unit so as to cool the condenser unit. In some embodiments, method1000can comprise activity1016of maximizing a liquid water production rate of at least one condenser unit. For example, maximizing the liquid water production rate can comprise altering a rate of moving a zone of a continuous desiccant unit between ambient air and the regeneration fluid in the regeneration fluid pathway. In some embodiments, a rate of moving a zone of the continuous desiccant unit to maximize liquid water production can based on one or more of: an ambient air temperature, ambient air relative humidity, and a level of solar insolation. In some embodiments, performing activity1016can comprise an activity of varying a batch load time and a batch release time of one or more batch desiccant units. For example, exposure of batch desiccant to ambient air during a batch load time and heating of batch desiccant by regeneration fluid in regeneration fluid pathway during a batch release time can be varied over a diurnal cycle (e.g., in response to diurnal variations). Such variations in environmental conditions can include, for example, ambient air temperature, ambient air relative humidity, and solar insolation. In some embodiments, a batch load time can be a time duration corresponding to a nighttime environmental condition. In further embodiments, a batch load time can be a time duration corresponding to a daytime environmental condition. In some embodiments, a batch release time can be a time duration corresponding to a daytime environmental condition. In various embodiments, current or expected variations in operating parameters of the system (e.g. water produced, amount of heat carried by regeneration fluid, temperature of regeneration fluid, pressure of batch output conduit, relative humidity in batch output conduit, and so on) can be used to determine the extent of variations in the batch load time and batch release time. In some embodiments, such as, for example, where the system comprises at least one sensors, method1000can further comprise an activity of sensing a signal received from at least one of the sensors. For example, maximizing the liquid water production rate can comprise commencing the batch release time based on an amount of heat carried by the regeneration fluid in the regeneration fluid pathway (e.g. based on a temperature of the regeneration fluid in the regeneration fluid pathway). In some embodiments, activity1016can be performed continuously or simultaneously with other activities of method1000or can be performed at predetermined intervals or as a result of changes in environmental conditions and/or operating conditions. Turning ahead in the drawings,FIG.11depicts a method1100to extract water from air. Activities of method1100that are indicated by dashed lines can be optional in some embodiments. Activities of method1100can be performed separately, sequentially or simultaneously. In some embodiments, method1100can be similar or identical to method1000(FIG.10). However, in some embodiments, method1100can differ from method1000(FIG.10) as described below. Unless otherwise specified, activities shown inFIG.11assigned reference numbers having the same last two digits as activities shown inFIG.10above can be similar or identical to those activities shown inFIG.10. In some embodiments, method1100can comprise a method of operating a system. The system can be similar or identical to system100(FIG.1), system200(FIG.2), system300(FIG.3), system400(FIG.4), system500(FIG.5), system800(FIG.8), and/or system900(FIG.9). In some embodiments, method1100can comprise activity1106aof inputting ambient or process air to a first batch desiccant unit during a first batch load time. In some embodiments, method1100can comprise activity1108aof inputting at least a portion of the regeneration fluid to the first batch desiccant unit during a first batch release time to heat desiccant material in the first batch desiccant volume during a first batch release time. In some embodiments, method1100can comprise activity1110aof outputting a first batch output fluid comprising water vapor from the first batch desiccant unit to a first batch output fluid conduit during the first batch release time. In some embodiments, method1100can comprise activity1106bof inputting ambient or process air to a second batch desiccant unit during a second batch load time. In some embodiments, method1100can comprise activity1108bof inputting at least a portion of the regeneration fluid to the second batch desiccant unit during a second batch release time to heat desiccant material in the second batch desiccant volume during a second batch release time. In some embodiments, method1100can comprise activity1110bof outputting a second batch output fluid comprising water vapor from the second batch desiccant unit to a second batch output fluid conduit during the second batch release time. In some embodiments, performing activity1116of method1100can comprise varying the first and second batch load times and the first and second batch release times of the batch desiccant units. For example, the second release time can be subsequent to the first release time. In another example, the first release time and the second release time occur in an alternating manner. As yet another example, the first and second release times can be time durations corresponding to a daytime environmental condition. Turning ahead in the drawings,FIG.12illustrates an exemplary embodiment of a computer system1200, all of which or a portion of which can be suitable for (i) implementing part or all of one or more embodiments of the techniques, methods, and systems and/or (ii) implementing and/or operating part or all of one or more embodiments of the memory storage devices described herein. For example, in some embodiments, all or a portion of computer system1200can be suitable for implementing part or all of one or more embodiments of the techniques, methods, and/or systems described herein. Furthermore, one or more elements of computer system1200(e.g., a refreshing monitor1206, a keyboard1204, and/or a mouse1210, etc.) also can be appropriate for implementing part or all of one or more embodiments of the techniques, methods, and/or systems described herein. In many embodiments, computer system1200can comprise chassis1202containing one or more circuit boards (not shown), a Universal Serial Bus (USB) port1212, a hard drive1214, and an optical disc drive1216. Meanwhile, for example, optical disc drive1216can comprise a Compact Disc Read-Only Memory (CD-ROM), a Digital Video Disc (DVD) drive, or a Blu-ray drive. Still, in other embodiments, a different or separate one of a chassis1202(and its internal components) can be suitable for implementing part or all of one or more embodiments of the techniques, methods, and/or systems described herein. Turning ahead in the drawings,FIG.13illustrates a representative block diagram of exemplary elements included on the circuit boards inside chassis1202(FIG.13). For example, a central processing unit (CPU)1310is coupled to a system bus1314. In various embodiments, the architecture of CPU1310can be compliant with any of a variety of commercially distributed architecture families. In many embodiments, system bus1314also is coupled to a memory storage unit1308, where memory storage unit1308can comprise (i) non-volatile memory, such as, for example, read only memory (ROM) and/or (ii) volatile memory, such as, for example, random access memory (RAM). The non-volatile memory can be removable and/or non-removable non-volatile memory. Meanwhile, RAM can include dynamic RAM (DRAM), static RAM (SRAM), etc. Further, ROM can include mask-programmed ROM, programmable ROM (PROM), one-time programmable ROM (OTP), erasable programmable read-only memory (EPROM), electrically erasable programmable ROM (EEPROM) (e.g., electrically alterable ROM (EAROM) and/or flash memory), etc. In these or other embodiments, memory storage unit1308can comprise (i) non-transitory memory and/or (ii) transitory memory. The memory storage device(s) of the various embodiments disclosed herein can comprise memory storage unit1308, an external memory storage drive (not shown), such as, for example, a USB-equipped electronic memory storage drive coupled to universal serial bus (USB) port1212(FIGS.12&13), hard drive1214(FIGS.12&13), optical disc drive1216(FIGS.12&13), a floppy disk drive (not shown), etc. As used herein, non-volatile and/or non-transitory memory storage device(s) refer to the portions of the memory storage device(s) that are non-volatile and/or non-transitory memory. In various examples, portions of the memory storage device(s) of the various embodiments disclosed herein (e.g., portions of the non-volatile memory storage device(s)) can be encoded with a boot code sequence suitable for restoring computer system1200(FIG.12) to a functional state after a system reset. In addition, portions of the memory storage device(s) of the various embodiments disclosed herein (e.g., portions of the non-volatile memory storage device(s)) can comprise microcode such as a Basic Input-Output System (BIOS) or Unified Extensible Firmware Interface (UEFI) operable with computer system1200(FIG.12). In the same or different examples, portions of the memory storage device(s) of the various embodiments disclosed herein (e.g., portions of the non-volatile memory storage device(s)) can comprise an operating system, which can be a software program that manages the hardware and software resources of a computer and/or a computer network. Meanwhile, the operating system can perform basic tasks such as, for example, controlling and allocating memory, prioritizing the processing of instructions, controlling input and output devices, facilitating networking, and managing files. Exemplary operating systems can comprise (i) Microsoft® Windows® operating system (OS) by Microsoft Corp. of Redmond, Washington, United States of America, (ii) Mac® OS by Apple Inc. of Cupertino, California, United States of America, (iii) UNIX® OS, and (iv) Linux® OS. Further exemplary operating systems can comprise (i) iOS™ by Apple Inc. of Cupertino, California, United States of America, (ii) the Blackberry® OS by Research In Motion (RIM) of Waterloo, Ontario, Canada, (iii) the Android™ OS developed by the Open Handset Alliance, or (iv) the Windows Mobile™ OS by Microsoft Corp. of Redmond, Washington, United States of America. Further, as used herein, the term “computer network” can refer to a collection of computers and devices interconnected by communications channels that facilitate communications among users and allow users to share resources (e.g., an internet connection, an Ethernet connection, etc.). The computers and devices can be interconnected according to any conventional network topology (e.g., bus, star, tree, linear, ring, mesh, etc.). As used herein, the term “processor” means any type of computational circuit, such as but not limited to a microprocessor, a microcontroller, a controller, a complex instruction set computing (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, a graphics processor, a digital signal processor, or any other type of processor or processing circuit capable of performing the desired functions. In some examples, the one or more processors of the various embodiments disclosed herein can comprise CPU1310. In the depicted embodiment ofFIG.13, various I/O devices such as a disk controller1304, a graphics adapter1324, a video controller1302, a keyboard adapter1326, a mouse adapter1306, a network adapter1320, and other I/O devices1322can be coupled to system bus1314. Keyboard adapter1326and mouse adapter1306are coupled to keyboard1204(FIGS.12&13) and mouse1210(FIGS.12&13), respectively, of computer system1200(FIG.12). While graphics adapter1324and video controller1302are indicated as distinct units inFIG.13, video controller1302can be integrated into graphics adapter1324, or vice versa in other embodiments. Video controller1302is suitable for refreshing monitor1206(FIGS.12&13) to display images on a screen1208(FIG.12) of computer system1200(FIG.12). Disk controller1304can control hard drive1214(FIGS.12&13), USB port1212(FIGS.12&13), and CD-ROM drive1216(FIGS.12&13). In other embodiments, distinct units can be used to control each of these devices separately. Network adapter1320can be suitable to connect computer system1200(FIG.12) to a computer network by wired communication (e.g., a wired network adapter) and/or wireless communication (e.g., a wireless network adapter). In some embodiments, network adapter1320can be plugged or coupled to an expansion port (not shown) in computer system1200(FIG.12). In other embodiments, network adapter1320can be built into computer system1200(FIG.12). For example, network adapter1320can be built into computer system1200(FIG.12) by being integrated into the motherboard chipset (not shown), or implemented via one or more dedicated communication chips (not shown), connected through a PCI (peripheral component interconnector) or a PCI express bus of computer system1200(FIG.12) or USB port1212(FIG.12). Returning now toFIG.12, although many other components of computer system1200are not shown, such components and their interconnection are well known to those of ordinary skill in the art. Accordingly, further details concerning the construction and composition of computer system1200and the circuit boards inside chassis1202are not discussed herein. Meanwhile, when computer system1200is running, program instructions (e.g., computer instructions) stored on one or more of the memory storage device(s) of the various embodiments disclosed herein can be executed by CPU1310(FIG.13). At least a portion of the program instructions, stored on these devices, can be suitable for carrying out at least part of the techniques, methods, and activities of the methods described herein. In various embodiments, computer system1200can be reprogrammed with one or more systems, applications, and/or databases to convert computer system1200from a general purpose computer to a special purpose computer. Further, although computer system1200is illustrated as a desktop computer inFIG.12, in many examples, system1200can have a different form factor while still having functional elements similar to those described for computer system1200. In some embodiments, computer system1200can comprise a single computer, a single server, or a cluster or collection of computers or servers, or a cloud of computers or servers. Typically, a cluster or collection of servers can be used when the demand on computer system1200exceeds the reasonable capability of a single server or computer. In certain embodiments, computer system1200can comprise an embedded system. In many embodiments, part or all of one or more embodiments of the techniques, methods, and systems can be implemented with hardware and/or software. In some embodiments, at least part of the hardware and/or software can be conventional, while in these or other embodiments, part or all of the hardware and/or software can be customized (e.g., optimized) for implementing the part or all of the one or more embodiments of the techniques, methods, and systems. When implemented in software (e.g., firmware), the part or all of the one or more embodiments of the techniques, methods, and systems can be stored as one or more instructions or code on a non-transitory computer-readable medium. Examples include non-transitory computer-readable media encoded with a data structure and non-transitory computer-readable media encoded with a computer program. Non-transitory computer-readable media are physical computer storage media. A physical storage medium can be any available medium that can be accessed by a computer. By way of example, and not limitation, such non-transitory computer-readable media can comprise RAM, ROM, EPROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other physical medium that can be used to store desired program code in the form of instructions or data structures and that can be accessed by a computer. Disk and disc includes compact discs (CD), laser discs, optical discs, digital versatile discs (DVD), floppy disks and Blu-ray discs. Generally, disks reproduce data magnetically, and discs reproduce data optically. Combinations of the above are also be included within the scope of non-transitory computer-readable media. Moreover, the functions described above can be achieved through dedicated devices rather than software, such as a hardware circuit comprising custom VLSI circuits or gate arrays, off-the-shelf semiconductors such as logic chips, transistors, or other discrete components, all of which are non-transitory. Additional examples include programmable hardware devices such as field programmable gate arrays, programmable array logic, programmable logic devices or the like, all of which are non-transitory. Still further examples include application specific integrated circuits (ASIC) or very large scale integrated (VLSI) circuits. In fact, persons of ordinary skill in the art can utilize any number of suitable structures capable of executing logical operations according to the described embodiments. Although the invention has been described with reference to specific embodiments, it will be understood by those skilled in the art that various changes can be made without departing from the spirit or scope of the disclosure. Accordingly, the disclosure of embodiments is intended to be illustrative of the scope of the disclosure and is not intended to be limiting. It is intended that the scope of the disclosure shall be limited only to the extent required by the appended claims. For example, to one of ordinary skill in the art, it will be readily apparent that any element ofFIGS.1-13can be modified, and that the foregoing discussion of certain of these embodiments does not necessarily represent a complete description of all possible embodiments. For example, one or more of the activities of the methods described herein can include different activities and be performed by many different elements, in many different orders. As another example, the elements within one or more of the systems described herein can be interchanged or otherwise modified. Generally, replacement of one or more claimed elements constitutes reconstruction and not repair. Additionally, benefits, other advantages, and solutions to problems have been described with regard to specific embodiments. The benefits, advantages, solutions to problems, and any element or elements that can cause any benefit, advantage, or solution to occur or become more pronounced, however, are not to be construed as critical, required, or essential features or elements of any or all of the claims, unless such benefits, advantages, solutions, or elements are stated in such claim. Moreover, embodiments and limitations disclosed herein are not dedicated to the public under the doctrine of dedication if the embodiments and/or limitations: (1) are not expressly claimed in the claims; and (2) are or are potentially equivalents of express elements and/or limitations in the claims under the doctrine of equivalents. The claims are not intended to include, and should not be interpreted to include, means-plus- or step-plus-function limitations, unless such a limitation is explicitly recited in a given claim using the phrase(s) “means for” or “step for,” respectively. | 94,809 |
11859373 | DETAILED DESCRIPTION Subject matter will now be described more fully hereinafter with reference to the accompanying drawings, which form a part hereof, and which show, by way of illustration, specific exemplary embodiments. Subject matter may, however, be embodied in a variety of different forms and, therefore, covered or claimed subject matter is intended to be construed as not being limited to any exemplary embodiments set forth herein; exemplary embodiments are provided merely to be illustrative. Likewise, the reasonably broad scope for claimed or covered subject matter is intended. Among other things, for example, the subject matter may be embodied as methods, devices, components, or systems. The following detailed description is, therefore, not intended to be taken in a limiting sense. The word “exemplary” is used herein to mean “serving as an example, instance, or illustration.” Any embodiment described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other embodiments. Likewise, the term “embodiments of the present invention” does not require that all embodiments of the invention include the discussed feature, advantage, or mode of operation. The terminology used herein is to describe embodiments only and is not intended to be limiting to embodiments of the invention. As used herein, the singular forms “a”, “an”, and “the” are intended to include the plural forms as well, unless the context indicates otherwise. It will be further understood that the terms “comprise”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. The following detailed description includes the best currently contemplated mode or modes of carrying out exemplary embodiments of the invention. The description is not to be taken in a limiting sense but is made merely to illustrate the general principles of the invention since the scope of the invention will be best defined by the allowed claims of any resulting patent. Disclosed is a fastening assembly for installing a top-mounted faucet, such as the faucets mounted to a countertop. An example of such installation includes a top-mounted Reverse Osmosis Drinking Water Faucet that is installed on sink basins. Referring toFIGS.1-5which show an exemplary embodiment of the fastening assembly100that can include a plate105, the plate can be made of an upper grip member110and a lower support member120, wherein the lower support member can fit into an underside of the upper grip member, as shown inFIG.5. The upper grip member can be made from a soft material, such as rubber that can provide significant slip resistance against a rigid surface, such as an underside of a countertop. The upper grip member110can be of an elongated rectangular geometry having a proximal end and a distal end. Both the proximal end and the distal end of the upper grip member110are shown rounded. The upper grip member110has an elongated oval shape aperture112in the center, wherein the oval shape aperture112is elongated in the same direction as the upper grip member110. The dimensions of the upper grip member110and the oval shape aperture112can depend upon a size of a sinkhole in the countertop and a diameter of a tailpiece/stem of a faucet respectively, as described below in reference to FIGS.5-10. The upper grip member110can further include several protrusions114extended upwards from the top of the upper grip member110. The protrusions114can be made of the same material as the rest of the upper grip member110or a different material. The protrusions114can enhance the grip against a surface over which the upper grip member110is pressed against. On the lower side of the upper grip member,110are shown several male mating members116that can function to secure the lower support member120to the upper grip member110. The lower support member120can fit into the underside of the upper grip member110and can provide structural support to the softer upper grip member110. The lower support member120can be rigid and durable in structure, such as made from metal or similar material. The lower support member120can also be of a similar geometry as the upper grip member110, i.e., having an elongated rectangular geometry and an elongated oval aperture122that correspond to the oval shape aperture112in the upper grip member110. The lower support member120can also have several female mating members124, the positions of which correspond to the male mating members116, such that the female mating members124can be coupled to the male mating members116for securing the upper grip member110and the lower support member120together. Furthermore, as shown in the drawings, the upper grip member110can overlap the lower support member120. The lower support member120can also have two opposite flanges126that extend downwards from a left side and right-side periphery of the lower support member120. The plate105can further include a threaded nut130that can be fastened to the tailpiece of the faucet. While nut130is shown in the drawings to be rounded, however, the nut can be of any other shape, such as hexagonal, square, and the like. The nut130can have at least two opposite flat faces132, the opposite flat faces are positioned such that when the nut130is positioned below the lower support member120and between the two flanges126, the opposite flat faces132of the nut130can juxtapose to inner sides of the two flanges126and the two flanges prevent rotation of the nut130respective to the plate105. Referring toFIGS.6-10which show a tailpiece200of a faucet, the faucet is not shown. The tailpiece200, also known as stem, is threaded and extends downwards from a faucet. The plate105of the disclosed fastening assembly100may pass-through receive the tailpiece200, wherein the tailpiece200extends through the central elongated aperture defined by the apertures in the upper grip member110and the lower support member120. The tailpiece200can freely pass through the central elongated aperture in plate105. Once the tailpiece200is received within the central elongated aperture of the plate105, the nut130can be fastened to the tailpiece200. The elongated oval shape aperture in the plate105allows the plate105to be tilted, as shown inFIGS.8-10. In the titled position, the tailpiece200along with the fastening assembly100can pass through the sinkhole of the countertop. Thus, the dimensions of the plate105and dimensions of the central elongated aperture in the plate105can be such that in the tilted position of the plate105, the fastening assembly100mounted to a tailpiece of a faucet can pass through a sinkhole in the countertop in which the faucet has to be installed. The diameter of the sinkhole may vary and accordingly, the size of plate105may also vary. However, the sinkholes are made in standard dimensions, and so the disclosed fastening assembly can be manufactured for standard size sinkholes. For use, referring toFIGS.11and12, the faucet300with the fastening assembly100mounted over the tailpiece200, can be dropped into the sinkhole in the countertop400.FIG.11shows the faucet300being dropped into the sinkhole, while the plate105is in the tilted position. The plate105in the tilted position can pass through the sinkhole, but not in the horizontal position, as shown inFIG.12. Once, the fastening assembly100is below the countertop, as shown inFIG.12, the faucet can be lifted to press the upper grip member110against the bottom of the countertop. While plate105is pressed against the countertop, faucet300can be turned clockwise. The grip of the upper grip member110against the bottom of the countertop400can prevent the plate105from slipping, moreover, the flanges126of the lower support member120can prevent the rotation of the nut130, thus the tailpiece200can rotate respective to the nut130, resulting in screwing of the nut130over the tailpiece200. The faucet300can be rotated until the nut130tightens, and any further rotation of the faucet is resisted. The protrusions114of the upper grip member110can allow minor adjustments in the rotation of the faucet, i.e., to align the orientation of the faucet300in the desired direction. While the foregoing written description of the invention enables one of ordinary skill to make and use what is considered presently to be the best mode thereof, those of ordinary skill will understand and appreciate the existence of variations, combinations, and equivalents of the specific embodiment, method, and examples herein. The invention should therefore not be limited by the above-described embodiment, method, and examples, but by all embodiments and methods within the scope and spirit of the invention as claimed. | 8,939 |
11859374 | DETAILED DESCRIPTION Various embodiments will be described in detail with reference to the drawings, wherein like reference numerals represent like parts and assemblies throughout the several views. Reference to various embodiments does not limit the scope of the claims attached hereto. Additionally, any examples set forth in this specification are not intended to be limiting and merely set forth some of the many possible embodiments for the appended claims. The present disclosure relates to a faucet that includes an alignment coupling and a retention coupling. The alignment coupling ensures that a spray head of the faucet reliably returns to an aligned position and the retention coupling retains the faucet spray head immediately adjacent a faucet body. The alignment coupling further facilitates reliable complete docking of the spray head within the faucet body. The alignment coupling includes alignment elements in the faucet body and adjacent the spray head that interface with one another to provide alignment movement. In some examples, at least one of the alignment elements is positioned at least partially around a water hose of the faucet. In another example, the alignment elements include alignment features that include a corresponding projection and a groove (e.g., a v-shaped groove) so that when the groove receives the projection, it guides the projection to a narrowed portion of groove, thereby aligning the spray head with the faucet body. By facilitating reliable alignment and retraction of the spray head, the alignment coupling preserves the aesthetic of the faucet when not in use and further optimizes positioning for particular uses. The retention coupling further facilitates reliable retention of the spray head adjacent the faucet body. This can be accomplished by use of at least one magnet and a magnetically attracted material, such as steel. In some examples, the retention coupling includes two attracted components that are configured to not contact one another. FIG.1shows a faucet100including a faucet body102and a faucet spray head104that is detachable from a faucet body outlet106of the faucet body102. As shown inFIG.1, the spray head104is docked with the faucet body102. The spray head104is movable away from the faucet body102so as to allow the user the ability to manipulate the spray head104during use. This is facilitated by a water hose (shown schematically inFIG.6) having excess length attached to the spray head104and positioned within the faucet body102. The faucet100is configured to dispense water from a water source out of a spray head outlet112of the spray head104. Further, the faucet100is configured to be controlled (i.e., on/off, water volume, and water temperature) via traditional methods (e.g., a handle), and/or via gesture or voice. Although the faucet100can be a pull-down kitchen faucet, this disclosure encompasses other types of faucets, including but not limited to, pull-out faucets. Although this disclosure will be discussed with regard to a kitchen faucet for purposes of example, the system described herein could be implemented in any type of pull-down faucet and/or a pull-out faucet, including a side auxiliary spray faucet. In some examples, the faucet100is a showerhead in a shower. In some examples, the faucet100is any fluid dispensing device that is configured to dispense fluid therefrom. The faucet body102can have a variety of different shapes and sizes to provide a variety of different appearances having differing aesthetics. As shown, the faucet body102includes an arcuate neck108between the outlet106and the opposing end of the faucet body102. The faucet body102can be mounted in a variety of different locations, such as, but not limited to, a countertop, a wall, a ceiling, etc. In some examples, the faucet body102is fixed to a location, such as near a kitchen sink. As noted above, the spray head104is detachable so that it can be undocked from the faucet body102to allow for maneuverability by the user to aim the spray head104. The spray head104can include a user input110positioned thereon to allow the user to toggle characteristics of the water expelled at the spray head outlet112. In some examples, the operation of the user input110can facilitate the toggling of a valve (seeFIG.22) positioned within the spray head104. The user input110can toggle characteristics of the expelled water, such as, but not limited to, volume and/or temperature. In some examples, the user input110is one of a button, touch sensitive surface, or the like. An outer profile103of the spray head104can have a variety of different shapes and sizes to provide a variety of different aesthetic configurations of the faucet100. In some examples, the spray head104can be configured to have an outer profile103that cooperates with an outer profile105of the faucet body102. In some examples, the spray head104is generally asymmetrical. In other examples, the spray head104is generally symmetrical. In the depicted example ofFIG.1, the spray head104has an aligned position when docked with the faucet body102. The aligned position is a position that the spray head104returns to automatically every time it is docked with the faucet body102. The aligned position can be a variety of different positions depending on the aesthetics of the faucet100, as well as the particular use of the faucet100. In the depicted example, the spray head104has an asymmetrical outer profile and has an aligned position that aligns the outer profile of the faucet body102, specifically the neck108, with the outer profile of the spray head104. This allows for a consistent outer profile of the faucet100, including the faucet body102and the spray head104. Further, as shown, when in the aligned position, the user input110is positioned facing the faucet body102, so as to maintain a clean aesthetic from the front of the faucet100. In some examples, when in the aligned position, the user input110is positioned facing the left, right, or away from the faucet body102. In some examples, the spray head104can include weights (not shown) to alter the center of the gravity of the spray head104in a way to urge the spray head104to the aligned position. In some examples, the weight can be positioned at a particular side of the spray head104so that the weight, by way of gravity, naturally rotates the spray head104in a way where the weight becomes positioned at an underside of the spray head104when in the aligned positioned. This can be accomplished by placing the weight adjacent the spray head outlet112and offset of the longitudinal axis of the spray head104. FIGS.2-5show the movement of the spray head104to the aligned positioned during docking. Such alignment is facilitated by an alignment coupling114, which includes a first alignment element116and a second alignment element118. At least one of the first alignment element116or the second alignment element118is movable with respect to the other. In the depicted example, the second alignment element118is movable with respect to the first alignment element116. The first and second alignment elements116,118can be positioned at a variety of different locations on the faucet100. In the illustrative example shown, the first alignment element116is positioned within the faucet body102and the second alignment element118is positioned adjacent the spray head104. In some examples, the second alignment element118is attached to the spray head104. In other examples, the second alignment element118is positioned around a water hose (not shown) of the faucet100. In other examples, the second alignment element118is attached to a water hose of the faucet100. In some examples, the first and second alignment elements116,118are integrally formed in the faucet body102and spray head104. In some examples, the first and second alignment elements116,118are separate parts from the faucet body102and spray head104. FIG.2shows the spray head104detached from the faucet body102. While typically a water hose (not shown) would be connected to the spray head104and routed within the outlet106of the faucet body102, the water hose has been omitted for illustration purposes. The outlet106allows access to a pathway122disposed at least partially within the faucet body102so that the water hose can be routed to a water source. FIG.3shows the spray head104partially docked with the outlet106of the faucet body102. For illustration purposes, a cross-sectional isometric view of the faucet body102is shown. The spray head104is shown rotated along its longitudinal axis in a direction where the user input110is facing to a side of the faucet100. In this particular example, such a position is considered a misaligned position of the spray head104. The spray head104can include a plurality of misaligned positions. In some examples, every position that the spray head104has when in contact with the faucet body102, where the spray head104is not in the aligned position, is considered a misaligned position. As shown, the first and second alignment elements116,118are shown interfacing with one another to begin an alignment motion caused by the configuration of the alignment coupling114. As the spray head104is drawn closer to the outlet106, by, for example, a pullback device (e.g., a weight, spring, reel, etc.), the spray head104is rotated automatically via the alignment coupling114toward the aligned position. As shown inFIG.4, the spray head104is further rotated closer to the aligned position thanks to the interfacing of the first and second alignment elements116,118of the alignment coupling114.FIG.5shows the spray head104positioned in the aligned position and fully docked with the faucet body102. FIG.6shows a cross-sectional view of the faucet100with the spray head104in the aligned position.FIG.6also shows a water hose124attached to the spray head104and positioned within the pathway122of the faucet body102. The water hose124can be attached to the spray head in a variety of ways, including being attached to the second alignment element118. As shown, the first alignment element116of the alignment coupling114includes a tongue126that interfaces with the hose124and elevates the hose124from a lower interior surface120of the pathway122. In some examples, the tongue126is configured to control an angle θ of entry of the water hose124into the pathway122with respect to horizontal H. Depending on the orientation and configuration of the faucet100, the angle θ can be altered. In some examples, the angle θ is between about 30 degrees and 60 degrees with respect to horizontal H. In some examples, the angle θ is 45 degrees with respect to horizontal H. The water hose124can be any of a variety of different types including, but not limited to, a nylon-braided hose, a metal braided hose, a flexible hose, a coated hose, etc. FIG.7shows a side view of the first alignment element116positioned in the outlet106of the faucet body102. In some examples, the first alignment element116is positioned within a portion of the neck108of the faucet. In some examples, the first alignment element116is fixed within the outlet106of the faucet body102. In some examples, the first alignment element116is fixed to the faucet body102by way of a fastener, such as, but not limited to, at least one screw, bolt, adhesive, and/or the like. As noted above, the first alignment element116can be integral with the faucet body102. In other examples, the first alignment element116is fixed to the faucet body102by way of a press fit. In other examples still, the first alignment element116is fixed to the faucet body102by way of brazing, welding, or the like. In some examples, the first alignment element116includes a faucet body alignment portion128, a projection132, and a ring131. In some examples, the faucet body alignment portion128facilitates proper alignment of the first alignment element116within the outlet106of the faucet body102. The faucet body alignment portion128can assist in the proper assembly of the faucet100, so that the first alignment element116is more easily correctly orientated at the outlet106to facilitate the desired alignment of the spray head104once the faucet100is assembled. In some examples, the faucet body alignment portion128is one of a projection and a recess. The faucet body alignment portion128is engagable with a corresponding projection or recess130of the faucet body102. As shown, the first alignment element116also includes an alignment feature that includes the projection132positioned at a top side121of the pathway122and extending from the top side of the ring131of the first alignment element116. As will be described in more detail herein, the projection132is configured to interface with the second alignment element118to move the spray head104into the aligned positioned during docking. In some examples, the projection132is at a side of the first alignment element116opposite the tongue126. In the depicted example, the first alignment element116also includes the ring131positioned at the outlet106of the faucet body102. In some examples, the ring131is positioned within the faucet body102to encircle the pathway122. In some examples, the projection132extends radially directly inward from the ring131and the tongue126extends axially therefrom. In some examples, the ring131also includes the faucet body alignment portion128. FIG.8shows the second alignment element118mounted to the spray head104.FIG.9shows the second alignment element118spaced away from an internal cavity134of the spray head104. In some examples, the second alignment element118is fixed to the spray head104to prevent relative movement therebetween. In some examples, the second alignment element118is mounted adjacent to the spray head104. In some examples, the second alignment element118is positioned around the end of the water hose124, as shown inFIG.6. In some examples, the second alignment element118is mounted to the hose124. The second alignment element118includes an alignment feature that includes groove136positioned at the periphery of the second alignment element118. As will be discussed in further detail herein, the groove136is configured to interface with the projection132of the first alignment element116so as to move the spray head104toward the aligned position during docking. The second alignment element118also includes centering elements138positioned circumferentially around the exterior surface thereof. The centering elements138are configured to interface with the outlet106of the faucet body102to aid in centering the spray head104during docking. In some examples, the centering elements138are configured to interface with a portion of the first alignment element116. In some examples, the centering elements138are fins, with the narrowest side of the fin being configured to interface with the faucet body102/first alignment element116first. FIG.10shows a perspective view of the alignment coupling114when the first and second alignment elements116,118are positioned in a fully engaged position.FIG.11shows the alignment coupling114partially exploded, and the first and second alignment elements116,118are shown spaced apart from one another. As shown, the second alignment element118has a generally cylindrical outer profile shape and the first alignment element116has a complementary shape, such as a circular recess (e.g., the ring131) for the outer profile of the second alignment element118to be received within. In some examples, the relationship can be reversed and the first alignment element116can have a cylindrical shape while the second alignment element118has a complementary shape. It is considered within the scope of the present disclosure that the first or second alignment elements116,118can have a variety of different geometric profiles and are not limited to a cylindrical shape and corresponding complementary shape. In some examples, the fully engaged position of the first and second alignment elements116,118corresponds with the aligned position of the spray head104. In some examples, when in the fully engaged position, a longitudinal axis S of the second alignment element118and a central axis B of the ring131of the first alignment element116are generally aligned. In the depicted example, the projection132of the first alignment element116is received and positioned within the groove136of the second alignment element118when the alignment coupling114is in the fully engaged position. As shown, the second alignment element118is configured to be positioned within at least a portion of the first alignment element116. In some examples, when in the fully engaged position, the second alignment element118is positioned within the ring131of the first alignment element116. FIGS.12and13show perspective views of the first alignment element116.FIG.14shows a side cross-sectional view. As shown, the first alignment element116includes the ring131, where the projection132extends radially inward therefrom. The first alignment element116also includes the tongue126that extends generally in an axial direction away from the ring131. Further still, the first alignment element116includes the faucet body alignment portion128at a lower side of the ring131. In the example shown, the faucet body alignment portion128is a projection. In some examples, the ring131is only a partial ring and is configured to only partially surround the pathway122of the outlet106of the faucet body102. Further, the projection132can extend radially inward from the ring131at any point on the ring131. For example, the projection132can extend inward from either side or from the bottom of the ring131, not just from the top, as shown. Further still, the ring131can include a plurality of other alignment features disposed thereon such as, but not limited to, additional projections and/or grooves. As shown inFIG.14, the tongue126can include a wall140that at least partially defines a ramped portion142. As noted above, the tongue126, specifically the wall140, extends in a general axial direction away from the ring131. While in the example shown, the first alignment element116includes the tongue126, the first alignment element does not have to include a tongue126. In some examples, the first alignment element116only includes an alignment feature, such as the projection132. The first alignment element116can be constructed of a variety of different materials including, but not limited to, metal (e.g., aluminum) and plastic (e.g., Rulon, Derlin, or other like PTFE plastics). FIGS.15-17show the second alignment element118.FIG.15shows a perspective view,FIG.16shows a side view, andFIG.17shows a side cross-sectional view. The second alignment element118includes a first end144and a second end146. The first end144is configured to be inserted into the faucet body102before the second end146. In some examples, the first end144is configured to receive the water hose124at an opening147. In some examples, the second end146is configured to be attached to a portion of the spray head104. In some examples, the second alignment element118includes a pair of grooves136positioned at opposite sides of the second alignment element118. In some examples, only a single groove136is utilized for alignment. Each groove136includes a pair of walls148that together define the boundaries of the groove136. In some examples, the groove136has a tapered shape defined by the walls148that extend toward one another from the first end144in a direction toward the second end146. In some examples, the tapered shape of the groove136is v-shaped. In some examples, the walls148extend toward one another in a generally axial direction from the first end144. In some examples, the walls148extend toward one another in a direction that is partially in the axial direction and partially in the circumferential direction. The groove136is configured in a way so that immediately adjacent the first end144, the walls148are separated at a distance to define the widest portion of the groove136. As the walls148extend toward the second end146, the walls148progressively narrow the groove136until they intersect with one another. Adjacent the point of intersection of the walls148, the groove136has its narrowest width and defines a pocket150. FIG.17shows a cross sectional view of the second alignment element118. As shown, the second alignment element118defines a passageway152that extends longitudinally through the second alignment element118. The opening147at the first end144is a first opening of the passageway152and an opening154at the second end146is the second opening of the passageway152. The passageway is configured to receive the water hose124within the opening147at the first end144. The passageway is further configured to be connected at the opening154of the second end146to the spray head104. In some examples, the passageway152can include threads, a recess, a series of projections, and/or other like attachment structures to aid in attaching the water hose124and the spray head104thereto. In the depicted examples, the passageway152includes internal threads156for attaching the water hose124and a series of recesses158at the opening154adjacent the second end146to receive corresponding projections of an attachment portion of the spray head104. In some examples, the recesses158allow for a bayonet connection between the spray head104and the second alignment element118.FIG.18shows another example of a second alignment element218that utilizes internal threads258at a second end246to attach to the spray head104. Like the first alignment element116, the second alignment element118can be constructed of a variety of different materials including, but not limited to, metal (e.g., aluminum) and plastic (e.g., Rulon, Derlin, or other like PTFE plastics). FIGS.19-21show a series of schematic illustrations of an example interfacing of the first and second alignment elements116,118of the alignment coupling114during the docking of a spray head104. The projection132of the first alignment element116is shown. The groove136of the second alignment element118is shown approaching the projection132, indicated by the dashed arrow. The example shown is representative of the spray head104being re-docked to the fixed faucet body102having the projection132. As shown inFIG.20, as the walls148of the groove136interface with the projection132, due to the tapered nature of the groove136, the walls148slide along the fixed projection132in a direction that brings the pocket150closer to the projection132. Because the second alignment element118, and therefore the groove136, is fixed to the spray head104, as the walls148slide on the projection132, the spray head104is rotated and moved closer to the aligned position. In some examples, the second alignment element118can be fixed to the water hose124and the water hose124is fixed to the spray head104. Therefore, upon rotation of the water hose124by the second alignment element118, the water hose124rotates the spray head104. As shown inFIG.21, once the walls148have slid along the projection132to where the projection132becomes positioned within the pocket150, the spray head104is in the aligned position and docked, and thus the alignment coupling114is in the fully engaged position. Such move is done automatically due to the shape of the groove136and/or the projection132. As noted above, the relationship of the groove136and the projection132can be reversed so that the projection132is positioned on the second alignment element118and the groove136is positioned on the first alignment element116. In such an example, the projection132of the spray head104would move within the groove136as the spray head104is being docked and automatically position itself in the pocket150of the groove thanks to the tapered, V-shape configuration. When in the pocket150, the spray head104would be positioned in the aligned position. FIG.22shows a perspective exploded view of the spray head104. As shown, the spray head104includes an outer housing160and a valve162positioned within the outer housing160. In some examples, the valve162is configured to alter the characteristic of the water as it is expelled from the spray head outlet112. The user input110is configured to control the operation of the valve162. As shown, the valve162includes an attachment portion166that includes a plurality of projections168. The attachment portion166is configured to be connected to the second end146of the second alignment element118. The projections168are configured to be received in the recesses158of the second alignment element118to allow for a bayonet attachment between the spray head104and the second alignment element118. FIGS.23and24depict the water hose124connected to the first end144of the second alignment element118. As shown, the second alignment element118is positioned around a portion the water hose124. As shown inFIG.24, the water hose124includes a fitting170that is connected within the passageway152of the second alignment element118. In some examples, the fitting170is mated with the threads156of the second alignment element118. FIGS.25-27show a first alignment element316according to another embodiment of the present disclosure.FIGS.28-29show a second alignment element318configured to interface with the first alignment element316to form an alignment coupling. The first and second alignment elements316,318are configured to have functional properties that are substantially similar to the first and second alignment elements116,118outlined above. The first and second alignment elements316,318are also constructed out of similar material as the first and second alignment elements116,118, as described above. The first alignment element316is configured to be positioned within the faucet body102. The first alignment element316includes a pair of ramps348that surround a passageway319. The passageway319is configured to receive the water hose124. The ramps348are configured to aid in positioning a projection332of the second alignment element318. The ramps348are configured to extend away from an opening317of the first alignment element316and intersect within one another at a pocket350. Such a configuration allows the projection332of the second alignment element318to interface with, and move along, the ramps348, eventually being automatically positioned at the pocket350. When the projection332is positioned within the pocket350, the spray head104is in the aligned position. As seen inFIGS.28and29, the second alignment element318has a tapered outer surface321to ease insertion into the opening317of the first alignment element316. Like the second alignment element118described above, the second alignment element318is configured to be positioned around the water hose124. In some examples, the second alignment element318is positioned adjacent the spray head104. In some examples, the second alignment element318is connected to the spray head104. The second alignment element318includes centering elements338that function in a similar way to the centering elements138described above and aid in positioning the spray head104with respect to the faucet body102. As shown, the projection332extends in a radial direction from the outer surface321. In some examples, the projection332is pin-shaped. FIG.30shows a second alignment element418, according to another example of the present disclosure. The second alignment element418is configured to interface with a first alignment element similar to the first alignment element116, described above. Accordingly, the second alignment element418is configured to have functional properties that are substantially similar second alignment elements118,218, and318described above. The second alignment element418is constructed out of similar material as the first and second alignment elements116,118, as described above. Like the second alignment elements118,218,318described above, the second alignment element418is configured to be positioned around the water hose124, specifically connected to a water hose fitting419. In some examples, the second alignment element418is positioned adjacent the spray head104. In some examples, the second alignment element418is connected to the spray head104. With continued reference toFIG.30, the second alignment element418connected to both the water hose fitting419at a first end460, and a valve component470at a second end462.FIGS.31and32show the valve component470separated from the second alignment element418. In some examples, the valve component470is a portion of a valve, similar to valve162, positioned within the spray head104. In some examples, the valve component470passes water from the second alignment element418and out of the spray head104. In some examples, the valve of which the valve component470is a part of, is configured to alter the characteristic of the water as it is expelled from the spray head outlet112. In some examples, the valve of which the valve component470is a part of, is configured to not alter the characteristic of the water as it is expelled from the spray head outlet112. The second alignment element418is connected to the valve component470at the second end462. In some examples, the second end462is connected over the valve component470. In some examples, the second end462is connected within the valve component470. In some examples, the second alignment element418is threaded onto the valve component470. In other examples, the valve component includes a projection, similar to the projections168described above, to allow for a bayonet-type connection between the second alignment element418and the valve component470. In the depicted example, the second end462is threaded onto the valve component470and includes at least one second alignment stop element472that is configured to mate with a spray head stop474positioned adjacent an attachment portion466of the valve component470. In the depicted example, the second end462of the second alignment element418includes more than one second alignment stop element472. In some examples, the second alignment stop472can be one of a projection and a recess. In some examples, the spray head stop474can be the other of the projection and recess from the second alignment stop472of the second alignment element418. When mated together, the stops472,474prevent incorrect assembly of the second alignment element418and the valve component470. As such, the stops472,474ensure consistent assembly of the second alignment element418and the valve component470because the second alignment element stop472bottoms out the rotation in a first direction F1of the second alignment element418with respect to the valve component470. In some examples, the second alignment stop472and the spray head stop474rotationally align the second alignment element418and the valve component470. In some examples, the valve component470is connected to the spray head104in a way to prevent relative rotation between the valve component470and the outer housing160of the spray head104. Because of this, if the second alignment element418is aligned properly with the valve component470when the second alignment element418interacts with a first alignment element (e.g., first alignment element116), the second alignment element418consistently properly aligns the spray head104with the faucet body104. In some examples, the second alignment stop472and the spray head stop474prevent over-tightening the second alignment element418with the valve component470. FIG.33shows an exploded view of the water hose fitting419, the second alignment element418, and the valve component470. As shown, the second alignment element418includes a seal assembly475positioned therein. The seal assembly475includes a holder476, a collar477, a first seal478, a second seal479, a seal holder480, a spring481, and a spring cage482. The seal assembly475is configured to aid in sealing a spherical portion417of the water hose fitting419within the second alignment element418. The water hose fitting419is allowed to move with respect to the second alignment element418. Specifically, the spherical portion417of the water hose fitting419is configured to form a ball joint-like connection with the second alignment element418. In one example, when the second alignment element418is connected to the spray head104, the ball joint-like connection with the second alignment element418facilitates easy swiveling of the spray head104with respect to the water hose124. In the depicted example, as water pressure increases within the spray head104, the seal assembly475increases the seal force on the water hose fitting419. As water pressure decreases, the seal assembly475decreases the seal force on the water hose fitting419. It is considered within the scope of the present disclosure that, with the use of devices inside of the spray head104(e.g., valves, restrictors, etc.), water pressure within the spray head104can be manipulated. In the depicted example, the seal force on the water hose fitting419increases when water is dispensed from the spray head104and decreases when water is not dispensed from the spray head104. However, in some examples, the seal force on the water hose fitting419decreases when water is dispensed from the spray head104and increases when water is not dispensed from the spray head104. FIG.34shows a side view of the second alignment element418and the water hose fitting419mated together.FIG.35shows a cross-sectional view of the second alignment element418and water hose fitting419along line35-35inFIG.34. The spherical portion417of the water hose fitting419is positioned within the first end of the second alignment element418so that a fitting inner passage415of water hose fitting419communicates with an inner passage483of the second alignment element418. The holder476is configured to be positioned within a main inner cavity486of the second alignment element418. In some examples, the holder476can be threaded into the main inner cavity486. The holder476is configured to aid in axially positioning the first seal478, the second seal479, the seal holder480, the spring481, and the spring cage482within the main inner cavity486. In some examples, the holder476includes an interior seal chamber491that has a first end492and a second end493. The spring481is positioned within the interior seal chamber491between first and second ends492,493of the interior seal chamber491. In some examples, the spring481is positioned between the first end492of the interior seal chamber491and the first seal478. The second seal479and the seal holder480are positioned adjacent the second end493of the interior seal chamber491within the main inner cavity486. The collar477of the seal assembly475surrounds a first end484of the spherical portion417of the water hose fitting419. In some examples, the collar477has a tapered aperture485to facilitate the insertion of the spherical portion417therethrough. In some examples, the collar477can be a rubber seal. In some examples, the collar477can act as a bushing between the second alignment element418and the water hose fitting419. The first seal478is positioned around the spherical portion417of the water hose fitting419, immediately adjacent the seal holder480and the collar477. In some examples, the first seal478is positioned within the main inner cavity486of the second alignment element418. In some examples, the main inner cavity486houses the holder476, the first seal478, the second seal479, the seal holder480, the spring481, and the spring cage482. In some examples, the main inner cavity486has a consistent diameter along its length to facilitate the installation of the seal assembly475within the second alignment element418. In some examples, the first seal478is a rubber seal. The second seal479is positioned around a second end487of the spherical portion417of the water hose fitting419. Specifically, the second seal479defines an aperture490that is sized and shaped to receive the second end487of the spherical portion417. The second seal479is positioned immediately adjacent the seal holder480and the spring cage482. The second seal479is rotationally captured by the seal holder480and is configured to be compressed by a force received at a first axial side488, opposite a second axial side489that faces the seal holder480. As the force received at the first axial side488fluctuates, the second seal479moves axially along a longitudinal axis X of the seal assembly475. In some examples, the second seal479does not contact the main inner cavity486when there is no force received at the axial side488. In some examples, the aperture490of the second seal479travels toward the first end484of the spherical portion417when a force is received at the axial side488, thereby forming a tighter seal around the spherical portion417, due to the spherical configuration of the spherical portion417of the water hose fitting419. In some examples, the second seal479is a rubber seal. The seal holder480is configured to interlock and mate with the second seal479to prevent relative rotation between the seal holder480and the second seal479. In some examples, the seal holder480is of a different material than the second seal479. In some examples, the seal holder480is a rigid material, such as plastic. As noted above, the spring481is positioned within the interior seal chamber491of the holder476. The spring481is positioned between the first end492of the seal chamber491and the second seal479. In some examples, the spring481is also positioned around a cage portion494of the spring cage482and in contact with a flange495of the spring cage482. In some examples, the spring481is configured to exert a predetermined force at the first axial side488of the second seal479. As depicted, the spring481is a compression spring. The spring481can be a variety of different types of springs, for example, a helical spring, a wave spring, a conical spring, a disc spring, etc. The spring cage482is configured to be movably positioned within the interior seal chamber491. The spring cage482includes the cage portion494and the flange495. In some examples, the seal assembly475does not include a spring cage482. In some examples, the spring cage482is constructed of a rigid material. The cage portion494is positioned inside the spring481and allows water to flow axially and radially through the cage portion494and freely within the seal chamber491. In the depicted example, the cage portion494is cylindrical and includes a plurality of slots497to allow for radial water flow therethrough. In some examples, only axial flow through the cage portion is permitted. In some examples, the cage portion494and the flange495are separate pieces. In some examples, the cage portion and flange495are monolithically formed. The flange495is positioned between the spring481and the second seal479. The flange495is generally circular and dispenses a force to the second seal479from the seal chamber491. In some examples, the seal assembly475only includes the flange495and not the cage portion494. In some examples, the flange495is a washer. FIGS.36and37show perspective views of a portion of the seal assembly475, andFIG.38shows an exploded view of a portion of the seal assembly475. As shown, the second seal479and the seal holder480interlock with one another to prevent relative rotation therebetween. In the depicted example, the seal holder480includes a plurality of projections498that are received by a plurality of recesses499of the second seal479. It is considered within the scope of the present disclosure that the seal holder480and second seal479can interlock with each other in a variety of ways. FIG.39is a schematic depiction of the seal assembly475. Water is indicated by arrows W. In some examples, the water pressure within the seal chamber491exerts a force on the spring cage482, thus exerting a force on the second seal479via the flange495. Pressurized water W within the seal chamber491exerts a force on the second seal479, thereby axially moving the second seal479toward the first seal478. The force exerted on the second seal479by the pressurized water W is in addition to the predetermined amount of force exerted by the spring481on the second seal479. The movement of the second seal479toward the first seal478tightens the connection of the aperture490around the second end487of the spherical portion417of the water hose fitting419, thus automatically increasing the seal around the water hose fitting419. As water pressured within the seal chamber491decreases, the force exerted on the second seal479is reduced, thereby allowing the second seal479to move away from the first seal478, thus loosening the connection of the aperture490around the second end487of the spherical portion417of the water hose fitting419and automatically decreasing the seal around the water hose fitting419. In some examples, the lowest force exerted on the second seal479is the force exerted by the spring481. This occurs when there is no force exerted by the pressurized water W within the seal chamber491. In some examples, low flowing water may only exert a low amount of force within the seal chamber491due to the low water pressure associated with the low flowing water. The spring481ensures that a minimum force is always exerted on the second seal479so that a minimum seal can be formed around the water hose fitting419even in low flowing water conditions. In the depicted example, water W enters the seal chamber491via the fitting inner passage415. If the spray head104is dispensing water, the pressurized water W travels into the seal chamber491, increasing the seal around the water hose fitting491, through the seal assembly475, and out of the spray head104. If water is not being dispensed from the spray head104, water W does not pass through the seal assembly475and minimal water pressure exists within the seal chamber491. FIG.40shows a faucet500including a faucet body502and a faucet spray head504that is detachable from a faucet body outlet506of the faucet body502. The faucet500, and specifically the spray head504and the faucet body502, is substantially similar to the faucet100, and specifically the spray head104and the faucet body102described above. As shown inFIG.40, the spray head504is docked with, and immediately adjacent, the faucet body502, in the retracted position. The spray head504is movable away, in the extended position, from the faucet body502so as to allow the user the ability to manipulate the spray head504during use. Although the faucet500can be a pull-down kitchen faucet, this disclosure encompasses other types of faucets, including but not limited to, pull-out faucets. Although this disclosure will be discussed with regard to a kitchen faucet for purposes of example, the system described herein could be implemented in any type of pull-down faucet and/or a pull-out faucet, including a side auxiliary spray faucet. In some examples, the faucet500is a showerhead in a shower. In some examples, the faucet500is any fluid dispensing device that is configured to dispense fluid therefrom. As noted above, the spray head504is detachable so that it can be undocked from the faucet body502to allow for maneuverability by the user to aim the spray head504. In some examples, the operation of a user input510can facilitate the toggling of a valve positioned within the spray head504. The user input510can toggle characteristics of the expelled water, such as, but not limited to, volume and/or temperature. In some examples, the user input510is one of a button, a touch sensitive surface, or the like. The spray head504has at least one aligned position when docked with the faucet body502. The spray head504can have multiple aligned positions. In some examples, the spray head504has two aligned positions, approximately 180 degrees apart from one another around an elongate axis of the spray head504. An aligned position is a position that the spray head504returns to automatically when spray head504is docked with the faucet body502. The aligned position can be a variety of different positions depending on the aesthetics of the faucet500, as well as the particular use of the faucet500. In the depicted example, when in the aligned position, a user input510is positioned facing the faucet body502. In some examples, when in the aligned position, the user input510is positioned facing the left, right, or away from the faucet body502. FIGS.41-44shows one example of the movement of the spray head504as it is guided to the aligned position. Such alignment is facilitated by an alignment coupling514, which includes a first alignment element516and a second alignment element518. The alignment coupling514is substantially similar to the alignment couplings described above. In the depicted example, the second alignment element518is movable with respect to the first alignment element516. The first and second alignment elements516,518can be positioned at a variety of different locations on the faucet500. In the illustrative example shown, the first alignment element516is connected to the faucet body502and the second alignment element518is connected to the spray head504. In some examples, the second alignment element518is positioned around a water hose (not shown) of the faucet500. In other examples, the second alignment element518is attached to a water hose of the faucet500. In some examples, the first and second alignment elements516,518are integrally formed in the faucet body502and spray head504. In some examples, the first and second alignment elements516,518are separate parts from the faucet body502and spray head504. The spray head504is retained immediately adjacent the faucet body502by way of a retention coupling515. In some examples, the alignment coupling514aligns the spray head504and the retention coupling515retains the spray head504in the aligned position. In some examples, the retention coupling515includes a first retention element517connected to the faucet body502, and a second retention element519connected to the faucet spray head504. In some examples, the retention coupling515is separate from the alignment coupling514. In other examples still, at least one of the first or second retention elements517,519is a magnet. FIG.45shows a cross-sectional view of the faucet500with the spray head504in the aligned position.FIG.45also shows a water hose fitting524movably attached to the spray head504and positioned within the faucet body502. The water hose fitting524can be attached to a water hose that is positioned within the faucet body502and movable therein. In the depicted example, the first alignment element516is positioned within the faucet body502, adjacent the outlet506. In the depicted example, the first retention element517is positioned within the faucet body502, and the second alignment element518is positioned at least partially within the spray head504. In the depicted example, the second retention element519is positioned within the spray head504, adjacent the second alignment element518. The faucet500is shown to include a valve562in the spray head504. In some examples, the valve562can be connected to, and operable by, the user input510. In the depicted example, the first alignment element516includes a ring531and a tongue526, the tongue526extending in an axial direction from the ring531, adjacent the outlet506of the faucet body502. In some examples, the ring531includes a projection532extending radially inward therefrom within the outlet506of the faucet body502. In the depicted example, the projection532is positioned at a bottom side of the first alignment element516; however, it is considered within the scope of the present disclosure that the projection532can be positioned in a variety of locations. The first retention element517is positioned adjacent the outlet506of the faucet body502. In some examples, the first retention element517includes a projection507that mates with a corresponding recess509on the faucet body502to reduce relative movement between the faucet body502and the first retention element517. It is considered within the scope of the present disclosure, that the first retention element517can have a recess that can mate with a projection of the faucet body502. In some examples, the first retention element517is fixed to the faucet body502by way of a fastener, such as, but not limited to, at least one screw, bolt, adhesive, and/or the like. Referring still toFIG.45, shows the second alignment element518threaded into the spray head504. In the depicted example, the second alignment element518is positioned around the water hose fitting524. The second alignment element518includes an alignment feature that includes groove536positioned at the periphery of the second alignment element518. The groove536is configured to interface with the projection532of the first alignment element516so as to guide the spray head504toward the aligned position. In some examples, the second alignment element518has a plurality of grooves536that are each configured to interface with the projection532of the first alignment element516so as to guide the spray head504toward the a plurality of aligned positions. The second retention element519is positioned in the spray head504and partially secured within the spray head504by the second alignment element518. In the depicted example, the second retention element519is positioned within the spray head504by way of a flange525. As shown, when the faucet body502and faucet spray head504are immediately adjacent one another, the flange525is positioned between the first retention element517and the second retention element519. The flange525can be a variety of shapes (e.g., thicknesses) to adjust for variability in the size, strength, and position of the first and second retention elements517,519. In some examples, when the faucet body502and faucet spray head504are immediately adjacent one another, the second retention element519is partially positioned within the first retention element517within the faucet body502. In it is considered within the present disclosure that the first and second retention element517,519can be positioned in a variety of different ways to retain the faucet body502and faucet spray head504immediately adjacent one another. In the depicted example, the second retention element519is a magnet. In some examples, the magnet is a neodymium magnet. In some examples, the second retention element519is coated with a coating, such as an epoxy. In some examples, the coating provides the second retention element519with at least some corrosion resistance. In some examples, second retention element519is partially held in place in the spray head504by a fastener, such as, but not limited to, at least one screw, bolt, adhesive, and/or the like. In some examples, the second retention element519is epoxied into the spray head504. In some examples, the second retention element519is not a complete ring. In some examples, the second retention element519includes multiple pieces. It is considered within the scope of the present disclosure that the second retention element519can be a variety of different shapes, sizes, and materials. The second retention element519and the first retention element517are attracted to one another to retain the spray head504. The second retention element519is positioned at least partially between the second alignment element518and a positioning ring521. In some examples, a bumper523is positioned between the second retention element519and the positioning ring521so that the bumper523at least partially prevents hard contact between the second alignment element518and the positioning ring521. In some examples, the second retention element519is a brittle magnet and the bumper523reduces hard contact between the second retention element519and the positioning ring521. The bumper523can be constructed of a variety of materials such as, but not limited to, a rubber, a foam tape, or other like material. In some examples, the positioning ring521is configured to at least partially position the second retention element519within the spray head504. In some examples, the positioning ring521has an integral bumper configured to interface with the second retention element519. In some examples, the positioning ring521is threaded into the spray head504. In some examples, the positioning ring521is metal. In some examples, the positioning ring521is a plastic material. FIG.46shows the first alignment element516and the first retention element517positioned within the faucet body502. In some examples, at least one of the first alignment element516or the first retention element517are positioned at least partially between the outlet506and an apex of a neck508. In some examples, the first retention element517is fixed within the outlet506of the faucet body502. The first retention element517can be integral with the faucet body502. In some examples, the first retention element517is integral with the first alignment element516. In other examples, the first retention element517is fixed to the faucet body502by way of a press fit. In other examples still, the first retention element517is fixed to the faucet body502by way of brazing, welding, or the like. The first retention element517, in some examples, at least partially secures the first alignment element516in the faucet body502. As shown in the depicted example, the first alignment element516is positioned within, and engaged with, the first retention element517. In some examples, the first retention element517is a metal ring attached into the faucet body502. In some examples, the first retention element517is a stainless steel ring welded into the faucet body502. In some examples, the first retention element517is a2205magnetic grade stainless steel ring welded into the faucet body502. In some examples, the first retention element517is an insert that is not a complete ring. In some examples, the first retention element517includes multiple pieces. It is considered within the scope of the present disclosure that the first retention element517can be a variety of different shapes, sizes, and materials. In some examples, the first alignment element516is at least partially constructed from a plastic. In some examples, the first alignment element516is clipped at least partially behind the first retention element517within the outlet506. FIG.47shows the second alignment element518mounted to the spray head504.FIG.48shows the second alignment element518, second retention element519, bumper523, and positioning ring521spaced away from the spray head504. In some examples, the second alignment element518is fixed to the spray head504to prevent relative movement therebetween. In some examples, the second alignment element518is mounted adjacent to the spray head504. In some examples, the second alignment element518is positioned around the end of the water hose fitting524. In some examples, the spray head504includes a cover522. The flange525, attached to the second alignment element518in the depicted examples, helps to secure the second retention element519within the spray head504. In some examples, the flange525is separate from the second alignment element518. In some examples, the flange525acts as a buffer between the first retention element517and the second retention element519. FIG.49shows a perspective view of the first alignment element516. As shown, the first alignment element516includes the ring531, where the projection532extends radially inward therefrom. The first alignment element516also includes the tongue526with a ramped portion542that extends generally in an axial direction away from the ring531. The tongue526is configured to at least partially guide a water hose attached to the spray head504. In some examples, the first alignment element516includes an auxiliary alignment projection533extending radially inward from the ring531to aid in aligning the spray head504. In further examples, first alignment element516includes at least one fastener535to position the first alignment element516behind the first retention element517within the faucet body502. In the depicted example, the fastener535is a pair of clips. FIG.50shows a perspective view of the second alignment element518. The second alignment element518is generally cylindrical. As noted above, the second alignment element518is substantially similar to the alignment elements described above. The second alignment element518includes an alignment feature that includes at least one tapered groove536positioned at the periphery of the second alignment element518. In some examples, the second alignment element518includes a pair of grooves536, on opposite sides (i.e. separated by approximately 180 degrees) at the periphery of the second alignment element518. Depending on the desired amount of, and position of, aligned positions, it is considered within the scope of the present disclosure that a variety of different numbers of grooves separated by a variety of different separations can be used. The groove536is v-shaped and configured to interface with and contact the projection532of the first alignment element516to move the spray head504toward a narrower portion of the groove536so that the spray head504is moved to the aligned position during docking. When the spray head504is decoupled and spaced away from faucet body502, the groove536and the projection532are not in contact with one another. The groove536has walls537that extend toward one another in a generally axial direction that guide the projection532. In some examples, the second alignment element518includes threads539to be mated with the spray head504. In some examples, the flange525is positioned on the second alignment element518between the groove536and the threads539. FIG.51show another perspective view of the second alignment element518. The second alignment element518includes a first end544and a second end546. The first end544is configured to interface with the first alignment element516and the second end546is configured to be mated with a portion of the faucet spray head504. The second end546is shown to include at least one alignment stop548configured to mate with a corresponding spray head alignment stop550of the faucet spray head504, shown inFIG.52. The alignment stops548,550operate substantially similar to the alignment elements described above. The alignment stops548,550aid in proper assembly of second alignment element518and spray head504and the alignment stops548,550further aid in reducing the opportunity of the second alignment element518from being removed, e.g. unthreaded, from the spray head504. The alignment stop548and the spray head alignment stop550include at least one projection. In some examples, as shown inFIG.51, the alignment stop548can be one of a projection and a recess. In the depicted example, the second end546of the second alignment element518includes more than one alignment stop. In some examples, the spray head alignment stop550can be the other of the projection and recess from the alignment stop548of the second alignment element518. The alignment stop548includes a spring-loaded tab552and a rotational stop554that are configured to reduce relative axial rotation of the second alignment element516in a first direction F1and an opposite second direction F2between the second alignment element518and the faucet spray head504. When mating together, the spring-loaded tab552flexes over spray head alignment stop550and the rotational stop554engages the opposite side of the spray head alignment stop550to reduce relative rotation between the spray head504and the second alignment element518. Because of this, when the second alignment element518is aligned properly with the spray head504, the second alignment element518works with the first alignment element516to consistently properly align the spray head504with the faucet body502. Examples of the disclosure are reflected in the below-listing of examples, as well as the claims included herein. In Example 1, a faucet includes a faucet body including a first alignment element located at an outlet within the faucet body and a faucet spray head being positionable at the outlet of the faucet body. The faucet spray head includes a second alignment element, the faucet spray head having an aligned position and a plurality of misaligned positions with respect to the faucet body. The first and second alignment elements form an alignment coupling, the alignment coupling including a projection and a tapered groove, wherein at least one is movable with respect to the other, and wherein, when the projection is positioned at a narrowest portion of the tapered groove, the faucet spray head is in the aligned position. In Example 2, the faucet of Example 1 is modified in that the projection is configured to interface with walls of the tapered groove to align the faucet spray head. In Example 3, the faucet of Example 1 is modified in that the first alignment element includes a ring and a tongue, the tongue extending in an axial direction from the ring, wherein the ring includes the projection extending radially inward therefrom within the outlet of the faucet body. In Example 4, the faucet of Example 1 is modified in that the first alignment element includes a tongue surface, wherein the tongue surface extends within the faucet body from the outlet of the faucet body, and wherein the tongue surface is raised above a lower surface of the faucet body. In Example 5, the faucet of Example 1 is modified in that the second alignment element is generally cylindrical, and the tapered groove has walls that extend toward one another in a generally axial direction on an outer surface of the second alignment element to form a v-shaped groove. In Example 6, the faucet of Example 1 is modified in that the alignment coupling includes at least one centering element, wherein the at least one centering element is configured to center the faucet spray head within the outlet of the faucet body. In Example 7, the faucet of Example 1 is modified in that the at least one centering element is a plurality of centering elements positioned at a periphery of the second alignment element. In Example 8, the faucet of Example 1 is modified in that the faucet body includes an arcuate neck that includes the outlet, wherein an arcuate side profile is created when the faucet spray head is in the aligned position, and wherein the arcuate side profile is interrupted when the faucet spray head is in the plurality of misaligned positions. In Example 9, the faucet of Example 1 is modified in that the alignment coupling is coupled when the first and second alignment elements are in contact with each other, and wherein the alignment coupling is decoupled when the first and second alignment elements are not in contact with each other, wherein when decoupled, the faucet spray head is spaced away from the outlet of the faucet body. In Example 10, the faucet of Example 1 is modified to further include a water hose connected to the faucet spray head, wherein the second alignment element is secured around the water hose, wherein the water hose includes a pullback feature in communication therewith, and wherein the pullback feature pulls the faucet spray head toward the outlet of the faucet body. In Example 11, the faucet of Example 10 is modified in that the pullback feature is a weight attached to the water hose. In Example 12, the faucet of Example 1 is modified in that the alignment coupling is integral with the faucet body and faucet spray head. In Example 13, the faucet of Example 1 is modified in that the alignment coupling is mounted to the faucet body and faucet spray head. In Example 14, a faucet includes a faucet body; a faucet spray head movable with respect to the faucet body; a first alignment element mounted within the faucet body, the first alignment element having a first alignment feature, the first alignment feature including one of a projection and a tapered groove; and a second alignment element mounted to the faucet spray head, the second alignment element having a second alignment feature, the second alignment feature including the other of the projection and tapered groove. The projection is configured to interface with walls of the tapered groove to align the faucet spray head. In Example 15, the faucet of Example 14 is modified in that the first alignment element includes a ring and a tongue, the tongue extending in an axial direction from the ring, wherein the ring includes the projection extending radially inward therefrom. In Example 16, the faucet of Example 14 is modified in that the first alignment element includes a tongue surface, wherein the tongue surface extends within the faucet body from the outlet of the faucet body, and wherein the tongue surface is raised above a lower surface of the faucet body. In Example 17, the faucet of Example 14 is modified in that the second alignment element is generally cylindrical, and the tapered groove has walls that extend toward one another in a generally axial direction on an outer surface of the second alignment element to form a v-shaped groove. In Example 18, the faucet of Example 14 is modified in that the second alignment element includes a plurality of centering elements, wherein the plurality of centering elements is configured to center the faucet spray head within the outlet of the faucet body, and wherein the plurality of centering elements is positioned at a periphery of the second alignment element. In Example 19, an alignment coupling for a faucet includes a first alignment element positionable within a faucet body, the first alignment element having a first alignment feature, the first alignment feature including one of a projection and a tapered groove, and a second alignment element being movable with respect to the first alignment element, the second alignment element having a second alignment feature, the second alignment feature including the other of the projection and tapered groove. The tapered groove includes walls that extend toward each other, and the walls guide the projection to a narrowest portion of the tapered groove. In Example 20, the alignment coupling of Example 19 is modified in that the first alignment element includes a ring and a tongue, the tongue extending in an axial direction from the ring, wherein the ring includes the projection extending radially inward therefrom. In Example 21, the alignment coupling of Example 20 is modified in that the first alignment element includes a faucet body alignment portion being at least one of a projection and a recess, wherein the faucet body alignment portion is engagable with a corresponding projection and recess of the faucet body to align the first alignment element within the faucet body. In Example 22, the alignment coupling of Example 19 is modified in that the first alignment element is positionable at an outlet of the faucet body. In Example 23, the alignment coupling of Example 19 is modified in that the second alignment element is generally cylindrical, and the tapered groove has walls that extend toward one another in a generally axial direction on an outer surface of the second alignment element to form a v-shaped groove. In Example 24, the alignment coupling of Example 19 is modified in that the second alignment element is positionable adjacent the spray head. In Example 25, the alignment coupling of Example 19 is modified in that the second alignment element is connected to the spray head. In Example 26, the alignment coupling of Example 25 is modified in that the second alignment element is generally cylindrical and includes a first end and a second end. The first end is configured to interface with the first alignment element and the second end is configured to be mated with a portion of the spray head. The second end has at least one alignment stop configured to mate with a spray head alignment stop of the spray head to prevent rotation in a first direction between the spray head and the second alignment element, and the at least one alignment stop and the spray head alignment stop include at least one projection. In Example 27, the alignment coupling of Example 26 is modified in that when the at least one alignment stop and the spray head alignment stop are mated with one another, and when the projection of the first alignment feature is positioned within the narrowest portion of the tapered groove, the spray head and faucet body are in an aligned position, wherein the faucet body includes an arcuate neck, and wherein an arcuate side profile is created when the spray head is in the aligned position with the faucet body. In Example 28, the alignment coupling of Example 26 is modified in that the spray head includes a valve component having an attachment portion that is configured to be connected to the second end of the second alignment element, wherein the spray head alignment stop is positioned adjacent the attachment portion. In Example 29, the alignment coupling of Example 28 is modified in that the attachment portion includes threads thereon configured to be received within the second end of the second alignment element to allow for a threaded attachment between the spray head and the second alignment element. In Example 30, the alignment coupling of Example 26 is modified in that the spray head alignment stop is disposed on a valve component of a valve of the spray head, wherein the valve is controlled via a user input positioned on the spray head. In Example 31, a fluid dispensing device includes a body; a spray head movable with respect to the body; a water hose being positioned within the body and connected to the spray head at a fitting, the fitting have a spherical portion positioned within the spray head; and a seal assembly positioned within the spray head and positioned at least partially around the spherical portion of the fitting. The seal assembly includes a holder defining an interior seal chamber, the interior seal chamber having a first end and a second end; a first seal positioned around the spherical portion of the fitting; a second seal having an opening positioned around the spherical portion of the fitting; a seal holder positioned between the first and second seals, the seal holder and second seal being interlocked to reduce relative rotation between the second seal and the seal holder; and a spring positioned within the interior seal chamber, wherein the spring is positioned between the first end of the seal chamber and the second seal. In Example 32, the fluid dispensing device of Example 31 is modified to further include a further include a spring cage positioned within the interior seal chamber, the spring cage having a second seal flange and a flow portion, wherein the second seal flange contacts the second seal and the spring, and the flow portion being surrounded by the spring, wherein the flow portion has a perforated construction. In Example 33, the fluid dispensing device of Example 32 is modified in that the flow portion of the spring cage is cylindrical. In Example 34, the fluid dispensing device of Example 31 is modified in that the seal holder and the second seal interlock with a plurality of projections and recesses. In Example 35, the fluid dispensing device of Example 31 is modified in that the holder, first seal, second seal, and seal holder are positioned within a main body recess of the spray head. In Example 36, the fluid dispensing device of Example 31 is modified in that the first seal, second seal, and seal holder are not positioned within the interior seal chamber. In Example 37, the fluid dispensing device of Example 31 is modified in that the second seal is compressible around an end portion of the spherical portion of the fitting. In Example 38, the fluid dispensing device of Example 37 is modified in that the second seal is compressible at a side facing the interior seal chamber. In Example 39, the fluid dispensing device of Example 37 is modified in that the second seal is compressible by water pressure. In Example 40, a fluid dispensing device includes a body; a spray head movable with respect to the body; a water hose being positioned within the body and connected to the spray head at a fitting, the fitting have a spherical portion positioned within the spray head; and a seal assembly positioned within the spray head and positioned at least partially around the spherical portion of the fitting, wherein the seal assembly automatically increases a sealing force around the fitting when the spray head dispenses water. In Example 41, the fluid dispensing device of Example 40 is further modified in that the seal assembly includes: a holder defining an interior seal chamber, the interior seal chamber having a first end and second end; a first seal positioned around the spherical portion of the fitting; a second seal having an opening positioned around the spherical portion of the fitting; a seal holder positioned between the first and second seals, the seal holder and second seal being interlocked to reduce relative rotation between the second seal and the seal holder; and a spring positioned within the interior seal chamber, wherein the spring is positioned between the first end of the interior seal chamber and the second seal. In Example 42, a method of operating a fluid dispensing device includes providing a body and a spray head movable with respect to the body; providing a water hose being positioned within the body and connected to the spray head at a fitting, the fitting have a spherical portion positioned within the spray head; providing a seal assembly positioned within the spray head and positioned at least partially around the spherical portion of the fitting; automatically increasing a sealing force around the fitting using the seal assembly when the spray head dispenses water; and automatically decreasing a sealing force around the fitting using the seal assembly when the spray head does not dispense water. In Example 43, a faucet includes a faucet body and a faucet spray head being movable from a retracted position adjacent the faucet body and an extended position away from the faucet body. In the retracted position, the faucet spray head has an aligned position with the faucet body. The faucet includes an alignment coupling. The alignment coupling includes a first alignment element connected to the faucet body and a second alignment element connected to the faucet spray head. The alignment coupling includes a projection and a tapered groove. At least one of the projection or the tapered groove is movable with respect to the other, and the tapered groove guides the projection to a narrowed portion of the tapered groove to guide the faucet spray head to the aligned position. The faucet includes a retention coupling that is configured to retain the faucet body and the faucet spray head immediately adjacent one another. The retention coupling includes a first retention element connected to the faucet body and a second retention element connected to the faucet spray head. The retention coupling is separate from the alignment coupling, and at least one of the first or second retention elements is a magnet. In Example 44, the faucet of Example 43 is modified in that the second alignment element at least partially secures the second retention element in the faucet spray head. In Example 45, the faucet of Example 43 is modified in that the first retention element at least partially secures the first alignment element in the faucet body. In Example 46, the faucet of Example 43 is modified in that the second retention element in the faucet spray head is a magnet. In Example 47, the faucet of Example 43 is modified in that the first alignment element includes a ring and a tongue, the tongue extending in an axial direction from the ring at least partially within the faucet body from an outlet of the faucet body, wherein the ring includes the projection extending radially inward therefrom within the outlet of the faucet body, wherein the second alignment element is generally cylindrical, and wherein the tapered groove has walls that extend toward one another in a generally axial direction on an outer surface of the second alignment element to form a v-shaped groove. In Example 48, the faucet of Example 43 is modified in that the first retention element is a stainless steel ring welded into the faucet body. In Example 49, the faucet of Example 43 is modified in that the magnet is a coated neodymium magnet. In Example 50, the faucet of Example 48 is modified in that the magnet is held in place in the faucet spray head by epoxy. In Example 51, the faucet of Example 48 is modified in that the magnet is positioned at least partially between the second alignment element and a bumper. In Example 52, the faucet of Example 43 is modified in that the magnet is positioned at least partially within the faucet spray head between the second alignment element and a positioning ring. In Example 53, the faucet of Example 52 is modified to further include a bumper positioned between the magnet and the positioning ring. In Example 54, the faucet of Example 43 is modified in that the alignment coupling is coupled when the first and second alignment elements are in contact with each other, and wherein the alignment coupling is decoupled when the first and second alignment elements are not in contact with each other, wherein when decoupled, the faucet spray head is spaced away from an outlet of the faucet body. In Example 55, the faucet of Example 43 is modified to further include a water hose connected to the faucet spray head, wherein the second alignment element and second retention element are positioned around the water hose. In Example 56, the faucet of Example 43 is modified in that the second alignment element is generally cylindrical and includes a first end and a second end, wherein the first end is configured to interface with the first alignment element and the second end is configured to be mated with a portion of the faucet spray head, the second end having at least one alignment stop configured to mate with a spray head alignment stop of the faucet spray head to reduce rotation in a first direction between the faucet spray head and the second alignment element, and wherein the at least one alignment stop and the spray head alignment stop include at least one projection. In Example 57, the faucet of Example 56 is modified in that the at least one alignment stop includes a spring-loaded tab that is configured to contact the spray head alignment stop. In Example 58, the faucet of Example 57 is modified in that the at least one alignment stop includes a rotational stop, wherein the spring-loaded tab and the rotational stop are configured to reduce relative rotation in the first direction and a second direction between the second alignment element and the faucet spray head. In Example 59, the faucet of Example 43 is modified in that the faucet spray head has a plurality of misaligned positions with respect to the faucet body. In Example 60, the faucet of Example 43 is modified in that the first alignment element is positioned at least partially between an outlet of the faucet body and an apex of an arcuate neck of the faucet body. In Example 61, the faucet of Example 43 is modified in that the first retention element is positioned adjacent an outlet of the faucet body. In Example 62, a faucet includes a faucet body having an outlet and a hose positioned within the faucet body and passing through the outlet. The hose is movable within the faucet body. The faucet includes a faucet spray head being connected to the hose and movable between an extended position and a retracted position. When in the extended position, the spray head is spaced away from the outlet of the faucet body, and, when in the retracted position, the spray head is positioned immediately adjacent the outlet. The faucet includes an alignment coupling that includes a first alignment element connected to the faucet body; and a second alignment element connected to the faucet spray head. The alignment coupling aligns the faucet spray head with the faucet body. The faucet includes a retention coupling that is configured to retain the faucet body and the faucet spray head immediately adjacent one another. The retention coupling includes a first retention element connected to the faucet body, adjacent the first alignment element and a second retention element positioned in the faucet spray head by, at least partially, the second alignment element. At least one of the first or second retention elements is a magnet. In Example 62, the faucet of Example 61 is modified in that the second alignment element is generally cylindrical and includes a first end and a second end, wherein the first end is configured to interface with the first alignment element and the second end is configured to be mated with a portion of the faucet spray head, the second end having at least one alignment stop configured to mate with a spray head alignment stop of the faucet spray head, wherein the at least one alignment stop and the spray head alignment stop include at least one projection, and wherein the at least one alignment stop includes a spring-loaded tab and a rotational stop that are configured to reduce relative rotation in a first direction and a second direction between the second alignment element and the faucet spray head. In Example 63, a faucet includes a faucet body and a faucet spray head being positionable at, and separable from, an outlet of the faucet body. The faucet spray head has an aligned position with the faucet body. The faucet includes a retention coupling configured to retain the faucet body and the faucet spray head immediately adjacent one another. The retention coupling includes a first retention element connected to the outlet of the faucet body. The first retention element includes a securing feature configured to aid in reducing relative movement between the first retention element and the outlet. The retention coupling includes a second retention element positioned within the faucet spray head by way of a flange. When the faucet body and the faucet spray head are immediately adjacent one another, the flange is positioned between the first retention element and the second retention element. At least one of the first or second retention elements is a magnet. In Example 64, the faucet of Example 63 is modified to further include an alignment coupling, the alignment coupling comprising: a first alignment element connected to the faucet body and a second alignment element connected to the faucet spray head, wherein the alignment coupling includes a projection and a tapered groove, wherein at least one of the projection or the tapered groove is movable with respect to the other, and wherein the tapered groove guides the projection to a narrowed portion of the tapered groove to guide the faucet spray head to the aligned position. The various embodiments described above are provided by way of illustration only and should not be construed to limit the claims attached hereto. Those skilled in the art will readily recognize various modifications and changes that may be made without following the example embodiments and applications illustrated and described herein, and without departing from the true spirit and scope of the following claims. | 83,627 |
11859375 | DETAILED DESCRIPTION With initial reference toFIG.1, a faucet assembly10includes a faucet11that has a mounting plate12and a spout14. The mounting plate12is adapted to stand on the rim15of a sink16or on a counter surrounding an under-the-counter mounted sink. Some stylized faucets do not have a mounting plate12and the bottom of the spout14is mounted directly to the surface adjacent the basin24of the sink16. The spout14extends upward from the mounting plate12in an inverted J-shaped manner. Specifically, the spout14has a first end17with a generally vertical, tubular base18projecting upward from the mounting plate12and connecting into a tubular, arched portion20that curves upward and outward over the sink basin24and then continues curving downward terminating at a second end19that has a water outlet22. The water outlet22has a nozzle from which a stream26of water flows when the faucet assembly10is activated. Although the present embodiment is being described in the context of a high arching type spout, the faucet11may have other types of spouts which project upward and forwardly outward from a base section to a water outlet. The faucet11may have a pull-out style spray head in which the water outlet is attached to a hose that extends through the spout. A light emitter30, such as a semiconductor laser, light emitting diode (LED) or other device that emits a beam32of light, is mounted on the spout14adjacent the water outlet22and facing the base18. The light emitter30is oriented to direct the light beam32in a downward angle toward the base. A light sensor34is located on the base18at a position to receive the beam32of light. For this embodiment, a semiconductor laser has the advantage of producing a highly collimated, narrow light beam32whereby most, if not all, of the light impinges the sensor34. Nevertheless light from another type of emitter that is focused into a narrow beam also may be used. Such as narrow light beams provides a relatively small object detection zone along the path of that beam. Preferably, the light is visible to the human eye, so that when a hand of a user or other item blocks the light beam32, a visible spot of light appears on that object to indicate that the beam has been interrupted. Nonetheless, a beam of invisible light, such as in the infrared spectrum, can be utilized. Alternatively, the locations of the light emitter30and the sensor34can be reversed, wherein the light emitter is mounted on or proximate the base18and the sensor is on or proximate the spout, however with this variation a spot of light on the hands may not be visible to the user. This alternative also may allow some of the emitted light to travel visibly across the room in which the sink16is located. Operation of the faucet assembly10is controlled by an electrical circuit40shown inFIG.2in which the light emitter30and the sensor34are connected to a controller44. The controller44is powered by a battery42or a low voltage DC power supply connected to a 110 or 220 volt AC electrical system in a building. The light emitter30is activated periodically by an output signal from a control circuit46and when activated, produces a beam32of light. Upon being impinged by the light beam32, the sensor34produces an electrical signal that is applied to an input of the control circuit46. Any of several well-known signal processing techniques or filters can be employed to prevent light in the room from activating the faucet assembly110. The control circuit46preferably is microcomputer based and has a memory that stores a control program which governs operation of the faucet assembly10and stores data used by that control program. Inputs of the control circuit46are connected to a user input device50that in the illustrated embodiment is a touchpad, such as commonly found on laptop computers for the user to move a cursor on the display screen. The touch pad produces output signals indicating a two dimensional location on the surface of the touch pad that is touched by the user. The X signal for one orthogonal axis of touch pad indicates the desired temperature of the water discharged from the faucet11, while the Y signal for the other orthogonal axis indicates a desired flow rate of that water. By touching different locations on the touchpad the user is able to change the temperature and flow rate. Alternatively conventional pushbutton switches can be employed as the user input device50by which the user increases and decreases the water temperature and flow rate. Pushbutton switches also may be provided for selecting preset water temperatures or flow rates that have been programmed into the control circuit46. When the faucet11is not being used, the light beam32travels from the emitter30to the light sensor34, thereby producing an electrical signal that is applied to an input of the control circuit46. As long as the control circuit46receives that electrical signal, a determination is made that a user is not present at the faucet11and the water is not permitted to flow to the faucet spout14. Referring again toFIG.1, note that the light beam32does not intersect a “flow region” beneath the outlet22through which the outlet water stream26flows, nor does it intersect any region beneath the water outlet22in which the user typically places hands or other objects for washing or other sink use. In one embodiment, the light beam32does not intersect a larger “work region”66which extends downward from the second end19of the spout to the edge of the upper opening27of the basin24. For the exemplary rectangular basin24, the work region66has the form of a rectangular pyramid, edges of each side being indicated by dashed lines inFIG.1, however for an circular or oval basin, the work region is conical. In other words, the work region66has a lower boundary defined by the upper opening27of the basin24and tapers upward to the second end19of the spout at which the water outlet22is located. The work region66may in addition include the interior of the basin24, thus being bounded further by the side walls and bottom of the basin. The path of the light beam32, by avoiding the flow region and work region, allows a person to use the sink without activating the water flow. For example, a large pot of water may be emptied into the sink or dishes can be washed in water retained in the basin without that activity interrupting the light beam132and thereby triggering the water flow. As used herein the “flow region beneath the outlet” refers to the space under the faucet spout where an object is placed so that water from the outlet will impinge upon the object and excludes other spaces below the vertical location of the outlet where water from the outlet will not strike an object placed there. Although in first faucet assembly10, the light sensor34is lower than the water outlet22, the sensor is set back toward the rear of the sink, so that the light beam32that is aimed at the sensor does not intersect the flow region beneath the outlet22that is defined by the outlet water stream26. When a user approaches the sink16and desires to activate the faucet11, his or her hand or another object is placed between the light emitter30and sensor34, thereby interrupting the light beam32. The path of the narrow light beam132defines a detection zone. As noted previously, it is preferred that the light is in the visible spectrum so as to produce a perceptible spot of light on the object to indicate to the user that the light beam is blocked. Furthermore, this spot is visible to the user because the light travels from adjacent the water outlet22of the faucet downward toward the back of the sink basin24and near the tubular base18of the faucet spout. This path illuminates a portion of the hand or the other object that is visible to the user. Referring again toFIG.2, interrupting the light beam32in this manner terminates the previously occurring electrical signal produced by the light sensor34and applied to the input of the control circuit46. When the control circuit46recognizes that it is not receiving an input signal in response to activating the light emitter30, a determination is made that a person is present and desires to use the sink16. In response to that determination, the control circuit46sends output signals which cause a pair of valve drivers56and58to open a valve assembly60that comprises two proportional solenoid valves61and62. The two solenoid valves61and62respectively control the flow of hot and cold water to the spout14. Specifically, the outlets of the two solenoid valves61and62are connected together to produce a mixture of the hot and cold water that is fed through the spout14to produce the outlet water stream26. The valve assembly60may employ other electrically operated valve arrangements to produce a mixture of hot and cold water. The valve assembly60, along with the controller44, usually are located beneath the sink16. The amounts to which the hot and cold solenoid valves61and62are opened are specified independently by respective first and second values stored within the memory of the control circuit46. Those values are set by the signals from the user input device50and are used by the control circuit to determine the magnitude of the control signals sent to the valve drivers56and58and thus the level of electric current applied to each proportional solenoid valve61and62. With reference to the orientation of the touch pad52inFIG.2, touching a finger to different locations along the horizontal axis of the touch pad designate different desired temperatures. The resultant signal for that axis of the touch pad52causes the control circuit to increases or decrease the first value which designates the amount that the hot water solenoid valve61is to open, and changes the second value in the opposite manner to alter the amount that the cold water solenoid valve62is to open. For example, moving a finger to the right on the touch pad52designates that the water temperature should increase which results in the first value for the hot water solenoid valve61increasing and the second value for the cold water solenoid valve62decreasing. This action sends more hot water and less cold water to the spout14. Touching different locations along the vertical axis of the touch pad52, oriented as inFIG.2, alters the water flow rate by modifying both the first and second values by the same amount and to alter the changing the opening of both solenoid valves61and62equally. It should be understood that the two solenoid valves61and62may not be opened the same amounts as the water temperature setting may designate a greater amount of hot or cold water. For example, moving a finger downward on the touch pad52designates that the water flow rate should decrease. This movement will decrease both the first and second values by identical amounts which decreases the flow rates of the hot and cold water to the same extent while maintaining the same proportion of flow rates and thus the same temperature mixture of the water from the faucet11. Reference herein to directional relationships and movements, such as horizontal and vertical, up and down, or left and right, refer to a relationship and movement associated with the orientation of components as illustrated in the drawings, which may not be the orientation of those components when installed on or near a sink. After interruption of the light beam has been indicated either by a spot of light on the user's hand or by water commencing to flow from the faucet, the hands of the user can be removed from blocking the light beam. Once activated, the faucet11may remain open for a fixed period of time, as determined by a software timer implemented by the microcomputer within the control circuit46. During that time period, the control circuit continues to periodically activate the light emitter30and inspect the signal produced by the light sensor34. If the user interrupts the light beam32again while water is flowing from the spout14, the two solenoid valves61and62are closed immediately even though the fixed period of time has not elapsed. Alternatively, the faucet assembly10could be configured so that the two solenoid valves61and62remain open only while the light beam32continues to be interrupted. A person may use the sink without turning on the water. The person may work underneath the spout outlet22and not activate the water flow because the light beam does not intersect the flow region beneath the outlet22or the larger work region66. Thus the person may peel vegetables, place dishes in the sink, or empty a pan of water without water flowing from the spout. The location of the detection zone defined by the path of the light beam32allows such use of the sink. Anytime that water flow from the spout14is desired, the user simply moves a hand or other object through the detection zone defined by the light beam32, thereby momentarily interrupting the light beam. Referring toFIG.3, a second faucet assembly110includes a faucet111that has a mounting plate112affixed adjacent the basin124of a sink116and has a spout114projecting upward from the mounting plate inverted J-shaped manner. Specifically, the spout114has a generally vertical, tubular base118extending upward from a first end117abutting the mounting plate112and connecting into an arched portion120that curves upward and outward over the sink basin124. The arched portion120continues curving downward to a remote second end119of the spout114. The second end119has a water outlet122, also referred to as a spray head, which produces a stream of water126when water flows through the spout. A proximity detector130is mounted on the spout114near the second end119and faces the base118. The proximity detector130incorporates a light emitter, such as a light emitting diode (LED), and a light sensor similar to components30and34in the first faucet assembly10. The light emitter and light sensor are arranged near to each other so as to project a narrow beam132of visible light downward toward the spout base118and sense any light that is reflected back to the detector by an object133, such as a user's hands, that may be placed in the light beam. The path of the light beam132forms a detection zone which does not intersect the flow region beneath the water outlet122, through which the outlet water stream26flows, nor does the light beam intersect the work region of the sink. The second faucet assembly110includes a manually operated mixing valve134that is mounted on the rim of the sink adjacent the mounting plate112. Alternatively, the mixing valve could be incorporated into the tubular base118of the spout114as long as a separate outlet is provided for an automatic mixing valve assembly147, as will be described. With reference toFIG.4, this type of mixing valve134has a mixing stage that combines water from hot and cold water supply lines141and142into an intermediate chamber. The proportion of the hot and cold water that mixes in the intermediate chamber is varied by the rotational position of a lever144. The mixing valve134has a flow shutoff valve that, when open, allows water to flow from the intermediate chamber to a first outlet145. The flow shutoff valve is closed by tilting the lever144into the downward most position. Raising the lever144from that downward most position opens the flow shutoff valve and the amount that the lever is raised proportionally controls the rate of water flow to the first outlet145. The first outlet145of the mixing valve134is connected to the inlet148of the spout114. The mixing valve134has a second outlet146that is connected directly to the intermediate chamber. Thus, regardless of the open or closed state of the flow shutoff valve, the hot and cold water mixture in the intermediate chamber always is able to flow from the second outlet146. An suitable manual mixing valve is described in U.S. Patent Application Publication No. 2008/0072965, for example, however other types of manual mixing valves can be used. The second outlet146is connected to an electrically operated valve assembly147having a single solenoid valve that couples the second outlet to the inlet148of the spout114. Operation of the valve assembly147is governed by a controller150that includes a control circuit152for operating a valve driver154connected to the valve assembly147. The control circuit152has an output connected to a light emitter156and an input connected to a light sensor158, wherein the light emitter and the light detector are parts of the proximity detector130. The controller150includes a power supply159, such as a battery. The second faucet assembly110can be operated automatically in a similar manner as the first faucet assembly10by placing a hand or other object in the light beam132. Such action reflects light back to the sensor within the proximity detector130. Since light from that light beams only strikes the sensor158when an object is present, the control circuit152only receives an active signal from the light sensor at that time. At such time, the control circuit responds by sending an output signal to the valve driver154that responds by opening the valve assembly147to feed the mixture of hot and cold water from the second outlet146of the mixing valve134to the inlet148of the spout114. The amount that the valve assembly147is opened, and thus the flow rate of the water, is preset in the control circuit. Note that the water temperature is determined by the mixing stage of the manual mixing valve134. Thereafter, the control circuit152closes the valve assembly147upon either the user again placing a hand or other object in the light beam132or after a predefined activation time period has elapsed, whichever occurs first. The second faucet assembly110can be operated manually by the user lifting the lever144which opens the flow control valve stage of the mixing valve134. The amount that the lever is raised determines the degree to which the flow control valve stage opens and thus the flow rate of the water. The flow control valve stage of the mixing valve134is connected in parallel with the electrically operated valve assembly147, thus when either one is open water flows from the intermediate chamber of the mixing valve to the faucet spout114and water outlet122. Regardless of which one of the manual mixing valve134or the electrically operated valve assembly147is open, rotating the lever144of the mixing valve134controls the temperature of the water fed to the water outlet122. FIG.5illustrates a third faucet assembly180that is similar to the second faucet assembly110, except for using a manually operated mixing valve182that has a single outlet184. Components of the third faucet assembly180that are the same as those in the second faucet assembly110have been assigned identical reference numerals. Rotation of a lever186of the mixing valve182varies the proportion of the hot and cold water in the mixture that exits the valve and thus varies the output water temperature. The amount that the lever186is tilted controls the flow rate of the water exiting the mixing valve. The mixing valve182has an internal electric switch that conducts electric current only when that valve is open thereby providing an valve signal to the control circuit152via a cable188. The outlet184of the mixing valve182is connected to the inlet of the electrically operated valve assembly147, thus those two valves are fluidically connected in series. To turn on the faucet, a user must raise the lever186to open the mixing valve182. This action also closes the internal electric switch of the mixing valve which sends the valve signal to the control circuit152indicating that the mixing valve has been opened. The control circuit152responds to that valve signal by opening the electrically operated valve assembly147to the fully open state. This sends the mixture of water from the mixing valve182to the faucet spout114and through the water outlet122. The user does not have to place a hand or other object in the path of the light beam132for this water flow to commence. Now, however, if the user places a hand or other object in the path of the light beam132, the resultant signal from the light sensor158causes the control circuit152to close the electrically operated valve assembly147and turn off the water flow. If the mixing valve182remains open, as indicated to the control circuit152by the valve signal on cable188, removing the hand or other object from the light beam and then reinserting that hand or object into the light beam again causes the control circuit to open the valve assembly147. Interrupting the light beam repeatedly, toggles the valve assembly147between open and closed states as long as the control circuit152continues to receive a valve signal indicating that the mixing valve182is open. The foregoing description was primarily directed to a preferred embodiment of the invention. Although some attention was given to various alternatives within the scope of the invention, it is anticipated that one skilled in the art will likely realize additional alternatives that are now apparent from disclosure of embodiments of the invention. Accordingly, the scope of the invention should be determined from the following claims and not limited by the above disclosure. | 21,298 |
11859376 | Numbers and names of main parts mentioned in the figures are given below.(1) Water Drain(2) Cover(3) Siphon(4) Flange(4.1) Flange blank(4.2) Inner set(4.3) Outer set(4.4) Insulation membrane(5) Adjuster(5.1) Adjuster blank(5.2) Set(5.3) Movement clearance(5.4) Adjuster edge(6) Reservoir(6.1) Reservoir blank(7) Drainage hole(8) Dropper frame(8.1) Frame hole(8.2) Curved piece(9) Protective cover DETAILED EXPLANATION OF THE INVENTION The invention relates to water drains which are used in areas with wet areas such as kitchens, bathrooms, toilets, terraces, balconies, gardens and allow the water to be evacuated to be conveyed to the sewer. Said water drain (1) is composed of an adjuster (5) including a cover (2), a siphon (3), flange (4), flange blank (4.1), set (5.2) and adjuster edge (5.4), a reservoir (6) reservoir blank (6.1)), drain hole (7), dropper frame (8), frame hole (8.1) and curved piece (8.2). Drainage hole (7) is located at the bottom of the reservoir (6). The water to be discharged is conveyed from the usage area to the siphon (3) through the drainage hole (7) and from the siphon (3) to the sewer. The siphon (3) positioned at the bottom of the reservoir (6) can move under the reservoir (6). After the reservoir (6) is fixed to the wall, the adjuster (5) and the flange (4) are assembled in sequence. There is a cover (2) and a dropper frame (8) at the front visible part of the wall type water drain (1). The cover (2) is fixed on the dropper frame (8). The dropper frame (8) and the cover (2) are placed on the flange (4) and integrated into the system. In the rear part of the frame, there is a curved piece (8.2) which is integrated with the frame. Said curved piece (8.2) comprises a sheet holder. The curved piece (8.2) transmits water from the frame hole (8.1) to the reservoir (6). The frame channel extends to the frame hole (8.1) on both sides of the dropper frame (8). The frame channel is a semicircular channel extending parallel to the ground. In alternative embodiments of the invention, the frame channel may be designed with one or more angular sections or in triangular, rectangular, elliptical or conical forms. There is a low banquet in front of the frame channel. Low banquet is positioned at least 1 mm below the wet floor. Thanks to the low banquet, the dropper frame (8) is able to absorb waste water and especially small droplets and direct them into the reservoir (6). The frame hole (8.1) is located in or close to the center of the dropper frame (8). The frame hole (8.1) ensures that the waste water is transferred to the curved piece (8.2). The flange (4) covers the openings that may occur between the dropper frame (8) and the reservoir (6). Because it has an elastic structure. It is fixed on the reservoir (6) through the slot holes. There is an insulating membrane (4.4) made of nonwoven fabric on the flange (4). Non-woven fabric is a technical textile material which is produced only for special uses, whether knitted or non-woven, and which is very low in cost compared to the usage period. Said fabric comprises a polyethylene film layer. Both the polyethylene film layer and the insulation membrane (4.4) provide waterproofing without any problem thanks to their own characteristics. In an alternative embodiment of the present invention, the protective cover (9) is provided on the flange (4). The flange (4) and the protective cover (9) are obtained once in a single piece and are connected to each other at the joints. The joints are produced in the same mold in a single operation during the production of the flange (4) without requiring additional processing and molding. The joints consist of an attenuated channel, such as crimean and perforating applications used in printing, and/or sequential holes following an each other. In this way, easy and smooth tearing is ensured. The adjuster (5) is located between the reservoir (6) and the flange (4). After the adjuster (5) is positioned on the reservoir (6), the flange (4) is positioned on said adjuster (5). Finally, the outer set (4.3) located in the flange (4) is welded to the reservoir (6) so that the flange (4) and the reservoir (6) are connected to each other. Said outer set (4.3) is longer than the inner set (4.2). There is a distance between the inner set (4.2) and the connection surface of the reservoir (6) and flange (4). Said distance is such that the edge (5.4) of the adjuster can be inserted. The travel range of the adjuster edge (5.4) is determined by the outer set (4.3); those of the set (5.2) is determined by the inner set (4.2). When the reservoir (6) and the flange (4) are fixed to each other, the adjuster (5) which remains in between can move with slight force only. When the adjuster (5), which is slightly pressed between the reservoir (6) and the flange (4), is kept in the desired position, it retains its position. In this way, an additional part is not required during the assembly process of the water drain (1) according to the invention. In addition, the assembly is completed quickly as no additional work is performed. In the middle of the adjuster (5), the adjuster blank (5.1) is provided. Said adjuster blank (5.1) is large enough to allow passing through of the curved piece (8.2). The curved piece (8.2) is directly connected to the dropper frame (8). Thus, the adjuster (5) can be moved by the dropper frame (8). In this way, by sliding the dropper frame (8) down-up and right-left, the level and balance of the water drain (1) can be adjusted. There is a set (5.2) on the edge of the adjuster blank (5.1) located in the middle of the adjuster (5). The set has an inclined structure. Due to its inclined structure, it guides the flow of water to be discharged. Said set (5.2) passes into the reservoir (6) and determines the movement limits of the adjuster (5). On the other hand, another factor that determines the movement limits of the adjuster (5) is the travel clearance (5.3). The adjuster (5) is able to move right-left, up-down and transverse through the travel clearance (5.3). Assuming that the length of said travel clearance (5.3) is “h, the optimum value for “h” is 15 millimeters. In this way, the adjuster (5) is free to travel for 15 millimeters. In an alternative embodiment, the value “h” can vary from 5 to 25 mm. In other alternative embodiments of the invention, the dimensions given may vary. The adjuster (5) is directly connected to the dropper frame (8). Installation is done by moving the dropper frame (8) up-down and right-left. In this way, the height differences of the dropper frame (8) and the reservoir (6) with respect to the ground can be covered by said adjuster (5). Thus, there is no need to make an accurate calculation for the material thickness and plaster thickness used on the ground. As a result, the installation process can be completed quickly and reliably without the need for precise thickness calculation. A water drain which are used in areas with wet areas such as kitchens, bathrooms, toilets, terraces, balconies, gardens and allow the water to be evacuated to be conveyed to the sewer characterized in that; it comprisesan adjuster (5), positioned between the reservoir (6) and the flange (4), which has the ability and room to move to the right, left and diagonally within the reservoir (6) thanks to the travel clearance (5.3) anda set (5.2), positioned between the reservoir blank (6.1) and the flange blank (4.1), which determines the travel limits of the adjuster (5) by entering through such reservoir blank (6.1) and the flange blank (4.1) and surrounds the adjuster blank (5.1) at at least one surface of such adjuster (5.). | 7,677 |
11859377 | DETAILED DESCRIPTION The present invention now will be described more fully hereinafter with reference to the accompanying drawings, in which some, but not all embodiments of the invention, are shown. Indeed, this invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will satisfy applicable legal requirements. Like numbers refer to like elements throughout. It is to be understood that this invention is not limited to the particular methodology and protocols described, as such may vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to limit the scope of the present invention. Many modifications and other embodiments of the invention set forth herein will come to mind to one skilled in the art to which the invention pertains having the benefit of the teachings presented in the foregoing description and the associated drawings. Therefore, it is to be understood that the invention is not to be limited to the specific embodiments disclosed and that modifications and other embodiments are intended to be included within the scope of the appended claims. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation. As used herein the singular forms “a,” “an,” and “the” include plural referents unless the context clearly dictates otherwise. For example, use of the term “a flange” can refer to one or more of such flanges, and so forth. All technical and scientific terms used herein have the same meaning as commonly understood to one of ordinary skill in the art to which this invention belongs unless clearly indicated otherwise. As used herein, the terms “optional” or “optionally” mean that the subsequently described event or circumstance may or may not occur, and that the description includes instances where said event or circumstance occurs and instances where it does not. As used herein, the term “at least one of” is intended to be synonymous with “one or more of.” For example, “at least one of A, B and C” explicitly includes only A, only B, only C, and combinations of each. The word “or” as used herein means any one member of a particular list and also includes any combination of members of that list. Ranges can be expressed herein as from “about” one particular value, and/or to “about” another particular value. When such a range is expressed, another aspect includes from the one particular value and/or to the other particular value. Similarly, when values are expressed as approximations, by use of the antecedent “about,” it will be understood that the particular value forms another aspect. It will be further understood that the endpoints of each of the ranges are significant both in relation to the other endpoint, and independently of the other endpoint. Optionally, in some aspects, when values are approximated by use of the antecedent “about,” it is contemplated that values within up to 15%, up to 10%, up to 5%, or up to 1% (above or below) of the particularly stated value can be included within the scope of those aspects. Similarly, when values are approximated by the use of the antecedent “approximately” “generally,” or “substantially,” it is contemplated that values within up to 15%, up to 10%, up to 5%, or up to 1% (above or below) of the particularly stated value can be included within the scope of those aspects. It should be understood that references herein to “top,” “bottom,” “above”, and “below” should be understood to be descriptive with respect to components' orientations as shown the Figures. Such references should not be understood to limit the orientations of the components to the embodiments shown. For example, the structural member assemblies can be inverted so that the “top” and “bottom” ends are reversed. Similarly, in various embodiments, the structural member assemblies and support columns can extend horizontally or at any other angle with respect to the ground. It is to be understood that unless otherwise expressly stated, it is in no way intended that any method set forth herein be construed as requiring that its steps be performed in a specific order. Accordingly, where a method claim does not actually recite an order to be followed by its steps or it is not otherwise specifically stated in the claims or descriptions that the steps are to be limited to a specific order, it is in no way intended that an order be inferred, in any respect. This holds for any possible non-express basis for interpretation, including: matters of logic with respect to arrangement of steps or operational flow; plain meaning derived from grammatical organization or punctuation; and the number or type of aspects described in the specification. The following description supplies specific details in order to provide a thorough understanding. Nevertheless, the skilled artisan would understand that the apparatus, system, and associated methods of using the apparatus can be implemented and used without employing these specific details. Indeed, the apparatus, system, and associated methods can be placed into practice by modifying the illustrated apparatus, system, and associated methods and can be used in conjunction with any other apparatus and techniques conventionally used in the industry. Disclosed herein, in various aspects and with reference toFIG.1, is a structural member assembly100(shown in an exploded view) that is elongated in a longitudinal dimension101. The structural member assembly100can comprise a first outer channel member102A having a length103A, a second outer channel member102B having a length103B, and an inner member104having a length105. The first and second outer channel members102A,102B and the inner member104can optionally comprise light gauge steel, such as, for example, 12ga through 20ga. Further, first and second outer channel members102A,102B and inner member104can optionally comprise hot formed steel. The first and second outer channel members102A,102B can each define a channel therein. The first and second outer channel members102A,102B can be positioned adjacent each other so that the respective channels cooperate to define an interior passage. The inner member104can be disposed at least partially within the interior passage and couple to each of the first outer channel member102A and the second outer channel member102B. Although members are shown in the Figures as coupling via fasteners, it should be understood that in further embodiments, other attachment methods, such as welding and strapping methods, may be used. The following illustrated cross sections are not drawn to scale and are provided to generally describe cross sectional shapes. The cross sections can be described with reference to a first transverse dimension144and a second transverse dimension145that is perpendicular to the first transverse dimension. First Embodiment of Outer Channel Members Referring toFIG.2, in a cross sectional plane perpendicular to the longitudinal dimension, each of the first and second outer channel members102A,102B can comprise a base wall106A,106B, a first side wall108A,108B, and a second side wall110A,110B. The first and second side walls can extend from respective first ends112A,112B and second ends114A,114B of respective base walls106A,106B. Optionally, the first and second side walls can extend perpendicularly or substantially perpendicularly to the respective base walls. Accordingly, each of the first and second channel members102A,102B can define a respective channel120A,120B. Each base wall106A can have a respective inner surface,122A,122B and an opposing outer surface124A,124B. Similarly, each of the first and second side walls can define respective inner surfaces126A,126B and respective outer surfaces128A,128B. The respective inner surfaces of the base walls and side walls can cooperate to define the respective channels120A,120B. A respective first flange130A,130B can extend from an end131A,131B of each first side wall108A,108B opposite the respective base wall106A,106B and toward the respective second side wall110A,110B. Similarly, a respective second flange132A,132B can extend from an end133A,133B of each second side wall110A,110B opposite the respective base wall106A,106B and toward the respective first side wall108A,108B. The first flanges130A,130B and second flanges132A,132B can extend generally perpendicularly to their respective first and second side walls. Accordingly, in some embodiments, each of the first and second outer channel members102A,102B can have C-shaped profiles. In some embodiments, the length of the base wall106A,106B of the first and second outer members102A,102B can be between 2 inches to 12 inches, including, for example and without limitation, lengths of about 2 inches, about 3 inches, about 4 inches, about 5 inches, about 6 inches, about 7 inches, about 8 inches, about 9 inches, about 10 inches, about 11 inches, or about 12 inches. In some aspects, the length of the first and second side walls can optionally be half (or about half) of the length of the base wall. Accordingly, in these aspects, when the first and second outer members are coupled together in a structural member100, the structural member100can have a square or substantially square cross sectional profile. The first and second channels102A,102B can be disposed so that the inner surfaces of their respective base walls106A,106B oppose each other. The first channel102A and the second channel102B can be positioned so that their respective channels120A,120B cooperate to define an interior passage142. According to at least one embodiment, as shown inFIG.2, the ends131A,131B of respective first side walls108A,108B can abut corresponding ends133A,133B of respective second side walls110A,110B. In further embodiments, the ends131A,131B,133A,133B can be spaced from each other, either in the first transverse dimension, the second transverse dimension, or both, while still cooperating to define an interior passage142. For example, in some embodiments, the first end131A of the first channel member102A and second end133B of the second channel member102B can be spaced from each other in the first transverse dimension144by a selected distance, such as about an inch. Second Embodiment of Outer Channel Members Referring toFIG.3, in a second embodiment, each of the first and second outer channel members can have U-shaped profiles (as opposed to the C-shaped profiles ofFIG.2that include first and second flanges130A,B,132A,B). In a cross sectional plane perpendicular to the longitudinal dimension, each of the first and second outer channel members202A,202B can comprise a base wall206A,206B, a first side wall208A,208B and a second side wall210A,210B. The first and second side walls can extend from respective first ends212A,212B and second ends214A,214B of respective base walls206A,206B. Accordingly, each of the first and second channel members can define a respective channel220A,220B. Each base wall206A can have a respective inner surface,222A,222B and an opposing outer surface224A,124B. Similarly, each of the first and second side walls can define respective inner walls226A,226B and respective outer walls228A,228B. The first and second outer channel members202A,202B can be disposed so that the respective inner surfaces of the base walls and side walls can cooperate to define the respective channels220A,220B. The first side walls208A,208B can have ends231A,231B opposite the respective base wall206A,206B, and the second side walls210A,210B can have ends233A,233B opposite the respective base wall206A,206B. As shown inFIG.3, ends231A,233A can extend past ends231B,233B in the first transverse dimension144so that the first arms208A,208B and second arms210A,210B can have overlapping portions246. The overlapping portions246can optionally receive fasteners150, such as, for example, self-tapping screws (e.g., TEX screws), rivets, or bolts, nuts, and washers. Optionally, the overlapping portions246can receive welds to affix the first and second outer channels together. Structural Member Assemblies and Support Columns Formed from Same Referring toFIGS.2-4, the inner member104can be received within, and extend through at least a portion of, the interior passage142. In some embodiments, the inner member104can have the same profile as that of the first and second members. For example, as shown inFIG.2, the inner member104can have a base wall170, a first side wall172and a second side wall174extending from opposite ends of the base wall, and first and second flanges176,178extending toward each other from distal ends of the first and second side walls. The first side wall172can abut the base wall106A of the first outer channel member102A, and the second side wall174can abut the base wall106B of the second outer channel member102B. Accordingly, the inner member104can extend between the base wall106A of the first channel member102A and the base wall106B of the second channel member102B. A plurality of fasteners150can attach the inner member104to each of the first and second channel members102A,102B along their shared length in the longitudinal dimension101. Although the inner member is shown as a channel having a C-shaped profile or a U-shaped profile in the Figures, it should be understood that the inner member can have various other profiles, such as, for example, that of an I-beam, Z-channel, track, threaded rod with mounting plates, cold formed tube steel, or hollow structural tube. Accordingly, although references herein are made specifically to the inner member104, it should be understood that a U-shaped inner channel member204, as shown inFIG.3, or various other inner members having alternative profiles, can be used. Moreover, although for clarity and conciseness, embodiments disclosed herein refer to the reference numerals of the first embodiment ofFIG.2, it should be understood that various further embodiments consistent with the present disclosure can use members shown in the second embodiment ofFIG.3, as well as various other member profiles. Referring toFIG.1, the first outer channel member102A can have a first longitudinal end160A and a second longitudinal end162A, and the second outer channel member102B can have a first longitudinal end160B and a second longitudinal end162B. The inner member104can have a first longitudinal end164and a second longitudinal end166. At least one of the longitudinal ends of the inner member104can be offset from a respective longitudinal end of the first outer channel member102A and the second outer channel member102B. That is, in one embodiment, the first longitudinal end164of the inner member104can be offset from the first longitudinal ends160A,160B of the first and second outer channel members102A,102B. In a further embodiment, the second longitudinal end166of the inner member104can be offset from the second longitudinal ends162A,162B of the first and second outer channel members102A,102B. Optionally, both longitudinal ends of the inner member can be offset from the respective longitudinal ends of the first and second outer channel members. In various embodiments, a longitudinal end of the inner member104can be offset from the respective longitudinal ends (the end of each member on the same side in the longitudinal dimension101) of the first outer channel member102A and the second outer channel member102B by at least 12 inches. In further embodiments, at least one longitudinal end of the inner member104can be offset from the respective longitudinal ends of the first and second outer channel members optionally by at least one inch, at least six inches, at least twelve inches, at least two feet, or by at least three feet. In still further embodiments, the at least one longitudinal end of the inner member104can be offset from the respective longitudinal ends of the first and second outer channel members by approximately one third of the length of the first outer channel member. More generally, it is contemplated that the at least one longitudinal end of the inner member104can be offset from the respective longitudinal ends of the first and second outer channel members by approximately one-fourth to approximately one-half of the length of the first outer channel member. Offsetting the end(s) can be accomplished, in some embodiments, by providing an inner member having a length that is greater than or less than the lengths of the first and second outer channel members102A,102B. In some embodiments, the inner member104can have a length105that is greater than half of the length103A of the first outer channel member102A and the length103B of the second outer channel member102B. The length103A of the first outer channel member102A can preferably be equal to the length103B of the second outer channel member102B, and respective longitudinal ends of the first and second outer channel members102A,102B can preferably be aligned. (It should be understood that respective ends of a member in relation to another member of the same structural member assembly can refer to ends on the same longitudinal end of each channel member. For example, the first end160A of the first outer channel member102A and the first end160B of the second outer channel member102B can be the “respective” ends with respect to the first end164of the inner member104.) However, in optional embodiments, the length103A of the first outer channel member102A can be greater than or less than the length103B of the second outer channel member102B. In providing at least one offset between at least one longitudinal end of the inner member and the respective longitudinal ends of the outer channel members, portions of adjacent structural member assemblies100can be nested, as disclosed herein. In this way, the plurality of structural member assemblies100can easily and efficiently be stacked end-to-end. For example, referring toFIGS.1and4-6D, the first outer channel member102A and second outer channel member102B can each attach to the inner member104via fasteners150to construct a first structural member assembly. The bottom (second) longitudinal end166of the inner member104can be aligned with the bottom (second) ends162A,162B of the first and second outer channel members102A,102B. The first structural member assembly100can be anchored to a foundation via a bracket340. The bracket340can receive a fastener342to secure the bracket340to a foundation. The first structural member assembly100can then be secured via fasteners150(or welded) to the bracket340. For the first structural member assembly100, the length105of the inner member104can be about three quarters of the length103A of the first outer channel member102A, the latter of which is equal to the length103B of the second outer channel member102B. Accordingly, as shown inFIG.6A, the first structural member assembly100can define an empty portion322that comprises a length of the interior passage142that extends beyond the inner member104. As shown inFIG.6B, the empty portion322of the first structural member assembly's interior passage142can receive a portion of an inner member104′ of a second structural member assembly100′ therein. The inner member104′ can be secured to the first and second outer channel members102A,102B via a plurality of fasteners150along their respective shared lengths. In this way, the inner member104and the inner member104′ can cooperate to define an inner member assembly750that extends through, and structurally supports, an entire length of the first and second members102A,102B. That is, it is contemplated that two or more inner members, when arranged end-to-end, can collectively define a length that extends through an entire length of an interior passage defined by a first outer channel member and a second outer channel member. A protruding portion324of the inner member104′ can extend above the first and second outer channel members102A,102B, which can provide attachment surfaces for affixing first and second outer channel members102A′,102B′ of the second structural member assembly100′. The first and second outer channel members102A′,102B′, once affixed via fasteners to the second inner member104′, can cooperate to define an empty portion322′ of their interior passage that can, in turn, receive a third inner member104″ of a third structural member assembly100″, as shown inFIG.6C. The first and second outer channel members102A′,102B′ can attach to the third inner member104″ via fasteners. Referring toFIG.6D, first and second outer channel members102A″,102B″ of a structural member100″ can be affixed to the portion of the third inner member104″ that extends from the first and second outer channel members102A′,102B′. Accordingly, the structural member assemblies100can be stacked to create a support column300. Although the steps disclosed herein refer to empty portions of interior passages receiving inner members, it should be understood that, in embodiments consistent with this disclosure, adjacent pairs of inner members can be positioned end-to-end, and the outer channel members can then be positioned around the adjacent pair of inner members and coupled via fasteners to the pair of inner members. Accordingly, stacking of structural member assemblies100, as disclosed herein, should be understood to describe the arrangement of the coupled structure, rather than the order in which the components are coupled. As disclosed herein, “respective longitudinal ends” of adjacent structures/members should be understood to include opposing ends of adjacent structures/members. For example, referring toFIG.6D, with respect to the first structural member100and the second structural member100, the top ends of the first and second outer channel members102A,102B and the bottom ends of the first and second outer channel members102A′,102B′ are “respective longitudinal ends” of adjacent structures/members. The method of alternatingly attaching outer channel members of one structural member assembly to inner channel members of adjacent structural member assemblies can be repeated to create support columns of various lengths. In some embodiments, support columns300may comprise, two, three, four, five, or more structural member assemblies100. Because the inner members are shorter than the outer channel members, an additional inner member310can extend through an empty portion322″ of an interior passage142″ of the structural member assembly100″ so that the collective length312of the inner members104,104″,104″′ and the additional inner member310is substantially equal to the collective length316of the stacked outer channel members. According to some aspects, the ends of structural member assemblies100can directly abut respective adjacent structural member assemblies. However, it should be understood that this disclosure include support columns having some longitudinal spacing (e.g., less than one inch, less than two inches, or less than four inches) between adjacent structural member assemblies, or between components of adjacent structural member assemblies. Moreover, it should be understood that structural member assembly components that are separated by spacing components (e.g., spaced by the thickness of the coupling plates650or the thickness of the alignment plate600) should fall within aspects of this disclosure. For example, it should be understood that adjacent ends of adjacent center members140that “extend to” each other can include ends of adjacent center members that engage the same alignment plate600. Moreover, it is contemplated that center members that are spaced from adjacent center members can optionally “extend to” each other if they are longitudinally spaced by no more than one inch, by no more than two inches, or by no more than four inches. Similarly, members that are aligned “end-to-end” should be understood to include members that are abutting each other, spaced by a spacing component such as a coupling plate650or an alignment plate600, or longitudinally spaced by no more than one inch, by no more than two inches, or by no more than four inches. It should be understood that each inner member need not have the same length as the other inner members in a support column. For example, referring toFIG.7, in some embodiments, a first inner member404can be shorter than its respective first and second outer channel members402A,402B. Each subsequent inner member404′,404″ can have the same length as their respective first and second outer channel members402A′,402B′,402A″,402B″. Because the first inner member404is shorter than its respective first and second outer channel members402A,402B, the other inner members404′,404″ can be shifted along the longitudinal dimension101with respect to their corresponding first and second outer channel members so that the respective longitudinal ends can be offset. An additional inner member410, which can optionally have a shorter length than inner members404′,404″, can extend through the remainder of the length of the top structural member assembly's interior passage. As shown, in some optional aspects, it is contemplated that the combined length of the inner members can be equal or substantially equal to the combined length of the outer channel members. In further embodiments, at least one inner member can be longer than its respective first and second outer channel members. For example, referring toFIG.8, an inner member504of a structural member assembly500can be longer than its respective first and second outer channel members502A,502B, thereby providing a protruding portion524that extends beyond the respective ends of the first and second outer channel members502A,502B. Optionally, with reference toFIGS.4,5, and13, a coupling plate650can be disposed on each side of the inner member104in the second transverse dimension145. The coupling plate650can have a first generally planar portion652and a second generally planar portion654. The first generally planar portion652can be disposed at least partially within the internal passage142of the structural member assembly100. The first generally planar portion652can have a slot656that is sized and centered in the first transverse dimension144to receive adjacent pairs of first flanges130A,130B and second flanges132A,132B (FIG.2). A face of the first generally planar portion652can abut the first and second side walls' interior surfaces of the first and second channel members102A,102B, and fasteners can attach the coupling plate650to the first and second channel members. The second generally planar portion654can extend above the top ends (i.e., the first ends160A,160B) of the first and second channel members102A,102B. The second generally planar portion654can be offset from the first generally planar portion652in the second transverse dimension145so that the second portion654can extend to an outside of an adjacent pair of first and second channel members102A′,102B′ (FIG.6C). Fasteners can extend through holes658to attach the adjacent pair of first and second channel members102A′,102B′. In this way, adjacent longitudinal ends of adjacent structural member assemblies' first and second channel members can be can be aligned and attached to each other. Referring toFIG.2, it can be desirable to position each inner member104so that its base wall170extends at or near the center of the interior passage142in the second transverse dimension145. Referring also toFIGS.4, and9-11, an alignment bracket600can be disposed between adjacent inner members104,104′. The alignment bracket600can have a generally rectangular profile having a length602and a width604. The length602and width604can be selected so that the alignment bracket600can be received within the interior passage142so that its rectangular profile is perpendicular to the longitudinal dimension101. The alignment bracket600can comprise notches606to receive the first and second flanges130A,130B,132A,132B (FIG.2). Circumferential surfaces of the alignment bracket can have a small clearance from the first and second outer channel members' inner surfaces so that the first and second outer channel members' respective inner surfaces constrain the alignment bracket in the first and second transverse dimensions144,145. The alignment bracket600can have a depending flange610that extends downward and generally perpendicularly to the rectangular profile of the alignment bracket. The depending flange610can be disposed adjacent a base wall170of the inner member104, and the pair can be coupled with fasteners150. In this way, the top end of the inner member104can be positioned within the interior passage142. The alignment bracket600can have a circumferential upwardly extending projection620that defines a gap622on each side for receiving the inner member104′ therein. For example, the circumferential upwardly extending projection620can comprise first edges624and second edges226that extend in the longitudinal dimension101and are spaced from each other in the second transverse dimension145. The first edge624can define a first stop to constrain a back surface (e.g., an outer surface of the base wall170(FIG.2)) of the inner member104, and the second edge624can define a second stop to constrain a front surface (e.g., an outer surface of the first/second flanges176,178(FIG.2)) of the inner member104′. The alignment bracket600can therefore constrain the position of the bottom end of the inner member104′. In this way, the inner members can be positioned within the interior passage142. It should be understood that, although the embodiments illustrate the alignment bracket600orienting the top and bottom ends of the inner member, it should be understood that the alignment bracket600could be vertically inverted to position opposing ends of inner members within an interior passage of first and second channel members. Moreover, in view of this disclosure, alternative designs of alignment brackets that position the inner member within the first and second channel members will be apparent to one skilled in the art. Although the disclosure refers to the inner member104as a unitary body, it should be understood that, in some embodiments, the inner member104can comprise a plurality of coupled components. For example, referring toFIG.12, an inner member700in accordance with embodiments of the present disclosure can comprise a first portion702having a first length, a second portion704having a second length. The first portion702and second portion704can be separated by an alignment bracket600. Although not a unitary body, the inner member700can provide structural support to its structural member assembly along its length710. Although the structural member assemblies are described herein as comprising first and second outer channel members, in various aspects, a structural member100can comprise an outer structural tubing member (i.e., hollow structural sections, or “HSS”) and an inner member. Referring toFIGS.14A and14B, a support column950can comprise a plurality of structural member assemblies900. The structural member assemblies900can each comprise an outer tubing member902and an inner member904. The outer tubing member902can have, in a cross sectional plane perpendicular to the structural member assembly's longitudinal dimension, a hollow rectangular profile. The inner member904can comprise a channel member or HSS member. The inner member904can couple to the outer tubing member902. The respective longitudinal ends of the inner members904can be offset from respective longitudinal ends of the outer tubing members to enable the structural member assemblies900to be stacked, as disclosed herein, to create the support column950. Structural member assemblies100and support columns300, as discussed herein, can provide various improvements over known structural members. According to one aspect, the structural member assemblies100can be made partially or entirely of light gauge steel, thereby providing structural support at a low weight and cost. Moreover, the ends of the inner members that are offset from the ends of the outer channel members enable the structural member assemblies100to be nested so that adjacent structural member assemblies can easily be stacked to create support columns300. Additionally, the inner members104of the support columns300not only provide surface for coupling adjacent structural member assemblies100; the inner members104can provide structural support to the support columns300. According to some aspects, a plurality of inner members104can cooperate to define an inner support that extends along an entire length, or substantially an entire length, of the support column300. That is, the center supports104can provide both surfaces for easy attachment of adjacent structural member assemblies and structural support along the entire length of the support column. Because the structural member assemblies100can be stacked as disclosed, the cross sectional profiles of respective structural assemblies, in planes perpendicular to the longitudinal dimension, can be the same. Accordingly, disclosed embodiments can be distinguished from conventional assemblies that employ nested members having sequentially smaller cross sections. Optionally, the columns300can be used in multi-level construction, such as for multi-level storage structure buildings. The disclosed structural members can have improved load carrying capacity and strength over conventional structural members. Further, the disclosed columns having structural members with offset ends can have greater shear strength than conventional systems. For example, in conventional multi-level storage structure buildings, structural columns have longitudinal ends that terminate at each floor, wherein adjacent columns are coupled at adjoining ends to create unions having weak shear strength. In contrast, the disclosed embodiments can create a single continuous structural column that does not have unions with weak shear strength. Improved shear strength can be particularly critical for providing stability in seismic or earthquake zones. Referring toFIGS.4and5, the structural member assemblies100and support columns300can be used to create a structural frame. A portion of a structural frame can comprise a structural member assembly100and a transversely extending beam800. The transversely extending beam800can comprise a first channel member802, a second channel member804, and a bridge channel member806. Each of the first channel member802, the second channel member804, and the bridge channel member806can have C-shaped cross sections. The first channel member802can couple via fasteners150to the base wall106A of the structural member assembly's first outer channel member102A, and the second channel member804can couple to the base wall106B of the structural member assembly's second outer channel member102B. In this configuration, the first channel member802and second channel member804are oriented so that their respective channels open away from each other. In this configuration, the first channel member802and second channel member804can abut and attach to the support column300without modification of said first and second channel member802,804. It can be appreciated that if a pair of members have legs extending toward each other, said members have to first be modified to remove at least portions of said legs in order to abut the pair of members to the support column for attachment thereto, the modification of which can reduce the structural integrity of the members. Thus, the first and second channel members802,804can, without modification, be used in compound span configurations. That is, the first and second channel members802,804can extend across, and attach to, three or more support columns, as opposed to just extending between two adjacent support columns, as in a simple span configuration. The bridge channel member806can have a width in the first transverse dimension144that is equal to the width of the structural member assembly100in the same dimension. Accordingly, the bridge channel member806can extend between, and attach to each of, the first channel member802and the second channel member804. In this way, the horizontal transversely extending beam800can be coupled to the structural member assembly100to support a floor of a multi-story storage structure. Although disclosed herein as coupling to the support columns300, it should be understood that the beams800can be used with any other column type, such as, for example, conventional heavy gauge steel columns as are known in the art. Further, it should be understood that, although particular embodiments of transverse structures are disclosed in detail herein, various other transverse structures/beams can be coupled to, and supported by, support columns300. For example, in another embodiment, a horizontally oriented support column300can be attached to a vertically oriented support columns300via one or more gussets. Transversely extending beams800can alternatively be any conventional beam known in the art. Referring toFIGS.4,5, and15according to further aspects, the beam800can define an interior volume808and have a longitudinal axis810. The interior volume808can receive concrete to form a composite beam. In some optional aspects, the concrete can be pumped into the interior volume808from the bottom of the beam rather than filling from the top down. In further optional aspects, the concrete can be 3000 psi concrete. As described above, each of the first channel member802, second channel member804, and the bridge channel member806can have C-shaped profiles. That is, each channel member can comprise, in cross sections perpendicular to each channel's longitudinal dimension, a base wall807, first and second side walls809extending perpendicularly from the base wall807, and respective first and second flanges811extending toward each other from distal ends of the first and second side walls809. Each channel member can thus define a channel opening, opposite the base wall, between the first and second flanges. Each channel member can have an opening direction defined as a direction from the channel member's base wall to its opening. The first channel member802and second channel member804can be oriented so that their respective channel openings face away from each other. Accordingly, outer surfaces (i.e., surfaces opposite each channel's interior) of the first channel member802and second channel member804can define side walls of the beam's interior volume808. In this way, the first channel member802and second channel member804can provide flat surfaces for abutting the support column300without any need for modification. The bridge channel member806can be oriented so that its channel opens upwardly. In this way, the bridge channel member806can define a lower surface of the beam's interior volume808. Each of the first channel member802, second channel member804, and the bridge channel member806can comprise light gauge steel. In exemplary aspects, the first channel member802, the second channel member804, and the bridge channel member806can be secured together by bolts or other fasteners. However, it is also contemplated that the bridge channel members disclosed herein could be formed together as a single, unitary or monolithic structure. In providing the bridge channel member806with a C-shaped profile, the bridge channel member806can define flanges812that extend inwardly into the beam's interior volume808and engage the concrete to increase the composite beam's overall strength. Prior to hardening/curing of the concrete, it is contemplated that the concrete can be positioned both above and below each flange812such that the flange is surrounded by or embedded within the concrete. After hardening/curing of the concrete, it is contemplated that the flange can provide support to the concrete during flexing or other movement of the beam and distribute forces between the concrete and the steel channel members. In some embodiments, the flanges can extend into the interior volume808at about one third of the height of the beam. That is, the length of the first and second legs of the bridge channel member806can be about one third of the height of the beam. Accordingly, for a six inch tall beam, the flanges can extend inwardly at about two inches from the bottom of the beam. Additionally, or alternatively, the beam800can comprise a plurality of internally projecting members820that are spaced along the beam's longitudinal axis810. The internally projecting members820can be configured to engage the concrete to distribute forces between the concrete and the steel channel members. Prior to hardening/curing of the concrete, it is contemplated that the concrete can be positioned to surround or embed the internally projecting members820within the concrete. After hardening/curing of the concrete, it is contemplated that the projecting members820can provide support to the concrete during flexing or other movement of the beam and distribute forces between the concrete and the steel channel members. Referring toFIG.15, according to a first embodiment, the internally projecting members820can comprise shoulder bolts822that extend through holes in the bridge channel member806and attach via nuts on a bottom side of the bridge channel member806. It can be appreciated that conventional composite beams comprise heavy gauge steel that allows shear studs to be welded thereto for engaging the concrete. However, welding such shear studs to light gauge steel can be difficult or impossible. Moreover, welding in field applications can be time consuming and cause difficulty in maintaining quality control. Accordingly, using shoulder bolts as disclosed herein for engaging the concrete overcomes the challenge of attaching shear studs via weldment. Further, shoulder bolts require only one nut for attachment, and the shoulder can provide for installation at a consistent desired height and a measurable engagement between the concrete and the steel after concrete has filled the beam. The shoulder bolts can be selected from various sizes, depending on the application, without requiring specialized tooling to manufacture. The shoulder bolts can optionally be about two inches long and have a shoulder diameter of at least one quarter of an inch. In further optional embodiments, the shoulder bolts can have various dimensions, including shoulder sizes from one to ten inches in length and one quarter to one inch in diameter. Referring toFIG.16, in a second embodiment, the internally projecting members820can comprise portions of a Z-channel structure830. The Z-channel structure830can optionally comprise light gauge steel. The Z-channel structure830can comprise, in cross sections perpendicular to the Z-channel structure's longitudinal axis, a lower wall832, a plurality of planar or generally planar upper tabs834that are parallel to, or generally parallel to, the lower wall832, and a plurality of web sections836extending between the lower wall832and the upper tabs834. According to various aspects, the beam can have a height that is fifty percent greater than the beam's width. Thus, according to at least one embodiment, the beam can be four inches in width and six inches in height. The web sections can optionally extend about one third of the beam's height, or one half of the beam's width. Accordingly, in some embodiments, the web sections836can extend vertically by about two inches, and the upper tabs834can extend horizontally along a transverse axis, perpendicular to the longitudinal axis810, by about two inches. Thus, in some embodiments, the flanges812of the bridge channel member806can be approximately coplanar with to the upper tabs834. In some embodiments, the Z-channel structure830can further comprise a downwardly extending return flange838that extends perpendicularly to, and at a distal edge of, the upper tabs834. The return flange838can optionally extend vertically (downwardly) about ⅝ of an inch. Gaps840are disposed between sections of the upper tabs834and web portions836. The gaps840can extend longitudinally between about 6″ inches and about 12″ inches. Having gaps840with such spacing can optimize composite action between the steel members and the concrete. In some embodiments, the Z-channel structure830can be manufactured by removing sections of a continuous Z-channel, thereby leaving the upper portion834and web portion836. The lower wall832can provide a base that can be attached via mounting hardware150to the bridge channel member806. The mounting hardware150can further engage the concrete to enhance composite action. Similarly, the mounting hardware150that attach the first and second channel members802,804to the support columns300(e.g., heads of self-tapping screws) can further enhance composite action between the steel members and the concrete. In using a Z-channel structure as disclosed herein, composite engagement between the concrete and the steel components can be increased by 14-25% over conventional methods. As should be apparent to one skilled in the art, in further embodiments, a U-shaped channel or a C-shaped channel can similarly be modified to provide internally protruding web sections and upper tabs connected by a longitudinally continuous web. Referring toFIGS.17and18, in a third embodiment, each of the internally projecting members820can comprise a C-shaped component850(i.e., having generally parallel plate portions852that are connected by a web854and flanges855that extend toward each other from distal ends of respective parallel plate portions852). The parallel plate portions852can comprise aligned and concentric through-holes856that receive a bolt858therethrough. In this way, the C-shaped components850can be bolted to the bridge channel member806at spaced intervals along the longitudinal axis810. The C-shaped components850can be oriented so that the direction of extension of the parallel plates852from their respective webs854is parallel to the longitudinal axis810of the beam800. The web854can extend vertically about two inches, and the parallel plate portions852can extend approximately two inches along the longitudinal axis810. In various further embodiments, the web854and parallel plate portions852can optionally extend vertically about one third of the height of the first and second channels802,804(i.e., the beam's height). The C-shaped components850can have a gauge thickness that is at least as thick as the gauge thickness of the bridge channel member806. In further embodiments, the internally projecting members820can have U-shaped profiles and be configured like the C-shaped components850as disclosed above. The C-shaped components850can optionally comprise steel or any combination of material and thickness that is stronger than the bridge channel member806. Referring toFIGS.16and18, straps860can extend across the channel interior volume808defined by the beam800. The straps860can attach to the upper surfaces of the first and second channel members802,804via screws or other fasteners or via weldment. Concrete can fill the beam800beyond the straps860so that the straps can engage the concrete. After curing/hardening of the concrete, it is contemplated that the straps860can be configured to support the concrete within the beam and transmit forces from the concrete to the steel beam structure. Referring also toFIG.19, in some embodiments, depending internally projecting components862can attach to, and extend downward from, the straps860to engage the concrete. It should be understood that concrete has excellent compressive strength, while steel has excellent tensile strength. During use, as the beam is loaded, portions of the beam can be in tension, while other portions of the beam can be in compression, and the stress in the beam can transition at a transition height along the beam's height. The depending internally projecting components862can extend to the transition height in order to transfer tension from the concrete to the steel beams, which possess excellent tensile strength. The transition height can vary as a function of the beam's size, shape, depth, and width. In some embodiments, the transition height can be between about one quarter and one half of the beam's height, and, in some embodiments, at about one third of the beam's height as measured from the top of the beam (i.e., from about one-half to about three-quarters of the beam's height as measured from the bottom of the beam and, in some embodiments, about two-thirds of the beam's height as measured from the bottom of the beam). In further embodiments, the transition height can be at about 15% of the beam's height as measured from the top of the beam (i.e., about 85% of the beam's height as measured from the bottom of the beam). In some embodiments, the depending internally projecting components862can comprise depending C-shaped components864. Attachment hardware866(e.g., a bolt and nut, as shown) can attach each of the depending C-shaped components864to a respective strap860. The depending C-shaped components864can attach so that the screw extends parallel to the C-shaped component's web and through the C-shaped component's parallel wall portions. In various other embodiments, the depending internally projecting components862can have other shapes and structures. For example, in some embodiments, the depending internally projecting components862can comprise shoulder bolts that extend downwardly from the straps860. Each of the internally projecting members820, return flanges838, straps860, and depending internally projecting components862can enhance the engagement between the steel members and the concrete to provide a composite beam having improved strength over conventional beams. Because the transition height, as disclosed above, can vary, based on parameters of the beam, the combination of the internally projecting members820, return flanges838, straps860, and depending internally projecting components862provides for composite action along the height of the beam, enabling composite action closest to the transition height, regardless of the position of said transition height along the height of the beam. The disclosed configuration can further be cheaper to manufacture and more simple to assemble, thereby reducing assembly time over conventional framing methods. Many or all of the components of the beam800can be off-the-shelf items, thereby providing for low cost and easy procurement. As the beams800can be attached to columns in a compound span configuration, the beams can be attached more easily and in a configuration having greater overall strength than conventional simple span beams. Additionally, the disclosed embodiments enable easier field modification than conventional trough designs; because the beam spans across columns rather than fitting between the columns, the beam's steel channel members can be cut in situ. Moreover, conventional beams comprise heavy gauge steel, which can increase cost and weight without substantially enhancing the strength of the beam. Accordingly, the light gauge steel can decrease the cost and the weight of the beam. Although disclosed as separate and independent components, it is contemplated that any of the beam structures disclosed herein can be used in combination with any of the structural member assemblies disclosed herein to form a support structure for a building or other construction. EXEMPLARY ASPECTS In view of the described products, systems, and methods and variations thereof, herein below are described certain more particularly described aspects of the invention. These particularly recited aspects should not however be interpreted to have any limiting effect on any different claims containing different or more general teachings described herein, or that the “particular” aspects are somehow limited in some way other than the inherent meanings of the language literally used therein. Aspect 1: A beam having an upper surface and comprising: a plurality of steel channel members that extend along a longitudinal axis, wherein the plurality of steel channel members cooperate to define an interior volume that is configured to receive concrete therein, wherein the plurality of steel channel members comprises a first C-shaped channel member defining a channel therein and having: a base wall; first and second side walls extending perpendicularly from the base wall; and first and second flanges respectively inwardly extending from the first and second side walls, wherein the channel of the first C-shaped channel member defines a portion of the interior volume, and wherein the first and second flanges extend into the interior volume; a plurality of internally projecting members spaced along the longitudinal axis, wherein the plurality of internally projecting members are coupled to the base wall of the first C-shaped channel member and extend into the interior volume; and a strap secured to the upper surface of the beam and extending across the interior volume so that when the interior volume is filled with concrete, the strap engages the concrete. Aspect 2: The beam of aspect 1, wherein the plurality of steel channel members further comprises: a second C-shaped channel member defining a channel therein and having an outer surface opposite the channel; and a third C-shaped channel member defining a channel therein and having an outer surface opposite the channel, wherein the second and third C-channels are disposed so that their respective channels open away from each other, wherein the first C-shaped channel extends between the second and third C-shaped channels, and wherein the channel of the first C-shaped channel member and outer surfaces of the second C-shaped channel member and the third C-shaped channel member cooperate to define at least a portion of the interior volume. Aspect 3: The beam of aspect 1 or aspect 2, wherein the plurality of inwardly projecting members comprises a plurality of shoulder bolts that are bolted to at least one steel channel member of the plurality of steel channel members. Aspect 4: The beam of any of the preceding aspects, further comprising a generally planar wall that is elongated along the longitudinal axis and attached to the base wall of the first steel channel member, wherein each inwardly projecting member of the plurality of inwardly projecting members comprises: a web section extending upwardly from the generally planar wall, the web section having a distal end; and a generally planar tab extending perpendicularly to, and from the distal end of, the web section. Aspect 5: The beam of aspect 4, wherein each generally planar tab has a distal end, and wherein each inwardly projecting member of the plurality of inwardly projecting members further comprises a flange extending generally perpendicularly to the distal end of the generally planar tab. Aspect 6: The beam of aspect 4 or aspect 5, wherein the internally projecting members are spaced apart by between about 6 inches and about 12 inches. Aspect 7: The beam of any of the preceding aspects, further comprising a plurality of depending internally projecting components attached to, and extending downward from, the plurality of straps. Aspect 8: The beam of aspect 7, wherein the depending internally projecting components comprise a pair of parallel portions and a web extending vertically between the parallel portions. Aspect 9: The beam of aspect 7 or aspect 8, wherein at least one of the plurality of depending internally projecting components extends down from a respective strap of the plurality of straps by at least 33% of a height of the beam. Aspect 10: The beam of any of the preceding aspects, wherein each of the plurality of steel channel members comprises light gauge steel. Aspect 11: The beam of any of the preceding aspects, wherein the plurality of internally projecting members comprise a plurality of C-shaped components that are attached to the first C-shaped channel member. Aspect 12: The beam of any of the preceding aspects, further comprising concrete cured within the interior volume, wherein the first and second flanges of first C-shaped channel member, the plurality of internally projecting members, and the strap are engaged with the cured concrete. Aspect 13: A beam comprising: a plurality of steel channel members that extend along a longitudinal axis, wherein the plurality of steel channel members cooperate to define an interior volume that is configured to receive concrete therein; and a reinforcement member disposed within the interior volume and attached to a steel channel member of the plurality of steel channel members, the reinforcement member comprising: a generally planar wall extending along the longitudinal axis, a plurality of web sections extending upwardly from the generally planar wall, each web section having a respective distal end; and a plurality of generally planar tabs, each generally planar tab extending from the distal end of a respective web section of the plurality of web sections. Aspect 14: The beam of aspect 13, wherein the reinforcement member further comprises a plurality of flanges, each flange of the plurality of flanges extending generally perpendicularly to the distal end of a respective generally planar tab. Aspect 15: The beam of aspect 13 or aspect 14, wherein the adjacent web sections are spaced apart by between about 6 inches and about 12 inches. Aspect 16: The beam of any of aspects 13-15, wherein the beam has an upper surface and further comprises a plurality of straps secured to the upper surface of the beam and extending across the interior volume. Aspect 17: The beam of aspect 16, further comprising a plurality of depending internally projecting components attached to, and extending downward from, the plurality of straps. Aspect 18: The beam of aspect 17, wherein the depending internally projecting components comprise a pair of parallel portions and a web extending vertically between the parallel portions. Aspect 19: The beam of aspect 17 or aspect 18, wherein at least one of the plurality of depending internally projecting components extends down from a respective strap of the plurality of straps by at least 33% of a height of the beam. Aspect 20: The beam of any of aspects 13-19, wherein each of the plurality of steel channel members comprises light gauge steel. Aspect A1: A structural member assembly extending in a longitudinal dimension, the structural member assembly comprising: a first channel member having a first longitudinal end and an opposed second longitudinal end, wherein the first channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; a second channel member having a first longitudinal end and an opposed second longitudinal end, wherein the second channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; and an inner member having a first longitudinal end and an opposed second longitudinal end, wherein the inner member has a length in the longitudinal dimension, wherein the first and second channel members are positioned with respect to each other so that the inner channels of the first and second channel members cooperate to define an interior passage extending in the longitudinal dimension, wherein the inner member extends through at least a portion of the interior passage and is attached to at least one of the first channel member and the second channel member, wherein at least one of the first and second longitudinal ends of the inner member is longitudinally spaced from a respective longitudinal end of the first channel member and a respective longitudinal end of the second channel member, wherein the length of the inner member is greater than half of the length of the first channel member and greater than half of the length of the second channel member. Aspect A2: The structural member assembly of aspect A1, wherein each of the first channel member, the second channel member, and the center member comprises light gauge steel. Aspect A3: The structural member assembly of aspect A1, wherein each of the first channel member and the second channel member, in a cross sectional plane perpendicular to the longitudinal dimension, comprises a base wall having an inner surface, an outer surface, a first end, and a second end, a first side wall extending from the first end of the base wall, a second side wall extending from the second end of the base wall, wherein the base wall, the first side wall, and the second side wall cooperate to define the inner channel, and wherein the first and second channel members are positioned with respect to each other so that the inner surface of the base wall of the first channel member opposes the inner surface of the base wall of the second channel member. Aspect A4: The structural member assembly of aspect A3, wherein each of the first channel member and the second channel member, in the cross sectional plane, further comprises: a first flange extending from a first end of the first side wall that is opposite the base wall and in a direction toward the second side wall; and a second flange extending from a first end of the second side wall that is opposite the base wall and in a direction toward the first side wall. Aspect A5: The structural member assembly of any one of aspects A1-A4, wherein each of the first channel member, the second channel member, and the center member, in the cross sectional plane, have the same shape. Aspect A6: The structural member assembly of assembly of any one of Aspects A1-A5, wherein the length of the first channel member and the length of the second channel member are substantially equal. Aspect A7: The structural member assembly of any one of aspects A1-A6, wherein said at least one of the first and second longitudinal ends of the inner member is longitudinally spaced from the respective longitudinal end of the first channel member and the respective longitudinal end of the second channel member by at least twelve inches. Aspect A8: The structural member assembly of any one of aspects A1-A7, wherein the length of the inner member is greater than the length of the first channel member and greater than the length of the second channel member. Aspect A9: The structural member assembly of aspect A8, wherein the inner member comprises a first portion and a second portion, wherein the first portion of the inner member is arranged end-to-end with the second portion of the inner member, wherein the first portion and the second portion are discrete components. Aspect A10: The structural member assembly of any of aspects A1-A7, wherein the length of the inner member is less than the length of the first channel member and less than the length of the second channel member. Aspect A11: The structural member assembly of any one of aspects A1-A10, wherein the inner member extends from a wall of the first member to an opposing wall of the second member. Aspect A12: The structural member assembly of aspect A11, wherein the inner member comprises a first parallel wall, a second parallel wall, and a web extending between the first and second parallel walls, wherein the first wall of the inner member abuts and attaches to the wall of the first member, and the second parallel wall of the inner member abuts the opposing wall of the second member. Aspect A13: The structural member assembly of any one of aspects A1-A12, wherein the structural member is a constituent of a multi-story storage structure. Aspect A14: A support column extending in a longitudinal dimension, the support column comprising: a plurality of outer hollow longitudinal structures, each longitudinal structure having a first longitudinal end and an opposing second longitudinal end, and each longitudinal structure having a length in the longitudinal dimension and defining an interior passage extending along the length; and a plurality of inner members, each inner member having a first longitudinal end and an opposed second longitudinal end and having a length in the longitudinal dimension, wherein the plurality of outer hollow longitudinal structures are aligned end-to-end along a single axis, wherein respective longitudinal ends of each of the outer hollow longitudinal structures are coupled to respective longitudinal ends of each adjacent outer hollow longitudinal structure, wherein the interior passages of the plurality of outer hollow longitudinal structures cooperate to define an interior passage of the support column, wherein the plurality of inner members are aligned end-to-end along the single axis within the interior passage of the support column so that the first and second longitudinal ends of each of the inner members extend to respective longitudinal ends of each adjacent inner member, wherein at least one end of at least one inner member is longitudinally offset from every longitudinal end of the plurality of outer hollow longitudinal structures. Aspect A15: The support column of aspect A14, wherein each outer hollow longitudinal structure comprises: a first channel member having a first longitudinal end and an opposed second longitudinal end, wherein the first channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; and a second channel member having a first longitudinal end and an opposed second longitudinal end, wherein the second channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; and wherein each of the first channel member and the second channel member, in a cross sectional plane perpendicular to the longitudinal dimension, comprises a base wall having an inner surface, an outer surface, a first end, and a second end, a first side wall extending from the first end of the base wall, a second side wall extending from the second end of the base wall, wherein the base wall, the first side wall, and the second side wall cooperate to define the inner channel, wherein the first and second channel members are positioned with respect to each other so that the inner surface of the base wall of the first channel member opposes the inner surface of the base wall of second channel member, and so that the inner channels of the first and second channel members cooperate to define the interior passage extending in the longitudinal dimension. Aspect A16: The support column of aspect A15, wherein each inner member extends from a wall of the first channel member of at least one outer hollow longitudinal structure to an opposing wall of the respective second channel member of the at least one outer hollow longitudinal structure. Aspect A17: The support column of aspect A16, wherein each of the inner members comprises a first parallel wall, a second parallel wall, and a web extending between the first and second parallel walls, wherein the first wall of the inner member abuts and attaches to the wall of the first channel member of the at least one outer hollow longitudinal structure, and the second parallel wall of the inner member abuts the opposing wall of the respective second channel member of the at least one outer hollow longitudinal structure. Aspect A18: The support column of any one of aspects A14-A17, wherein each of the first channel member, the second channel member, and the center member comprises light gauge steel. Aspect A19. A structural assembly extending in a longitudinal dimension, the structural assembly comprising: a first channel member, having a first longitudinal end and an opposed second longitudinal end, wherein the first channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; a second channel member having a first longitudinal end and an opposed second longitudinal end, wherein the second channel member has a length in the longitudinal dimension and defines an inner channel extending along the length; and an inner member having a first longitudinal end and an opposed second longitudinal end, wherein the inner member has a length in the longitudinal dimension, wherein the first and second channel members are positioned with respect to each other so that the inner channels of the first and second channel members cooperate to define an interior passage extending in the longitudinal dimension, wherein the inner member extends through at least a portion of the interior passage and is attached to at least one of the first channel member and the second channel member, wherein at least one of the first and second longitudinal ends of the inner member extends beyond a respective longitudinal end of the first channel member and a respective longitudinal end of the second channel member in a first direction, wherein the first direction extends toward the respective longitudinal end of the first channel member from the opposing longitudinal end of the first channel member. Aspect A20: The structural assembly of aspect A19, wherein each outer hollow longitudinal structure has the same cross sectional profile. Aspect A21: The structural assembly of aspect A19, wherein each outer hollow longitudinal structure comprises structural tubing. Aspect A22: A method comprising: coupling a first channel member to a first inner member and a second channel member to the first inner member, wherein the first channel member has a length, a first longitudinal end, and an opposing second longitudinal end, wherein the first channel member defines an inner channel extending along the length, wherein the second channel member has a length, a first longitudinal end, and an opposing second longitudinal end, wherein the second channel member defines an inner channel extending along the length, so that the inner channel of the first channel member and the inner channel of the second channel member oppose each other and cooperate to define a first interior passage therein and so that the first end of the first inner member defines a protruding portion that extends beyond the first end of the first channel member and the first end of the second channel member; coupling a third channel member and a fourth channel member to the protruding portion of the first inner member, wherein the third channel member has a length, a first longitudinal end, and an opposing second longitudinal end, wherein the third channel member defines an inner channel extending along the length, wherein the fourth channel member has a length, a first longitudinal end, and an opposing second longitudinal end, wherein the fourth channel member defines an inner channel extending along the length, so that the inner channel of the third channel member and the inner channel of the fourth channel member oppose each other and cooperate to define a second interior passage therein; coupling a second inner member to the third channel member and the fourth channel member so that the first inner member and the second inner member cooperate to define an inner member assembly that extends through an entire longitudinal length of the second interior passage. Aspect A23: The method of aspect A22, wherein each of the first channel member, the second channel member, the third channel member, the fourth channel member, the first center member, and the second center member comprises light gauge steel. Aspect A24: The method of aspect A22 or aspect A23, wherein each of the first channel member, the second channel member, the third channel member, the fourth channel member, in a respective cross sectional plane perpendicular to the longitudinal dimension, comprises a base wall having an inner surface, an outer surface, a first end, and a second end, a first side wall extending from the first end of the base wall in a respective direction that is perpendicular to the base wall, a second side wall extending from the second end of the base wall in the respective direction that is perpendicular to the base wall. Aspect A25: The method of aspect A24, wherein each of the first channel member the second channel member, the third channel member, and the fourth channel member, in the respective cross sectional plane, further comprises: a first flange extending from a first end of the first side wall that is opposite the base wall and in a direction toward the second side wall; and a second flange extending from a first end of the second side wall that is opposite the base wall and in a direction toward the first side wall. Aspect B1: A structural frame for a building, the structural frame comprising: a beam of any one of aspects 1-20; and a support column coupled to the beam. Aspect B2: The structural frame of aspect B1, wherein the support column is a support column according to any one of aspects A14-A18. Although the foregoing invention has been described in some detail by way of illustration and example for purposes of clarity of understanding, certain changes and modifications may be practiced within the scope of the appended claims. | 73,489 |
11859378 | DETAILED DESCRIPTION Embodiments of the present disclosure provide a series of solutions to many of the limitations of conventional theaters, including a prefabricated modular design that offers high frame rate, high brightness, low cross-reflectance, wide field of view, and ultra-high dynamic range sound. According to an embodiment, a theater design is smaller than a conventional giant screen theater, which allows the screen surface to be positioned closer to viewers, thus reducing eyestrain that is associated with the disparity between focus and convergence. The human eye has muscles that control focus at a certain distance and convergence of the two eyes onto a single object of interest. These muscles are synchronized to perform simultaneously and together. Yet in a movie theater stereoscopic viewing demands that viewers' eyes converge on various distances, while remaining focused at the screen plane—straining these muscles, resulting in severe eyestrain. However, by making the screen smaller and closer, this disparity is greatly reduced, resulting in no reports of eyestrain for 3D. According to an embodiment, various components of a theater are prefabricated in a factory, which lowers the cost per square foot of the theater to less than half that of purpose-built construction. Thus, in comparison to the cost of conventional giant screen theater construction, which is three times the cost of conventional non-giant screen theaters, the resultant cost per seat via prefabrication in accordance with various embodiments can be as low as one-sixth that of a conventional giant screen theater on a per-seat basis. Giant screen theaters create a tremendous “volumetric” demand for space on a cubic-foot basis, since fifty feet of empty space above each seat is not effectively used. On a volumetric space-use formula, many smaller theaters rather than one large theater offers an overall volumetric space saving of 2:1. The result of the above-disclosed parameters is an extremely immersive giant screen 3D experience for viewers at a fraction of the cost. An added benefit of the disclosed embodiments is that having many smaller theaters rather than fewer giant screen theaters optimizes the original intention of the multiplex theater concept that offers a variety of content spread over a wide range of show start times. This smooths the flow of viewers experience for ease of ticketing, convenience, continuous use of common areas for refreshments and toilets, and other aspects, increasing occupied seats and revenue. Motion pictures for theaters, television, computers, tablets, and smartphones are assumed to be a flat rectangular image. This is different to some degree at theaters offering variously curved screens. One briefly successful deeply curved movie technology was Cinerama, offering a very wide field of view using triple 35 millimeter (mm) movies blended together onto a deeply curved screen, but cross-reflection was a severe problem. The widest field of view movie process became Omnimax (or IMAX Dome), using 70 mm movie projection onto a domed planetarium screen. There are three fundamental problems with deeply curved or dome shaped screens: (1) The horizontal field of view causes extreme blurring and strobing if the frame rate remains at 24 frames per second, (2) “Cross reflectance” of light from one side of the screen (or dome) to the other side washes out the contrast, and (3) The surface area is so great that it is almost impossible to achieve adequate image brightness—resulting in sub-standard contrast and color saturation. FIG.1shows a partially exploded perspective view of an example portable motion picture theater100, in accordance with an embodiment of the present disclosure. The theater100includes a plurality of individual panels102,104, a plurality of audience seats106, and a projection screen108. The panels102,104are configured to be assembled together to form a theater enclosure, generally indicated at110in this partially exploded view. Some of the panels102, when assembled together, form walls112of the theater enclosure110, while some of the panels104, when assembled together, form a ceiling114of the theater enclosure110. FIGS.2A,2B and2Cshow elevation, front, and plan views, respectively, of the example portable motion picture theater100ofFIG.1, in accordance with an embodiment of the present disclosure.FIG.3shows a perspective view of a portion of the example motion picture theater100ofFIG.1(for example, the walls112and the ceiling114are not shown), in accordance with an embodiment of the present disclosure.FIGS.2A and3show views of the theater100in which the audience seats106are arranged on a raked platform such that seats closest to the screen108are lower than seats furthest from the screen108. The projection screen108is a high gain hemispheric (partial toroidal) shaped screen that offers a wide horizontal field of view (for example, approximately 53 degrees or greater, 80 degrees or greater, or 110 degrees or greater, depending on the location of a given seat106relative to the screen108) by wrapping the image around the audience, as opposed to a conventional flat or slightly curved screen. The particular shape of the projection screen108is achieved by ray-tracing light emitted from a projector116(such as shown inFIGS.2A and2C) toward a reflective surface of the screen108, and hemispherically curving the screen108by using computer aided design in the vertical and horizontal axes so that the projected light is reflected to the audience seating area like a large, curved mirror. In some embodiments, the reflective surface of the screen108multiplies the amount of perceived light by approximately three times, using a 3× gain aluminized screen surface or other highly reflective material. In some embodiments, the screen108is held in position against a structural member (not shown) by applying a pressure vacuum behind the screen108, although other mounting techniques, including fasteners, hangers and the like, may be used instead of or in addition to the pressure vacuum. The height and width of the screen108determines the shape of the theater's walls112, which are made of modular computer-designed segments or panels102that easily bolt together. In some embodiments, a sensor-controlled fan motor (not shown) detects the position of the surface of the screen108and holds the surface at the desired curvature, turning the fan on as needed to retain the designed shape. The shape of the screen108defines the overall shape of the theater100, so that little to no space is wasted. The high gain reflective surface and design shape of the screen108serves several purposes. For example, the screen108maintains a standard of 3D brightness of not less than 14 foot lamberts when viewed through 3D glasses. In another example, the curvature of the screen108is designed to evenly distribute the light so that there is no “hot spot” normally associated with high gain screens. In yet another example, the high gain aluminized surface of the screen108enables the use of polarization systems for 3D, whether linear or circular polarization. The resultant shape of the theater100is symmetrical and can be ovoid, spherical, or other shape and made up of identical modular units that bolt together on site. The design is such that it generally takes only two people to assemble the theater100—one to hold each module in place, while the other inserts the bolts, and each individual component weighs less than 50 lbs. (about 22.7 kilograms). The theater100design thus becomes an interesting visual shape that is appealing from both the inside as well as the outside, offering an appearance that is diametrically different from conventional square or rectangular theaters with flat walls, and requiring a minimum amount of space to deliver the desired movie experience. The theater100, in accordance with various embodiments, can be prefabricated at a factory, and can fit within standard shipping containers and be delivered to the desired site and assembled within a few days. Each modular prefabricated component, such as the panels102,104and the audience seats106, is designed to nest with mated parts so that stacking of the modules occupy minimum space for shipping. The theater100is scalable to any size, while benefitting from the prefabrication process, making assembly fast and inexpensive. The wide field of view, high brightness, high frame rate, and sound quality should be maintained at all scales proportionately. The theater100can be scaled to accommodate a wide variety of seat numbers, but the concept is most financially beneficial when the seat numbers are scaled to fit within the existing ceiling heights of various existing facilities—such as multiplex cinemas, shopping malls, science museums, aquariums, sports facilities, zoos, planetariums, arenas, theme parks, trade shows, music events, sports events, etc. This results in the ability to quickly install the theater and begin the operation of it immediately, assuming that the available space is adequate, and that other necessary elements of parking, toilets, food service, heating/air conditioning, power, etc., are already in place. The theater100is designed to distribute live loads over the conventional load-bearing specifications of existing architecture, like a temporary exhibit. For example, the theater100can be adapted for home or commercial use in a wide variety of sizes. An attribute of the stereoscopic experience of a 120 frame-per-second 3D motion picture projected with a single projector is that it can be scaled for smaller size while retaining a giant screen experience. This can be accomplished using a reduced scale version of the theater100by reducing the size of the toroidal screen108to as small as, for example, approximately 7 feet (about 2.1 meters) high by 16 feet (about 4.9 meters) wide, while retaining the geometry of the screen, viewer, and projector relationship. This enables small groups of viewers inside a conventional home or office with eight foot high (about 2.4 meters) ceilings. The screen can be assembled like a small tent, using the same technology as the toroidal vacuum shaping fan/sensor system on a fold-up frame. The sound system can be a conventional home theater system such as 5.1 or 7.1 surround or even Dolby Atmos® Home Theater systems. The result retains all of the giant Screen experience and viewing characteristics of larger prefabricated portable theaters, at a fraction of the cost, and can be set up in conventional living or corporate spaces such as a living room, entertainment room, office, or garage. In some embodiments, the theater100includes steeply raked audience seating tiers106that are superior to those found in conventional stadium type cinemas. Each seat106directly faces a vertical axis302passing through the center202of the screen180, such as illustrated inFIGS.2C and3, rather than being in straight or slightly curved rows as is the norm in conventional cinemas. In this manner, an audience member faces the center202region of the screen108while looking straight ahead from a seated position in any seat106. The seating rake is specifically designed as a result of a light-cone204emitting from the projector116, allowing the light rays to reach the screen surface108with just enough clearance from the audience members' reach to prevent audience members from interfering with the light. In some embodiments, the theater100includes between approximately 30 and 300 audience seats, although lesser or greater numbers of seats are possible. The ultra-wide field of view made available by the hemispherically curved screen108mimics the human retina402, which is an ultra-wide concave sensor matrix within the human eye404, such as shown inFIG.4. The conventional 24 frame per second rate of stereoscopic motion pictures projected by a single digital projector has introduced problematic “fusion frequency” and “persistence of vision” anomalies into the motion illusion by “triple-flashing” each frame of the left eye image, interleaved with “triple-flashing” of the right eye image. The resultant perceived image therefore includes objectionable motion artifacts due, in part, to the human retina's ability to seemingly blend a series of perceived images into a flicker-free stream that produces a “moving picture.” In the case of 72 flashes of the right eye image combined with 72 flashes of the left eye image produces a total of 144 flashes per frame of the original 24 frames per second sequence. These “flashes” are stored in the human retina, resulting in objectionable multi-image confusion and a destruction of the correct temporal frequency of the original photography, creating the perception on the retina of several flashes that have no motion, as the eye (retina) tracks this, creating confusing overlapping images of the same frame. FIG.5illustrates several example frames of images forming a three-dimensional motion picture, in accordance with an embodiment of the present disclosure. In some embodiments, a projector116is included in the theater100. The projector116is configured to project a moving image onto the projection screen108by flashing individual frames of the moving image one time each in a sequence that alternates between left eye frames as recorded at left eye recordal times and right eye frames as recorded at right eye recordal times, such as shown inFIG.5. In a typical 3D sequence, as generally indicated at502, both the left eye frame and the right eye frame are recorded simultaneously; however, the same frame may be flashed multiple times during projection, or some frames may not be flashed at all. By contrast, a 3D sequence, as generally indicated at504, where each successive frame of the sequence is recorded at a successive time and projected temporally with respect to one another in the sequence to show the moving image, results in a projected frame rate of at least 120 frames per second, where the left eye frames are recorded at at least 60 frames per second and at the left eye recordal times, and where the right eye frames are recorded at at least 60 frames per second and at right eye recordal times offset from the left eye recordal times. Further details of this projection process can be found in U.S. Pat. No. 9,204,132, the entirety of which is incorporated by reference herein. According to various embodiments, there are no objectionable motion artifacts and the extremely wide field of view is perceived as natural and real, without motion anomalies when images are projected at, for example, 60 frames of left eye imagery interleaved temporally with frames of right eye imagery, resulting in a total of 120 discrete motion positions of the images. This is due at least in part to flashing each frame only once, so that there are no overlapping images stored on the retina, thus enabling extremely fast action on the wide field of view screen. FIG.6shows a field of view range of the example motion picture theater100ofFIG.1, in accordance with an embodiment of the present disclosure. An attribute of projecting 120 frame per second 3D imagery at 60 frames per eye in proper temporal succession onto the hemispheric screen108is that the perception of stereoscopic depth and space is increased. Comfortable viewing is possible from a predetermined viewing zone602, such as shown inFIG.6, that limits objectionable stereoscopic convergence and focus disparity. The stereoscopic imagery can be projected in such a way that human eye convergence is synchronized with focus so that most content appears at the screen surface, which allows the human eye to converge and focus at the same location, thus reducing or eliminating eye strain. An attribute of stereoscopic 3D projection using alternating left-right frames in sequence using a single projector is that this preserves the universal standard of motion pictures having a conventional 50% illumination per frame accompanied by 50% dark screen time. This is the natural result of digital 3D projection, and mimics the mechanical shutter that has accompanied celluloid film projection for over ninety years. Another attribute of the disclosed techniques is that the high-gain hemispherical screen's light focusing function allows the attainment of the industry standard of 14 foot lamberts of image brightness, rather than the light loss associated with 3D polarization systems that reduce the conventional 14 foot lamberts of brightness to one-quarter brightness due to loss of light through the polarizers and glasses. Yet another attribute of the disclosed techniques is that high frame rates of 120, 144, or even 240 frames per second can be utilized, if so desired, without inducing a so-called “soap opera” television appearance that is associated with high frame rate movies projected with dual projectors, for example, and 100% illumination (without flicker). This attribute retains the appearance associated with the relatively low frame rate of conventional movies (e.g., 24 frames per second), while substantially increasing the actual frame rate, for applications where such an appearance is desired. FIG.7shows an example speaker placement within the example motion picture theater100ofFIG.1, in accordance with an embodiment of the present disclosure. The theater100includes speakers702, which are unique for several reasons. The vacuum screen108cannot be perforated; therefore, the speakers702are located around the top, bottom and sides of the screen108to create a sound image that is perceived as emanating from behind the screen via unique sound signal phasing to create a phantom sound source near the middle of the screen. This is achieved by special sound mixing/processing/phase shifting, etc. When used in conjunction with various embodiments, a high frame rate/wide field of view projection technique, such as disclosed in U.S. Pat. No. 9,204,132, creates a unique and powerful stereoscopic realism that cannot be acoustically matched by existing sound systems. Therefore, according to various embodiments, a unique layout of the speakers702surrounds the hemispheric screen108, coupled with a unique location grid of surround speakers702around the perimeter walls112and ceiling114of the theater110. The unique ovoid/hemispheric interior shape of the theater110creates an acoustic environment that includes special sound absorbing materials on the walls112and ceiling114to absorb and dissipate any standing waves of sound caused by the focusing effects of the curvature of the screen108. To achieve very high pressure levels of low frequencies in the 20-200 Hz range, a unique configuration of 50 or more 15-inch diameter subwoofers704are mounted behind the seat risers106of each row, providing up to 120 dB of low frequency sound pressure. A unique configuration of rotary subwoofers706are installed at the sides of the seating riser platform106, beneath the stairs at each side, making it possible to deliver extremely low frequency sound waves beneath the seating platform in the range of 1-20 Hz, which is unobtainable with conventional speakers. The resulting low frequency air pressure changes beneath the seating platform106are delivered to viewers via having a unique spring-loaded seating platform that can accommodate substantial motion that is induced by the low frequency pressures emitted by the rotary subwoofers706. The overall acoustic design provides a unique and previously unobtained “anechoic” effect so that the resultant dynamic range of sound levels from extremely quiet to extremely loud can be obtained. An “anechoic” level of sound absorption in a theater setting is generally not recommended by acoustic engineers due to added cost and the human discomfort associated with situations with no sound. However, various embodiments utilize anechoic effects to enhance movie content moments requiring extreme quiet and tranquility by mixing environmental and musical effects in such a way as to increase audience stimulation via expanded range between loud sounds and extreme quiet. Another unique attribute of the prefabrication and modularity of the theater100is that it can be easily dismantled and moved to storage or alternate location(s). The specific configuration of the modular components can take myriad forms, depending upon materials used, methods of fabrication, methods of interconnection, and functionality for sound and light control as needed for a theater purpose. The specific design relationships between the hemispheric screen108, the projector116, seating106system, sound system, and control system that the same result of improved viewer experience can be achieved by numerous materials, fabrics, structures, and components, and this disclosure is not intended to limit the myriad obvious variations of size and design. Embodiments include a stand-alone installation of the theater100that can include portable, temporary, or permanent components that allow the theater100to be assembled and operated indoors, outdoors, and autonomously as needed for a particular site or venue. These components/aspects can include and are not limited to the generation of power, heating, air conditioning, weather resistance, lightning protection, light-trapping, ticket areas, food service, toilets, and any other necessary components as needed. The self-contained and portable design of the theater100lends itself to a wide variety of applications that include education, corporate training, simulation, sales and marketing, etc. Variations in seating size from very small to very large is possible, so that unlike other fixed theater installations, the hourly capacity of viewers can be adjusted to fit the needs of the venue. Another unique attribute is that viewer capacity can be more easily and inexpensively adjusted by using multiple theaters of identical size and configuration rather than scaling up to a larger size that can entail substantially increased costs. The theater100may, in some embodiments, include audio/visual systems having the capability to display a wide variety of content, including conventional media such as DVDs, Blu-ray discs, DCP, streaming, multi-media digital presentation programs (e.g., PowerPoint), real-time interactive graphics engines (e.g., Unity3D, UnReal), virtual reality, real-time interactivity, teleconferencing, live streaming and live broadcast. The content can be digitally scaled or resized to utilize as much or as little of the projection screen108as desired. In some embodiments, the theater100includes attachment points to connect to existing HVAC ducts and existing fire suppression systems. Numerous embodiments will be apparent in light of the present disclosure, and features described herein can be combined in any number of configurations. One example embodiment provides a portable theater including a plurality of individual panels assembled together to form a theater enclosure; a plurality of audience seats installed within the assembled theater enclosure; and a projection screen mounted within the assembled theater enclosure. The projection screen has a partial toroidal shaped reflective surface configured to reflect, toward the plurality of audience seats, a moving image as projected by a projector. In some cases, the the projector is configured to project the moving image onto the projection screen by flashing individual frames of the moving image one time each in a sequence that alternates between left eye frames as recorded at left eye recordal times and right eye frames as recorded at right eye recordal times, each successive frame of the sequence having been recorded at a successive time and projected temporally with respect to one another in the sequence to show the moving image, resulting in a projected frame rate of at least 120 frames per second, wherein the left eye frames are recorded at at least 60 frames per second and at the left eye recordal times, and wherein the right eye frames are recorded at at least 60 frames per second and at right eye recordal times offset from the left eye recordal times. In some such cases, the portable theater includes the projector. In some cases, the projection screen has a vertical axis passing through a center point of the projection screen, wherein each of the audience seats directly faces the vertical axis of the projection screen while the respective audience seat is installed within the assembled theater enclosure. In some such cases, each of the plurality of audience seats is arranged in a plurality of rows and on a raked platform such that seats in the row closest to the projection screen are lower than seats in the row furthest from the projection screen. In some cases, the projection screen is configured to provide at least a 50 degree horizontal field of view of the reflected moving image to at least one of the plurality of audience seats while the respective audience seat is installed within the assembled theater enclosure. In some cases, the projection screen is configured to provide at least a 100 degree horizontal field of view of the reflected moving image to at least one of the plurality of audience seats while the respective audience seat is installed within the assembled theater enclosure. In some cases, the portable theater includes means for mounting the projection screen within the assembled theater enclosure using vacuum pressure to hold the projection screen in position against a structural member. In some such cases, the means for mounting the projection screen within the assembled theater enclosure includes at least one fan configured to produce the vacuum pressure. In some cases, the reflective surface of the projection screen includes aluminum. In some cases, the portable theater includes a sound system including a plurality of speakers mounted outside a perimeter of the projection screen. In some such cases, the sound system further includes a plurality of subwoofers mounted behind or to one side of at least some of the audience seats. In some such cases, each of the plurality of subwoofers is configured to produce sub-audible frequencies from between approximately zero and 20 Hz. In some cases, at least one of the individual panels includes a sound absorbing material. In some cases, the plurality of individual panels are prefabricated. In some cases, at least one of the individual panels is designed to nest with at least one other individual panel such that the respective individual panels can be stacked together. Another example embodiment provides a portable theater kit including a plurality of individual panels each configured to be assembled together to form a theater enclosure; a plurality of audience seats configured to be installed within the assembled theater enclosure; and a projection screen configured to be installed within the assembled theater enclosure. The projection screen has a partial toroidal shaped reflective surface configured to reflect, toward the plurality of audience seats, a moving image projected by a projector. In some cases, the portable theater kit includes one or more of the aspects discussed in the previous paragraph. | 27,233 |
11859379 | All drawings are schematic and not necessarily to scale. Parts given a reference numerical designation in one figure may be considered to be the same parts where they appear in other figures without a numerical designation for brevity unless specifically labeled with a different part number and described herein. DETAILED DESCRIPTION The following description of the preferred embodiment(s) is merely exemplary in nature and is in no way intended to limit the invention, its application, or uses. In the description of embodiments disclosed herein, any reference to direction or orientation is merely intended for convenience of description and is not intended in any way to limit the scope of the present invention. Relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description only and do not require that the apparatus be constructed or operated in a particular orientation. Terms such as “attached,” “connected,” “coupled,” “interconnected,” and similar refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. The term “fixed” refers to two structures that cannot be separated without damaging one of the structures. The term “filled” refers to a state that includes completely filled or partially filled. As used throughout, ranges are used as shorthand for describing each and every value that is within the range. Any value within the range can be selected as the terminus of the range. In addition, all references cited herein are hereby incorporated by reference in their entireties. In the event of a conflict in a definition in the present disclosure and that of a cited reference, the present disclosure controls. FIG.1shows an example of a traditional building structure for supporting drywall panels to form a soffit and facia. The thickness of some members in the figures is not to scale and are made thicker in proportion to other members for clarity. Actual members may be relatively thin sheet metal or other material such as wood, plastic, composite or other material. InFIG.1, a structural frame10includes an upper horizontal section formed by members50, a vertical section formed by members60and a lower horizontal section formed by members70. In this example, the upper horizontal section and the vertical section intersect at a right angle, and the vertical section and the lower horizontal section intersect at a right angle. In other examples, different angles exist between the particular sections and the sections have different relative lengths. Members50,60,70are, in this example, longitudinal members having a “T” shaped cross section. Angles20,30,40are, in this example, longitudinal members having an “L” shaped cross section. AlthoughFIGS.1-3show surfaces54,64,74being offset from surfaces21,22,31,32,41,42by the thickness of angles20,30,40, other examples are fabricated so that surfaces54,64,74are flush with surfaces21,22,31,32,41,42. In this example, member50is fixed to member60by two screws80, and member60is fixed to member70by two screws85. The structural frame10may comprise a first frame portion that may form a first portion of the surface and is configured to receive a first sheet of the building material. The first frame portion may comprise a first longitudinal member50and a second longitudinal member40, the first longitudinal member50arranged orthogonal to the second longitudinal member40. The first longitudinal member50may have a T-shaped cross-section. The T-shaped cross-section of the first longitudinal member50may comprise a bottom flange54that forms part of the first portion of the surface. The second longitudinal member40may have an L-shaped cross-section. The L-shaped cross-section of the second longitudinal member40may comprise a bottom flange64that forms part of the first portion of the surface. The structural frame10may comprise a second frame portion may form a second portion of the surface and is configured to receive a second sheet of the building material. The second frame portion may comprise a third longitudinal member60and a fourth longitudinal member20, the third longitudinal member60arranged orthogonal to the fourth longitudinal member20. The third longitudinal member60may have a T-shaped cross-section. The T-shaped cross-section of the third longitudinal member60may comprise a bottom flange64that forms part of the first portion of the surface. The fourth longitudinal member20may have an L-shaped cross-section. The L-shaped cross-section of the fourth longitudinal member20may comprise a bottom flange22that forms part of the first portion of the surface. The structural frame10may comprise a third frame portion that corresponds to a third portion of the surface and is configured to receive a third sheet of the building material. The third frame portion may comprise a fifth longitudinal member70. The fifth longitudinal member70may be arranged orthogonal to the fourth longitudinal member20. The fifth longitudinal member70may comprise a T-shaped cross-section. The T-shaped cross-section of the fifth longitudinal member70may comprises a bottom flange74that forms part of the third portion of the surface. FIG.2is a perspective view of frame10andFIG.3is a top view of frame10. These figures help illustrate the stick-built nature of this example of a traditional building structure for supporting drywall panels. FIGS.4and5show an example of an embodiment of the invention that simplifies the work needed in the field to create a frame for supporting drywall or other sheet-like material. In this example, framework100has two panels200,300that are hinged at a folding line150such that panels200,300can be folded into a condition in which panel200is positioned on top of panel300, as shown inFIG.5. This example provides a frame that can be constructed off-site and then quickly and easily unfolded on-site to provide a dimensionally correct framework. The sheet-like material may be formed from a first sheet of the building material and a second sheet of the building material. The first sheet and the second sheet may be two completely separate sheets of material. In another embodiment, the first and second sheets may be formed from a single panel of building material, whereby the single panel has a V-shaped cut formed into one of the major surfaces, thereby allowing the single panel to be folded to a shape conforming to a first frame portion and a second frame portion of the frame, whereby the singe panel extends continuously from the first frame portion to the second frame portion. The V-shaped cut may be formed such that a 90 degree fold is formed on the single panel. Other fold angles may range from 30 to 170 degrees—including all angles and subranges there-between. Panel200has a longitudinal member210and a parallel longitudinal member215that establish the length of panel200. Two end transverse members220bridge between longitudinal members210,215. In this example, two interior transverse members230are parallel to end transverse members220and bridge between longitudinal members310,315. Similarly to panel200, panel300has a longitudinal member310and a parallel longitudinal member315that establish the length of framework panel300. Two end transverse members320bridge between longitudinal members310,315. In this example, two interior transverse members330are parallel to end transverse members320and bridge between longitudinal members310,315. FIG.5shows framework100in a folded state where panel200is rotated relative to panel300about folding line150such that panel200is positioned on top of panel300. The movement of panel200relative to panel300can be controlled by one or move hinging mechanisms. The hinging mechanism can be located at folding line150and be a simple hinge, or they can be located remotely from folding line150and/or be some other type of mechanism that permits the desired relative movement of panels200,300. The hinging mechanism can be configured to allow relative rotation of the panels200,300through any angle of rotation. For example, as shown inFIG.4, panels200,300can rotate to a position at which they are 180 degrees from each other. In other examples, panels200,300can rotate to a position in which they are more or less than 180 degrees from each other. For example, in the case of a common soffit in a building space (or other application), panels200,300can be moved to a position in which they are 90 degrees from one another. One or more locking mechanisms can be provided to lock panels200,300in a desired position relative to one another. Such locking mechanisms can be of any configuration that securely holds the panels in the desired position sufficiently to allow the attachment of the drywall, or other, building panels.FIGS.6-11show an example of locking mechanisms in accordance with the invention. FIG.6shows an example of a framework600in accordance with embodiments of the invention. Framework600has an upper member700that is T-shaped in cross-section. The T-shaped cross-section is formed by a rib portion710and a flange portion720that extends laterally away from rib portion710on both sides of rib portion710. While upper member700is shown as a T-shaped member in this example, in other examples upper member700has an L-shaped cross-section, a box-shaped cross-section, or another shaped cross-section. Any appropriately shaped cross-section can be used as long as it is sufficiently strong to support the drywall or other building sheet that is to be attached to framework600. Framework600has a middle member800that is attached to upper member700by a first pivoting mechanism805. The first pivoting mechanism805may comprise a swiveling joint that can be, for example, a bolt, pin, rivet, or other swiveling fastener. The middle member800may be coupled to the upper member700by the first pivoting mechanism805, which may permit the upper member700to rotate about a first pivoting axis relative to the middle member800. The first pivoting mechanism805may permit the upper member700to rotate about the first pivoting axis from an undeployed state to a deployed state. The undeployed state may be a folded state compared to the deployed state. In this example, middle member800is T-shaped in cross-section. The T-shaped cross-section is formed by a rib portion810and a flange portion820that extends laterally away from rib portion810on both sides of rib portion810. While middle member800is shown as a T-shaped member in this example, in other examples middle member800has an L-shaped cross-section, a box-shaped cross-section, or another shaped cross-section. Any appropriately shaped cross-section can be used as long as it is sufficiently strong to support the drywall or other building sheet that is to be attached to framework600. Framework600has a lower member900that is attached to middle member800by a second pivoting mechanism806. The second pivoting mechanism806may comprise a swiveling joint that can be, for example, a bolt, pin, rivet, or other swiveling fastener. The lower member900may be coupled to the middle member800by the second pivoting mechanism806, which may permit the lower member900to rotate about a second pivoting axis relative to the middle member800. The second pivoting mechanism806may permit the lower member900to rotate about the second pivoting axis from an undeployed state to a deployed state. The undeployed state may be a folded state compared to the deployed state. In this example, lower member900is T-shaped in cross-section. The T-shaped cross-section is formed by a rib portion910and a flange portion920that extends laterally away from rib portion910on both sides of rib portion910. While lower member900is shown as a T-shaped member in this example, in other examples lower member900has an L-shaped cross-section, a box-shaped cross-section, or another shaped cross-section. Any appropriately shaped cross-section can be used as long as it is sufficiently strong to support the drywall or other building sheet that is to be attached to framework600. Framework600is only one example of a collapsible framework in accordance with embodiments of the invention. Other examples have a different number of members and/or different shape members and/or a different relative configuration of members. For example, instead of three members700,800,900, Framework600can have two members or more than three members. In some embodiments, members700,800,900can have different relative lengths. In some embodiments, members700,800,900do not intersect at 90 degree angles. In some embodiments members700and800intersect at a first angle and members800and900intersect at a different angle. In some embodiments, members700,800,900can be locked in a particular relative position such that they form a particular included angle relative to each other. For example, framework600is locked into a configuration where upper member700and middle member800form a 90 degree angle, and middle member800and lower member900form a 270 degree angle. In this example, the framework600may comprise a first locking mechanism that fixes a relative position of the upper member700and the middle member800in the deployed state depicted inFIG.6. The first locking mechanism may include a first locking member650, a pin730on upper member700, and a pin831on middle member800. The framework600may further comprise a second locking mechanism that fixes a relative position of the lower member900and the middle member800in the deployed state depicted inFIG.6. The second locking mechanism may include a second locking member670, a pin832on middle member800, and a pin930on lower member900. Locking members650,670are rigid material such as metal, plastic or composite. Pins730,831,832,930are a shoulder bolt configuration including a head of a diameter A, a large diameter shaft portion adjacent the head and having a diameter B that is less than diameter A, and a small diameter shaft portion adjacent to the large diameter shaft portion and having a diameter C that is less than diameter B. A detailed view of an example of locking member650,670is shown inFIG.8. In this example, locking member650,670has a main body651in which a longitudinal slot657is located. Longitudinal slot657has an enlarged area655at each end of slot657for receiving pins730,831. The width in the transverse direction of longitudinal slot657is slightly larger than the small diameter shaft portion of pins730,831,832,930, but smaller than the large diameter shaft portions of pins730,831,832,930. In this example, locking members650,670are curved to act as a spring such that the ends of locking members650,670are urged away from members700,800,900. This urging action pushes enlarged areas655onto the large diameter shaft portion so that members700,800,900cannot move relative to each other due to the large diameter shaft portions not being able to pass through longitudinal slot657. In other examples, enlarged areas655are a slight interference fit with the large diameter shaft portions of pins730,831,832,930such that a user can force enlarged areas655of locking members650,670onto the large diameter shaft portions of pins730,831,832,930to lock locking members650,670in a position that prevents relative movement of members700,800,900. FIG.7shows frame600in a flat condition that can be used for shipping. Once on the jobsite, frame600can be folded to the desired configuration to act as a support for a soffit or other building surface. To provide the deployed configuration shown inFIG.6, upper member700is rotated in the direction of Arrow A to a position in which upper member700forms a 90 degree angle with middle member800, and lower member900is rotated in the direction of Arrow B to a position in which lower member900forms a 270 degree angle with middle member800. The example shown inFIG.6uses locking members650,670of a given length that result in frame600having included angles of 90 degrees and 270 degrees. Using locking members of other lengths results in different angles between members700,800,900. FIG.9shows an example where the frame600ofFIG.7is deployed such that upper member700forms a 135 degree angle with middle member800and middle member800forms a 225 degree angle with lower member900. This configuration is the result of locking member650being shorter in the longitudinal direction than the locking member650shown inFIG.6, and locking member670being longer in the longitudinal direction than the locking member670shown inFIG.6. FIG.10shows an example where the frame600ofFIG.7is deployed such that upper member700forms a 45 degree angle with middle member800and middle member800forms a 315 degree angle with lower member900. This configuration is the result of locking member650being longer in the longitudinal direction than the locking member650shown inFIG.6, and locking member670being shorter in the longitudinal direction than the locking member670shown inFIG.6. FIG.11shows an example where the frame600ofFIG.7is deployed such that upper member700forms a 90 degree angle with middle member800and middle member800forms a 225 degree angle with lower member900. This configuration is the result of locking member650being the same length in the longitudinal direction than the locking member650shown inFIG.6, and locking member670being longer in the longitudinal direction than the locking member670shown inFIG.6. AlthoughFIGS.6and8-11show various deployed configurations, it is noted that any number of deployed configurations are possible using locking members of different lengths. In addition, fewer or more than three members700,800,900can be used to form different shaped structures. In some cases, a 180 degree angle can be provided between two adjacent members to for a flat section in the structure. FIGS.12-14show another embodiment of the invention that involves collapsible members within a flat panel structure.FIG.12shows a framework1000having two rigid members1010,1020. Rigid members1010,1020are attached to each other by two collapsible members, each having a first member1030(also referred to as a “first portion”) and a second member1040(also referred to as a “second portion”). First member1030is pivotably attached to second member1040by a pin1050(also referred to as a “first pin”1050). First member1030is pivotably attached to rigid member1010by a pin1052(also referred to as a “second pin”1052), and second member1040is pivotably attached to rigid member1020by a pin1054(also referred to as a “third pin”1054). FIG.12is an example of framework1000in a collapsed state where the collapsible members are folded so that rigid members1010and1020are relatively close to each other. This collapsed state can be preferable for transport to the job site.FIG.13shows framework1000is a deployed state where rigid members1010and1020are at a maximum distance apart. The state shown inFIG.13is just one of many possible deployed states. For example, a deployed state between that shown inFIG.12and that shown inFIG.13can also be used. Further, the state shown inFIG.12can be a deployed state and a state in which rigid members1010,1020are closer together, or even touching, can be the collapsed state. FIG.14shows and example of two frameworks1000installed to form a soffit and facia in an occupiable space in a building having a wall W, a ceiling C, and a door D in wall W. Ceiling C makes an angle α with wall W at a corner CO. In this case the two frameworks1000cover corner CO with a box structure in which the two frameworks1000form a 90 degree angle. The two frameworks1000can be attached to one another and to wall W and ceiling C using screws, clips, or some other fastener. In other examples, more than two frameworks1000are used. In other examples, frameworks1000are attached to ceiling C, wall W, and/or each other at angles other than 90 degrees. In other examples, one framework1000is fully deployed such that first members1030are aligned with second members1040, and another framework1000is partially deployed such that first members1030are not aligned with second members1040. While the foregoing description and drawings represent exemplary embodiments of the present disclosure, it will be understood that various additions, modifications and substitutions may be made therein without departing from the spirit and scope and range of equivalents of the accompanying claims. In particular, it will be clear to those skilled in the art that the present invention may be embodied in other forms, structures, arrangements, proportions, sizes, and with other elements, materials, and components, without departing from the spirit or essential characteristics thereof. In addition, numerous variations in the methods/processes described herein may be made within the scope of the present disclosure. One skilled in the art will further appreciate that the embodiments may be used with many modifications of structure, arrangement, proportions, sizes, materials, and components and otherwise, used in the practice of the disclosure, which are particularly adapted to specific environments and operative requirements without departing from the principles described herein. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive. The appended claims should be construed broadly, to include other variants and embodiments of the disclosure, which may be made by those skilled in the art without departing from the scope and range of equivalents. In addition, all combinations of any and all of the features described in the disclosure, in any combination, are part of the invention. | 22,079 |
11859380 | In describing the preferred embodiments of the invention which are illustrated in the drawings, specific terminology is resorted to for the sake of clarity. However, it is not intended that the invention be limited to the specific terms so selected and it is to be understood that each specific term includes all technical equivalents which operate in a similar manner to accomplish a similar purpose. For example, the word connected or terms similar thereto can often be used. They are not limited to direct connection but include connection through other elements where such connection is recognized as being equivalent by those skilled in the art. DESCRIPTION OF THE PREFERRED EMBODIMENT FIGS.1-6show various views of cured concrete perforation working tools according to the present invention. As shown therein, each cured concrete working tool20,22,24,26,28,30is defined by an elongate body32,34,36,38,40,42that extends between a respective work facing end44,46,48,50,52,54and a respective tool facing end56,58,60,62,64,66. Each tool facing end56,58,60,62,64,66is constructed to operationally cooperate with a driving implement or power tool70(FIG.7) that is configured to impart a rotational load72(FIG.1) and preferably an axial or impact load74relative to the driving engagement defined therebetween. Although each tool facing end56,58,60,62,64,66is shown as having what is generally understood as a male engagement end, it is appreciated that alternate driving arrangements may be provided. For instance, the respective driving end56-66could be provided as a receptacle rather than a projection wherein the receptacle is shaped to cooperate with a projection associated with driving tool70. It is further appreciated that each respective driving tool end56-66may be provided with driving arrangements other than those shown and as are customary within various cured concrete and/or fastener driver industries and/or applications. For instance, as shown inFIG.3, driving tool end60of cured concrete working tool24has a generally hexagonal shaped interface which is configured to cooperate with a socket or the like. Alternative, the respective driving tool end could be configured to be engaged by a square driven member of a battery or electric powered impact hammer or rotary impact hammer drilling device. Regardless of the specific configuration employed, each respective driving tool end56-66of each respective concrete working tool is preferably constructed to facilitate both clockwise and counterclockwise rotational operation of the respective working tool20-30relative to an underlying cured concrete material as disclosed further below. It is further appreciated that the rotational and/or impact operation of the discrete working tools20-30are constructed to remain engaged with the respective driving tool during both the clockwise and counterclockwise operation of the working tool and during axial translation of the discrete working tool relative to the underlying concrete and/or rock material. That is, the rotational operation of driving tool relates to both the placement and extraction of the working tool relative to an underlying perforation as well as the construction of the respective threads or channels associated with use thereof. Whereas in some embodiments, such as tools24-30, a respective tool has a generally solid cross-sectional shape, in other configurations, such as tools20,22, an elongate channel74,76can be formed to extend in the axial direction74along a portion of the respective body32,34of the respective tool20,22. Respective channels74,76facilitate extraction of the spoils associated with operation of tools20,22relative to the cured concrete or stone material during interaction therewith as disclosed further below. Channels74,76further provide a discrete cutting face associated with each respective projections80,80′ during operation thereof and facilitate starting of the radially and axially directed cutting of the radially inward directed surface of the bore during association of the respective tool therein. In alternate embodiments, is further appreciated that one or more of tools22-30can be provided in a tube shape and/or be provided in a generally hollow shape so as to facilitate the extraction of the spoils associated with operation of tools20-30relative to the underlying cured concrete material as disclosed further below. Regardless of the construction of the discrete body of the respective tool20-30as being solid or hollow cored, each concrete working tool20-30includes one or more projections80,80′ that extend in an outward radial direction relative to axis74and relative to the exterior facing surface82of the underlying cured concrete working tool20-30. As shown inFIGS.1and2, in some embodiments, projections80,80′ are oriented to extend in a helical pattern about a portion of respective tools20,22wherein each discrete helix is interrupted by respective channel74,76. It is further appreciated that each respective tool20-30can include more than one channel or groove and that the respective channels or grooves are displaced or offset from one another in a radial direction about an exterior surface of the respective tool. It is further appreciated that, regardless of the number of grooves provided, if any, it is further appreciated that any such grooves can extend varied lengths along the exterior of surface of the respective tool and can be formed only along portions thereof. It is further appreciated that and such grooves can further be formed to extend in a generally axial or more helical manner about the exterior surface of the respective concrete working tool. As shown inFIGS.3and4, in alternate embodiments, respective projections80,80′ extend in a generally uninterrupted helical manner about the exterior facing surface of a portion of a respective tool24,26. In yet another alternate embodiment, as shown inFIGS.5and6, projections80,80′ are spaced both radially and axially relative to the respective body40,42associated with the underlying tool28,30. As disclosed further below with respect toFIG.8, it is appreciated that projections80,80′ are oriented about the respective body of the respective tool to form a helical discontinuity that extends in an outward radial direction relative to the bore during treatment of the surrounding wall defined by the cured concrete or stone material. Regardless of the relative orientation of the respective projections80,80′ and/or the discrete number of projections associated therewith, projections80are preferably constructed to provide a cutting operation associated with interaction of the discrete projections with an underlying cured concrete material, and more specifically, a radially inward directed surface of a discrete perforation formed therein. Understandably, projections80,80′ can be formed of any material capable of working cured concrete materials such as hardened carbon steels, stainless steel materials, ceramic materials, and/or diamond or diamond coated materials. Regardless of the orientation and/or other configurations, projections80,80′ are constructed to facilitate the longevity associated with repeated use and operation of discrete tools20-30to effectuate both of a cutting operation associated with a perforation defined by cured concrete, stone, or concrete materials as well as removal or disengagement of the discrete tool, and any projections associated therewith, from each recently treated bore or hole as disclosed further below. Referring toFIG.7, each discrete tool20-30is constructed to be axially associated with discrete holes, bores, or perforations100formed in cured concrete materials102. Rotation of discrete tools20-30, as indicated by arrow70, relative to perforation100effectuates rotation of discrete projections80,80′ relative thereto and affects the formation of a plurality of channels, grooves, or threads106being formed in a radially interior facing surface108associated with perforation100of cured concrete material102as shown inFIG.8. Although respective threads106are shown as what is commonly understood as having a generally right-hand or clockwise orientation relative to material102, it is appreciated that the orientation of respective projections80,80′ can be provided in a manner so as to effectuate counterclockwise formation of discrete threads106relative to perforation100associated with cured concrete material102. Referring toFIGS.9-11, currently employ adhesively secured mechanical anchor methodologies associated with placement of discrete anchors120results in configurations wherein a generally planar surface122associated with the exterior surface of anchor120interacts with an adhesive124deposited within perforation100, and in a similar manner, a radially interior facing surface126associated with cured concrete material128also provides a generally planar interface with adhesive124. As disclosed above, the generally planar interface results in a generally linear orientation of the axially directed forces associated with loading of the discrete anchors in directions aligned with the longitudinal axis of the bore and which is also preferably axially aligned with the longitudinal axis of the anchor to mitigate dissimilar circumferential loading of the discrete anchor. Referring toFIGS.10and11, treatment of cured concrete material128, and specifically, the radially interior facing surface108associated with discrete perforations100creates a respective nonplanar interface130,132between adhesive124and the radially interior facing surface108associated with perforation100after perforation100has been treated with any one of tools20-30in preparation of receiving adhesive124and subsequently anchor120. The non-planar interface130-132associated with the radially interior facing surface108associated with each discrete perforation100both increases the surface area associated with operation of adhesive124and manipulates the directional forces associated with the failure therebetween and the interface of the cured concrete surface associated with each discrete perforation100and the resultant anchor or fastener engaged therewith. During empirical testing, an anchor adhesively secured to a bore formed in a cured concrete material and whose radially inward directed surface was treated in accordance with the disclosure of the present application was compared to a results acquired from a similarly fixtured securing and testing methodology aside from the post bore formation/pre adhesive placement treatment of the radially inward directed surface of the bore with a corresponding concrete working tool when both samples were subjected to tensile loading of the exposed portion of the discrete concrete anchors. The results therebetween showed a definitive mitigation creep failure advantage or improvement when the adhesively secured anchors were secured to underlying cured concrete materials having bores treated with the cured concrete working tools as compared to untreated bores formed in the same materials. That is, upon curing, the hardened adhesive in the threads fundamentally change the load carrying mechanism of the adhesive-concrete interface from shear adhesion to mechanical interlock. Each of cured concrete working tools20-30effectuates the desired manipulation of the loading characteristics between the adhesive and the underlying cured concrete and does so in a manner that maintains a spaced relationship of the respective anchor or fastener relative to the interior facing surface of the respective perforation with which it is associated. Such consideration provide an adhesive anchor securing methodology that is convenient to integrate with current adhesive anchor methodologies, can be implemented without substantial impact to production aspects, and improves the failure avoidance performance characteristics of adhesively secured anchors and/or fasteners secured in such a manner. It is appreciated that working tools can be provided in various configurations. Preferably, working tools are configured to cooperate with a desired bore that is associated with receiving a corresponding fastener or anchor. Such anchors are provided in a variety of sizes but more commonly having diameters of ¼ inch to three inches, understandably, depending upon the respective loads intended to be secured. Similarly, adhesives124are commonly selected to cooperate with the underlying base material—cured concrete, stone, porous, less porous, etc.; the size of the fastener or the thickness of the adhesive bed, moisture and temperature cycles, etc.; and the material associated with the construction of the fastener or anchor intended to be deployed—stainless steel, carbon steel, carbide, etc. Preferably, secured anchors are configured to withstand seasonal temperature deviations plus suitable factors of safety associated with the geographic regions or operating environments associated with application. In a preferred aspect, the discrete fastener mounting arrangements are configured to tolerate operate environment maximum temperatures of between approximately 110 degrees Fahrenheit to approximately 160 degrees Fahrenheit which generally correlate to northern hemisphere seasonal high temperatures. Commonly, the underlying stone or cured concrete strengths vary from approximately 3000 PSI to 20000 PSI—again, depending upon the application. Similarly, ranges of moisture and cyclic loading of the interface between the fastener and the underlying cured concrete or stone and adhesive can also vary. Preferably, each mounting arrangement is configured to withstand multiple hundreds, thousands, or millions of cyclic influences. For instance, common applications are often subjected to several hundred moisture and drying cycles, several hundred or thousand freeze-thaw cycles (depending upon the environment and application, cyclic fatigue stresses in the nature of 10 million or more cycles, as well as low-cycle fatigue loading such as seismic loading or earthquake conditions wherein anchors are expected to maintain their desired load capacities while developing deformation. Preferably, during seismic performance, properly configured anchor securing arrangements are configured to tolerate at least ten times its deformation to yield. Another consideration to anchor or fastener securing success is directed to adhesive selection and association of anchor size, bore side, and the adhesive bond interfaces formed therebetween as manipulated by the plurality of channels associated with the bore treated with a corresponding bore working tool as disclosed herein. Preferably, the bond line thickness associated with the interface between the adhesive124and the corresponding interfaces of the treated bore and the anchor or fastener varies from about 3 inches or six times the anchor diameter to approximately 30 inched or 15 times the anchor diameter. Understandably, the available embedment depth can vary widely between applications, such as some industrial wind turbine applications which include embedding depths of 9 feet, such that other bond line dimensions are feasible. Similarly, it is appreciated that the composition of adhesive124can vary widely and include organic, inorganic compounds, epoxy materials, etc. As disclosed above, it is appreciated that the cured concrete working tool can be provided in various configurations and constructions without departing from the claimed invention. Each working tool20-30preferably includes a steel driving shaft with carbide cutting tips attached to a radially exterior facing surface thereof. Each respective tool20-30is preferably configured to cooperate with driving tool, such as a common impact wrench with a hex/square socket. The impact wrench can be electrical or pneumatic with square or hex driving shaft. The driving end associated with each respective tool20-30can also be provided in any of a male or female drive interface and/or configured to receive a hex/square driving shaft of a common impact wrench. The cutting end or work facing end of each tool20-30can be round, square, or lobed. The discrete cutting projections80can be arranged in a helix pattern with varying heights to effectuate the cutting effect and/or to create self-driving and extracting features. The discrete projections80,80′ can be made in natural diamond, hard metal, tungsten carbide, silicon carbide, titanium carbide or other cemented carbide. Alternatively, carbide cutting tips can be installed on the metal driving shaft using forging process, gluing process, screwing process, and/or welding process to define the various projections80,80′. The portions of the discrete tools associated with projections80,80′ can be triangular, trapezoidal, oblong, non-round and/or square and with an upper width that is equal to or less than the lower width. That is, each respective tool20-30can have a tapered shaped wherein the smallest outer diameter or shape is associated with the distal end of the work facing end of the discrete tool. It is further appreciated that the discrete bodies32-42associated with discrete tools20-30can be provided in various sizes and shapes. That is, tools20-30can be provided in various sizes or diameters and various lengths configured to accommodate current and future anchor constructions and configurations. As disclosed above, the discrete bodies32-42can be solid or hollow and round, hexagon, multilateral lobe shaped, include one or more threads that extend axially or helically about the shaft, etc. It is further appreciated that one or more of tools20-30can be constructed to cooperate with a vacuum system to effectuate extraction of the spoils during formation of the threads during operation of the discrete tool with a respective perforation. The present invention has been described in terms of the preferred embodiment. The several embodiments disclosed herein are related as being related to the assembly as generally shown in the drawings. It is recognized that equivalents, alternatives, and modifications, aside from those expressly stated, the embodiments summarized, or the embodiment shown in the drawings, are possible and within the scope of the appending claims. The appending claims cover all such alternatives and equivalents. | 18,322 |
11859381 | DETAILED DESCRIPTION It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of various illustrative embodiments. Specific examples of components and arrangements are described below to simplify the disclosure. These are, of course, merely examples and are not intended to be limiting. For example, a figure may illustrate an exemplary embodiment with multiple features or combinations of features that are not required in one or more other embodiments and thus a figure may disclose one or more embodiments that have fewer features or a different combination of features than the illustrated embodiment. Embodiments may include some but not all the features illustrated in a figure and some embodiments may combine features illustrated in one figure with features illustrated in another figure. Therefore, combinations of features disclosed in the following detailed description may not be necessary to practice the teachings in the broadest sense and are instead merely to describe particularly representative examples. In addition, the disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not itself dictate a relationship between the various embodiments and/or configurations discussed. FIGS.1-5illustrate exemplary aspects of an external insulation finishing system (EIFS) penetration frame, generally designated by the numeral10. Penetration frame10is configured for installation at a penetration14in an exterior insulation system. FIG.1illustrates a vertical wall12of a construction, such as a wall of building, with a penetration14, in preparation for installation of an external insulation. Wall12is constructed of a substrate16, e.g., concrete, masonry, stucco, brick, plywood, oriented strand board, cement board, glass mat ace gypsum sheathing, insulated concrete. Penetrations14are illustrated as window openings with windows18installed in the penetrations. Penetrations14are not limited to window openings and may include, for example, doors, light fixtures, hose bibs, dryer vents, and wall receptacles.FIG.1illustrates wall12and substrate16prior to installation of insulation board, for example expanded polystyrene board (EPS). Left penetration14illustrates a penetration prior to installation of a penetration frame and right penetration14illustrates an installed penetration frame14. Penetration frame10may be constructed offsite, or partially constructed offsite, for transport to and installation at a construction site. It is expected that penetration frame10will expedite the overall installation of external insulation systems by perhaps 25 to 35 percent. The back-wrapping process may eliminate20,30, or more percent of EPS foam, mesh, and base coat waste relative to traditional methods of installing insulated termination edge starter boards, thereby significantly reducing the volume of waste material that must be collected and hauled from a construction site. FIGS.2and3illustrate, respectively, front side and rear sides of an exemplary EIFS penetration frame completed and ready for installation about a penetration. The rear side is configured for installation against the construction substrate.FIG.4is a sectional view along the line4-4ofFIG.2. Penetration frame10comprises a frame portion20constructed of foam, e.g., EPS, in the shape of a construction penetration14. Frame portion20includes multiple sections, including corners20aand straight boards20b, interconnected for example by an adhesive. In some embodiments, frame portion is cut from EPS boards, pre-coated boards, or pre-coated starter board shapes. Frame portion20includes a front face22, rear face24, an outside perimeter26, and an inside perimeter28defining a frame opening25to be placed over the penetration. Frame portion20includes an outside portion30extending from outside perimeter26toward inside perimeter28and an inside portion32extending from inside perimeter28toward outside perimeter26. In an exemplary embodiment, inside portion32extends about two inches from inner perimeter28. A notch31is formed along front face22and rear face24of inside portion32such that the width of outside portion30is greater than the width32aof inside portion32. Inside portion32is back wrapped with reinforcing mesh34extending across front face22, rear face24, and inside perimeter28. Mesh34is embedded in a base coat36on rear face24, inside perimeter28, and at least a portion of front face22. Mesh34substantially fills notch31. Plastic sheeting40is secured via an adhesive38along rear face24of inside portion32. In an exemplary embodiment, sheeting40is not adhered to inside perimeter28. In an exemplary embodiment, sheeting40is about 2-mil to 4-mil plastic. Sheeting40is sized such that it may be positioned toward front face22for example facilitating positioning a window or other penetration cover behind the plastic sheeting when penetration frame10is installed at a penetration. Reinforcing mesh34may include a variety of materials as is known by those skilled in the art. For example, mesh34may be a reinforcing, strengthening, and/or first resistant mesh material such as fiberglass or polymer strand material. Non-limiting examples include polymer mesh such as polyester, polypropylene, aramid, and carbon. Base coat36may include a variety of material, for example, a polymer modified cementitious mortar. FIG.5is a sectional view along the line5-5ofFIG.1illustrating an EIFS penetration frame10connected to a substrate at a penetration14. Penetration edge frame10may be adhesively or mechanically connected to substrate14, generally illustrated by the mechanical fastener46. Rear face24is positioned against substrate16, which may be supported on framing42. Penetration opening25is coaxially aligned with penetration14. In this example, penetration14is enclosed by a window18(e.g., glass pane). Window18is held in penetration14by a window frame44. Plastic covering40is positioned between front face22and window18to protect window18from subsequent materials applied to the construction. Conditional language used herein, such as, among others, “can,” “might,” “may,” “e.g.,” and the like, unless specifically stated otherwise, or otherwise understood within the context as used, is generally intended to convey that certain embodiments include, while other embodiments do not include, certain features, elements and/or states. Thus, such conditional language is not generally intended to imply that features, elements and/or states are in any way required for one or more embodiments or that one or more embodiments necessarily include such elements or features. As used herein, the terms “connect,” “connection,” “connected,” “in connection with,” and “connecting” may be used to mean in direct connection with or in connection with via one or more elements. Similarly, the terms “couple,” “coupling,” and “coupled” may be used to mean directly coupled or coupled via one or more elements. Conditional language used herein, such as, among others, “can,” “might,” “may,” “e.g.,” and the like, unless specifically stated otherwise, or otherwise understood within the context as used, is generally intended to convey that certain embodiments include, while other embodiments do not include, certain features, elements and/or states. Thus, such conditional language is not generally intended to imply that features, elements and/or states are in any way required for one or more embodiments or that one or more embodiments necessarily include such elements or features. The term “substantially,” “approximately,” and “about” is defined as largely but not necessarily wholly what is specified (and includes what is specified; e.g., substantially 90 degrees includes 90 degrees and substantially parallel includes parallel), as understood by a person of ordinary skill in the art. The extent to which the description may vary will depend on how great a change can be instituted and still have a person of ordinary skill in the art recognized the modified feature as still having the required characteristics and capabilities of the unmodified feature. In general, but subject to the preceding, a numerical value herein that is modified by a word of approximation such as “substantially,” “approximately,” and “about” may vary from the stated value, for example, by 0.1, 0.5, 1, 2, 3, 4, 5, 10, or 15 percent as understood by a person of ordinary skill in the art. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the disclosure and that they may make various changes, substitutions, and alterations without departing from the spirit and scope of the disclosure. The scope of the invention should be determined only by the language of the claims that follow. The term “comprising” within the claims is intended to mean “including at least” such that the recited listing of elements in a claim are an open group. The terms “a,” “an” and other singular terms are intended to include the plural forms thereof unless specifically excluded. | 9,601 |
11859382 | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS According to various aspects of the various embodiments of the present invention as illustrated inFIGS.1to20D, there is provided an internally braced permanent formwork for concrete foundation construction. It will be appreciated that these figures illustrate the general principles of construction, and that the invention is not limited to the precise configurations illustrated. The general structure of various embodiments of the present invention as shown in the figures will now be described followed by a description of the method of assembly of the permanent formwork1. Basic Structure As shown inFIG.1, the internally braced permanent formwork1comprises an base member10and one or more permanent outer boards40connected to the base member10. In the most preferred embodiments, the base member10provides an insulating benefit and is therefore preferably formed of an insulating material having a thickness sufficient to provide an insulating performance benefit. It will be appreciated that an overall insulating performance benefit is derived from the combination of the thermal properties of the material selected, and it's thickness. Alternatively, the base member10may be chosen to have very limited, or no thermal benefit. The resulting foundation in such a case will still have many of the other benefits of the present invention, but have less performance (at least in the area of the base member10). Throughout the specification, embodiments predominantly including an insulating base member10, are described by way of example only. The permanent outer boards40form an outer periphery to receive and contain concrete, and are not removed after the concrete has set. The outer boards40are permanently integrated with the concrete slab foundation and forms a permanent perimeter for the concrete foundation. A permanent outer board40is beneficial as it acts as the exterior finish surface. Outer boards40with the desired appearance and physical qualities may be selected at the outset (before concrete is poured and set). A separate exterior cladding may not need to be applied after the concrete has set, thus saving time and extra material. Additionally, the outer board40may be selected to be a paintable material. Preferably, the permanent outer board40comprises an insulating material. Forming the outer board40from an insulating material is advantageous as it reduces heat loss from the sides of the concrete slab foundation. Forming the outer board40from an insulating material saves time as insulation does not need to be added after the concrete has set, which is a laborious task. In the preferred configurations, the permanent outer boards40is a composite board formed from two or more materials with different properties. A layer of the outer board40comprises insulating properties, and another layer of the outer board has a desired exterior finishing. In some configurations, the outer board40comprises an insulating inner layer which is bounded by another material with the desired exterior finishing. In some configurations the outer boards40are formed from PVC foam board. PVC foam board has advantages such as the outer surface layer being generally resistant to water, can be a desirable exterior finish surface, is cheap and rigid. Additives may be used to improve the fire retardant properties. The exterior finish surface may be painted easily, or protect the inner layer such as during transportation and/or handling of the boards. In some configurations, the outer board40may comprise a plastic layer to protect the outer board. In some configurations, the plastic layer may be removable to expose a desirable exterior finish surface. The foam in the PVC board functions as insulation. Additional side insulation does not need to be applied to the concrete slab after the concrete has set, further saving time and extra material. It is anticipated the permanent outer board40can be formed from other materials. For example, the permanent outer board40may be formed from fibreglass, polymers, or cement board (although not limited to these materials). In the preferred configurations, the permanent outer board40is a laminate structure comprising two or more layers of the same or different material. Preferably, the outer board40has suitable insulation properties, a desirable exterior finish surface, is cost-effective, and/or is easily machinable. It is anticipated that any suitable materials known in the art can be used for any of the components of the internally braced permanent formwork1. Preferably, the materials have suitable characteristics such as strength, durability, insulation etc. In the preferred configurations, components of the internally braced permanent formwork1form a concrete footing region2. Preferably, the footing region2is located towards the perimeter, around the formwork1as referenced inFIGS.1and10. The footing region2is designed to receive a relatively large volume of concrete (and reinforcing) to support important structural components of the building such as cladding, walls columns and/or beams. As shown inFIG.15, preferably the footing region2of the slab is a thick region which receives concrete. In some configurations as shown in this figure, the footing region2is the space defined between the outer board40and an internal component such as a void former90. In some configurations, the footing region2is between 200 mm and 600 mm wide. In the preferred configurations, the footing region2is between 250 mm and 350 mm wide. In some configurations, the footing region2is between 100 mm and 600 mm deep. In the preferred configurations, the footing region2is between 200 mm and 400 mm deep. Preferably, the footing region2is reinforced to limit the likelihood of structural failure or the possibility of concrete cracking, and design of the footing is generally well known in the art. In the preferred embodiments, the permanent outer boards40are coupled to one or more internal components of the formwork in order to prevent the outer boards from tipping over when it receives wet concrete. Internal components may be defined as the components which are within the boundaries of the outer boards40. For example, internal bracing members50and void formers90are generally internal members. It is anticipated that the outer board40may be connected to other internal components and is not limited to the components described here. Coupling the outer boards40to internal components may help keep the outer boards40connected with the other components of the formwork1. This is important as the formwork1is intended to be used to receive wet concrete which generally exerts a large outward pressure against formwork prior to the concrete setting. The formwork1needs adequate bracing to minimise the likelihood of components disconnecting or misaligning due to movement of components. The formwork1system as described is easy and quick to erect, and results in a robust formwork suitable for pouring a foundation slab. In the preferred embodiments, the internally braced permanent formwork1comprises a plurality of internal bracing members50to support and maintain the structural integrity of the outer boards40. The internal bracing members50are connected to the outer boards40to keep the boards upright as the concrete is poured into the formwork1. To reinforce the concrete, the formwork1comprises rebar21in the preferred configurations. Preferably, rebar21is located at least in the footing region2. In the preferred configurations, the formwork1also includes mesh reinforcement22. Preferably, the mesh reinforcement covers a large area of the concrete defined by the outer boards40. In some configurations, the mesh reinforcement is a steel mesh such that well known in the art. As shown inFIG.11, the mesh reinforcement22normally sits in the upper region within the formwork1. Mesh reinforcement22preferably reinforces a top layer of the concrete slab foundation. Base Member—Insulation and Recesses In the preferred configuration, the base member10is a sheet. The sheet may be continuous or comprise of multiple sections which are placed together to form a bigger sheet. Preferably, the base member10covers at least the footing region2. Although, in the in the most preferred configurations, the base member10is under the entire slab. A base member10which spans the entire slab provides better base insulation. Furthermore, it provides a level surface to receive components within the formwork1more easily. In some configurations, such as where insulated polystyrene pod are utilised, or slabs including void formers, sufficient insulation may be incorporated into the slab elsewhere, and therefore it may not be necessary for base member10to extend under the entire slab. Similarly, in configurations where the base member10is not intended to act as a significant insulator, may not be necessary to have the base member10extend under the entire slab for example, the base member10may only be found around the perimeter of the slab in order to engage with the outer boards40and bracing members etc. Preferably, the base member10(insutating or not) is located around the perimeter of the slab, and is at least 600 mm wide (spanning from the edge15of the formwork1). In some configurations, the insulated base member10is between 15 mm and 100 mm thick. Preferably, the insulated base member10is between 15 mm and 30 mm thick, while a less insulating base member may be less than 15 mm thick In the preferred configurations the base member10comprises an insulating material. In some configurations, the base member10is formed from polystyrene. This is a light material with beneficial properties. Polystyrene is insulating, and is light which makes easy to transfer and reduces strain on workers. Polystyrene may be advantageous as it is easy to create recesses13,14to receive components and is a cheap material to use. Other materials with suitable insulating, machining and strength properties may also be used. Extruded polystyrene (EPS) and/or expanded polystyrene (XPS), having both found to have suitable properties. The insulating base member10and the insulated permanent outer boards50work in synergy to form an insulating mould to receive concrete. This is advantageous as the permanent formwork1will improve the insulating properties of the concrete slab foundation, and reduce heat loss. Additionally, insulation does not need to be applied after the concrete slab has formed, therefore saving time and simplifying the process of forming a concrete slab with desired insulating properties. If additional insulation is desired around the edge of the slab, additional layers of insulating material may be placed on the inside of outer boards40(i.e. between bracing members50(see figure X). Traditionally, exterior insulation is added after the concrete has set to try improve the insulating properties of a concrete slab. However, exterior insulation can be difficult to install, and is an extra step which is time consuming and potentially expensive. Furthermore, traditionally insulation is not generally installed beneath a concrete slab. The advantage of the present invention in the preferred configurations, is that it provides an insulating formwork which is insulated by both the outer boards40and the base member10, so that less heat escapes through the sides, base and the edges where the boards and base member meet (i.e. the formwork provides an insulated side, base and edge). In some configurations, a more rigid material than polystyrene may be used for the insulated base member10. This may be advantageous, particularly where components (such as the outer board and internal members) are directly coupled to the insulated base member. In some configurations, the insulated base member10comprises foam or rubber. It is anticipated that other suitable materials may be used as the insulated base member10. In some configurations, where the insulated base member10comprises a flexible material like rubber, the base member may be rolled up for easy transport, and then unrolled onsite or at an assembly location. In the preferred configurations, the insulated base member10is 15 mm to 60 mm thick. Increasing the thickness of the insulated base member10can improve insulation properties, and help keep components upright as recesses are formed on the base member. Preferably, the insulated base member10comprises one or more features configured to receive components of the formwork1, and in particular the outer boards40. For example, the insulated base member10may comprise one or more recesses13,14on an upper surface12of the base member as best shown inFIG.2. The recesses13,14may be directly or indirectly formed on the upper surface to receive components of the formwork1. The recesses13,14are arranged and sized to receive some components of the internally braced permanent formwork1, in order to hold, seal and/or easily locate components. In the preferred configurations, the perimeter recess13extends substantially the length of the insulated base board10to receive the outer boards40(standing upright on edge). As shown inFIG.2, in the preferred configurations, the insulated base member10comprises a perimeter recess13. The perimeter recess13is located towards the perimeter of the concrete slab foundation to receive the outer board40. Preferably, each outer board40is connected to the insulated base member10so that a lower edge41of the outer board engages in the perimeter recess13as shown inFIGS.3and4. In some configurations, the insulated base member comprises two types of recesses13,14. The insulated base member10comprises perimeter recesses13(described above), and further comprises inner recesses14configured to receive internal components of the formwork. Inner recesses14are located inwards from the perimeter of the concrete slab, on the upper surface12of the base member10. Inner recesses14are configured to receive internal components (such as internal bracing members50, and not the outer board). In some configurations, the inner recesses14are continuous. The inner recess14span a length of the insulated base member10. In other configurations, the inner recesses14are discrete. Multiple inner recesses14span a length of the insulated base member10. In some configurations, the recesses13,14receives a single component of the formwork1as shown inFIG.3such as the outer board40. In other configurations, a single recess13,14receives two or more components of the formwork. In one configuration for example, as shown inFIG.4, the perimeter recess13receives both the outer board40and the internal bracing member50. It is anticipated that the perimeter recess13can be arranged and sized to receive other components as necessary. A purpose of the recesses13,14in the insulated base10is to provide a snug-fit for components of the formwork1. Preferably, the recesses13,14are sized and profiled to firmly keep the component it receives secure so that it is not easily moved out of place. In some configurations, adhesive may be added to adhere components together. In some configurations, the adhesive additionally forms a seal between components. Adhesive can help components stay together and/or limit the likelihood of the concrete leaking through joints. Although adhesive may not be necessary in some configurations, such as where the components fit each other in a tight joint, adhesive may enhance the system by further securing connections and/or sealing the system. Adhesive may be particular useful between the outer board40and the insulated base member10where sealing is important so that concrete does not leak out through between the outer board and insulated base member. Glues, tapes or other sealants may be used to improve the connection between components. For example, polyurethane-based, PVA, PVC solvent glues may be used. It is anticipated that any adhesive known by a person skilled in the art to be suitable for the particular component materials to be adhered. In the preferred configurations, the perimeter recess13is configured to receive an edge of a board or wall (such as the outer boards40and bracing members50best illustrated inFIG.4). The perimeter recess13helps keep the components in place relative to the insulated base member10. In some configurations (such as when the recess is deep), the perimeter recess13helps keep components upright during assembly of the formwork. Preferably, the combination of the perimeter recess13locating and sealing the outer board40while providing internal bracing members50to keep it upright, work in synergy to provide a stable outer periphery to receive concrete. It will be appreciated that during the first layup stage, the component members are preferably easily placed and held in position. At this stage it is not absolutely necessary for the components to be able to withstand the entire weight of wet concrete poured into the formwork, as the addition of concrete reinforcing bar, wire mesh and wire ties etc (as generally known in the art), may significantly contribute to the strength of the completed formwork prior to pouring. In the preferred configurations, the recesses13,14are slots. Preferably, the insulated base member10comprises slots13,14with a suitable slot depth and slot length to receive the desired components. Preferably, a perimeter slot13is formed around the perimeter of the concrete slab. The recesses13,14are configured to receive components of the formwork either indirectly or directly. In some configurations, the recesses13,14receives components of the formwork indirectly so that the component is connected to the insulated base member10via one or more intermediate members76,77as shown inFIG.4. The component engages the recess13,14of the insulated base member10by means of an intermediate member76,77. An advantage of indirectly connecting components of the formwork1to the insulated base member10is to allow for more simple recess profiles in the base member. As shown inFIG.2, simple standardised rectangular slots are created on the insulated base member10. Customised connections between different components and the insulated base member10can be achieved by using a custom intermediate member76,77between the component and the base member. In some configurations, the formwork1comprises one or more cradle strips76,77as best illustrated inFIGS.3and4. Cradle strips76,77can be inserted into the recesses13,14of the insulated base member10, which in turn receive components of the formwork. The cradle strips may be formed from plastic, foam board, wood, powder-coated aluminium. Alternatively, other suitable materials may be chosen by a person skilled in the art. Preferably, the cradle strips are formed from a material which is easily machinable. For example, the cradle strips may be injection moulded or extruded for example. In some configurations, the cradle strips76,77extends the majority or the entire length of the recess13,14as shown inFIG.4. In other configurations, the cradle strip76or an equivalent intermediate member is a shorter piece (as shown inFIG.7) and does not extend the length of the recess13. As shown inFIG.4, in the preferred configurations, the cradle strip76comprises an inner slot71configured to receive one or more components of the formwork. Preferably, the inner slot71of the cradle strip76,77comprises a cross-sectional area which is less than the recess13,14. Once the cradle strip76,77is inserted into the recess13,14one or more components of the formwork can be inserted into the inner slot71which is comparatively small allowing for a snug-fit, in order to keep the components upright. Preferably, the inner slot71of the cradle strip76,77comprises a profile which is complimentary to the components which it is designed to receive. FIG.6shows a perimeter cradle strip76configured to receive the outer board40and internal bracing member50. FIG.16shows an inner cradle strip77configured to receive a void former90and an internal bracing member50. In some configurations, the cradle strip76,77comprises a stepped inner slot71receiving two or more components as best shown inFIG.6. The inner slot71has a first depth region72to receive a first component and a second depth region72to receive a second component. An inner slot71with different depths may help multiple components stay in place during assembly within the same inner slot. Using cradle strips76,77with customised inner slots71allows the internally braced permanent formwork1to be easily tailored to include different components to achieve a concrete foundation with different desired physical characteristics. Another advantage of inserting cradle strips76,77into the insulated base member10is to increase the stiffness of the base member. In some configurations, the insulated base member10is formed from polystyrene or similar materials. Inserting (relatively) rigid cradle strips76,77into the recesses13,14of the insulated base member10will reinforce the base member. A stiffer base member10may be beneficial especially during transportation of the base member. Preferably, the cradle strip76,77comprises a different material from the insulated base member. Preferably, cradle strip76,77comprises a stiffer material than the insulated base member. Preferably, the some or all of the cradle strips76,77are preinstalled in the insulated base member10prior to being transported. In other configurations, the recesses13,14receives components of the formwork directly so that the component itself engages with the recesses which may be formed into base member10. It will be appreciated that these options allow some flexibility with respect to the material choice of each member. For example, a relatively more expensive material may be chosen for cradle strips76,77, with better strength, thereby allowing a relatively cheaper and more fragile material (or difficult to machine or form into complex shapes) to be used for the insulating layer. An example of this is shown inFIG.9, where the outer board40and internal components (such as the internal bracing member50) directly engages with the perimeter recess13in the insulated base member10. Furthermore, the profiles of the perimeter recesses13in the insulated base member10correspond with the outer board and internal bracing member50. Receiving components of the formwork directly may be beneficial, as fewer components are required. However, a thicker or stronger base member10may be necessary to support direct engagement between the base member and the components it receives. Similarly, in embodiments where an insulating base member is not desired or required, it may be preferable to utilise a thin base member10and add a cradle strip to the top surface (see for exampleFIG.20C). Prefabrication Another advantage of the recesses13,14in the insulated base member10is to help predefine the position of formwork components. The recesses13,14help define the location of components which sit on top of the insulated base member10. This may be advantageous as traditionally positioning the formwork components into appropriate positions may be a laborious and time-consuming task. The present invention allows for the manufacture of pre-formed components (potentially off-site), resulting in simple and rapid assembly, in the precisely correct location on-site. An advantage of the present invention is that the connections are reversible (if adhesive has not been included). Connections between components such as the outer board40and the internal bracing member50can be adjusted as necessary, allowing for flexibility during assembly, as a result of design changes etc. The present system lends itself to be easily assembled on-site or off-site. The components may be delivered as a kit from the manufacturer to an off-site location, to be pre-assembled and then transported onsite ready to for the concrete pour in modules. The components may alternatively be fully assembled at a manufacturing factory, ready to be transported onsite ready to for the concrete pour. Alternatively, a kit of components may be delivered onsite to be quickly assembled on the construction site by fitting parts of the formwork1together. Prefabrication of some or all components of the formwork1may be useful as it reduces the amount of time required onsite. Improving the efficiency of forming concrete foundation by prefabricating components of the formwork1allows workers to take advantage of shorter windows of good weather, reduce labour costs, and/or make way for other construction tasks which need to be performed on site. Furthermore, off-site preparation may be beneficial as offsite machinery can be taken advantage of to reduce labour required. Modules In some configurations, prefabricated modules of the present invention are preassembled, and transported onsite. The prefabricated modules may be craned on-site and be ready for a concrete pour soon after the modules are joined together, and any supplementary components have been installed. Prefabricated modules are units which include important structural components of the formwork1connected together offsite to reduce time required to prepare for a concrete pour on-site. In some configurations, the prefabricated modules are assembled to include at least the outer boards40, insulated base member10and internal bracing members50. Optionally, the prefabricated modules also include components such as void formers90, rebar21, ties and/or mesh reinforcement22. However, it is anticipated that workers may choose to incorporate heavier components such as rebar21after the module has arrived on-site. Multiple prefabricated modules may be transported on-site and joined together to create a larger formwork1to form the shape of the desired concrete slab foundation. Preferably, neighbouring modules are joined together so that concrete is contained within the formwork and will not leak out. Preferably, neighbouring insulated base members10are joined so that there is no uplift of the member, such as when the concrete is pumped into the formwork1. It is anticipated a range of joining methods may be used to join neighbouring modules. Preferably, the components comprise complementary features which abut or fit each other. Preferably, neighbouring outer boards40are joined together along the joint46. In the preferred configurations, a top strip3as referenced inFIG.3is installed on top of the outer boards40to join neighbouring boards40and improve the structural integrity of the formwork1. The precise form of top strip3may vary according to user requirements. In particular, an additional top strip3A may be added in order to easily vary the thickness of the top layer of concrete in a foundation slab. Such a system allows the main components of the system to be the same, and only the top strip3A needs to be varied, in order to accommodate different thickness slabs. An example of such a variant is described later in relation toFIGS.23A& B. Preferably, the top strip3spans across joints46to hold neighbouring outer boards40in position. In some configurations, the top strip3is a metal strip such as aluminium. The top strip3may be formed from other suitable materials such as plastic for example. Preferably, neighbouring insulated base members10may also be joined together. For example, edge to edge butt joints, tongue and groove joints, lap joints, or biscuit joints may be used. It is anticipated that other joining methods known in the art may be used, and the joining method is not limited to the listed methods above. In some configurations, the tongue and groove is formed into the components (e.g. outer boards and/or insulated base member) itself. In other configurations, grooves are formed in both component edges, and a loose tongue is inserted to join the two edges together, or an extruded member having a generally “H” shaped cross section may be used To supplement the joints between modules, adhesive or tape may be applied to the joint. Prefabricated modules which cover a smaller surface area may be used where the concrete slab is a more complex shape. Preferably, prefabricated modules cover a relatively large surface area, so that fewer modules are required to form the mould for a concrete slab foundation. In some configurations, the prefabricated modules is sized to fit and be transported on a truck. In some configurations, the modules can include pre-installed services. Outer Board Connections The outer boards40may be continuous board as shown inFIG.1or comprise of multiple board sections connected together to form a longer board as shown inFIG.3. In the preferred configurations, the outer board40is configured to engage and connect to internal components of the internally braced permanent formwork1to withstand the pressure of concrete. The connections of the present invention are designed to effectively hold together during assembly of the formwork. The connections also need to hold together to withstand pressure exerted by wet concrete, after it has been poured into the formwork1(before it sets). Connections of the outer board40components of the formwork1in one preferred configuration shown inFIGS.4and5. Preferably, the outer board40is connected at or towards the lower edge41. Connection at or towards the lower edge41of the outer board40is important to stop wet concrete leaking or bursting out of the formwork1beneath the board. In the most preferred configurations, each outer board40is connected to the insulated base member10by inserting the outer board into the perimeter recess13of the insulated base member so that a lower edge41of the outer board engages in the perimeter recess13as shown inFIGS.3and4. In some configurations, the perimeter recess13is a slot having a lip75as referenced inFIGS.2,4and6. The lip75is located adjacent the outer board10and is configured to secure the outer board and provide extra resistance against wet concrete. In some configurations, the lip75is formed by the base member10as best shown inFIG.4. Preferably, the perimeter recess13is spaced from the edge15of the base member10, so that the lip75can be formed by the base member as shown inFIGS.2and20A. Adhesive may not be necessary in this configuration, however may still be included to supplement the connection. Alternatively, as shown inFIG.6, the perimeter cradle strip76is located at the edge of the insulated base member10as shown inFIGS.18,19,20B, and20C. In some configurations, the perimeter cradle strip76sits on a perimeter recess13as shown inFIGS.18and20B. In other configurations, the perimeter cradle strip76sits on the upper surface12of the (optionally) insulating base member10as shown inFIGS.19and20C. Adhesive will be useful in this configuration. In yet another configuration, as referenced inFIG.20D, the perimeter cradle strip76is located in the perimeter recess13. The perimeter recess13in this configuration is a step recess. The perimeter cradle strip presses sideways against the insulated base member to locate the cradle. In some configurations, as illustrated inFIG.20D, a lower region of the perimeter cradle extends below its body to locate the cradle against the insulated base member. Preferably, the outer board40is also connected at the upper region42. The upper region42of the outer board40is the region is situated more than half way up the board. Connection in an upper region42of the outer board40is important to help the outer board stay in place and upright under the pressure of wet concrete. Having the outer board40connected towards the top of the outer board helps limit or prevent it tipping over due to the pressure of wet concrete. In the preferred configurations, the permanent outer board40is coupled to an internal component from an upper region42of the outer board to provide support against tipping once the concrete has been poured. The connections at the upper region42and the lower edge41of the outer boards40work together in synergy to form a strong and stable outer periphery to receive and contain the concrete. Connections at the upper and lower regions allows the outer boards40to withstand the pressure from the concrete in a balanced manner. Internal bracing members50are coupled to the outer board as shown inFIG.4. Bracing Member Connections The internal bracing members50in the present invention are integrated internally into the formwork, providing a compact solution to support the outer boards40. The internal bracing members50remain in the concrete and do not need to be removed, saving time. In contrast, external stakes are traditionally used to keep formwork boards in place and upright. However, external stakes can get in the way during construction and need to be removed after the concrete foundation has formed which is time consuming. Furthermore, the sturdiness of the stakes is dependent on the ground which it is driven in. In the preferred configurations, the internal bracing member50connects to the insulated base member10by having a lower portion51of the internal bracing member fit into the perimeter recess13of the (optionally insulating) base member as shown inFIG.5for example. In some configurations, another lower portion54of the internal bracing member50fits into an inner recess14of the insulated base member as shown inFIG.8. As the internal bracing members50are supported by the insulated base member10(and are not inserted into a ground surface), the effectiveness of the internal bracing members50are not dependent on the ground surrounding the concrete foundation. Features which allow the internal bracing members50to connect to components of the formwork1are also beneficial as it allows for speed of assembly. In the preferred configurations, the internal bracing member50is coupled to an upper region42of the outer board to provide support against tipping once the concrete has been poured as shown inFIGS.4and5. In the preferred configurations, the components of the formwork1are mechanically coupled together. In the preferred configurations, the internal components are mechanically coupled to the outer board40. The components can be mechanically coupled together by a person physically fitting the two components together. The components (e.g. an internal component and the outer board40) can fit together by inserting, hooking, sliding, wedging, interlocking (or similar actions) components together so that they engage. Preferably, the components engage in a manner which makes the components easily removable if adhesive has not been applied to the joining region. However, the components are self-supporting when coupled, so that components remain upright/in place during assembly/before receiving concrete. Preferably, the components are coupled together in a direction which provides limited resistance (e.g. it is easy to insert a component downwardly), but results in a very strong joint in another direction (e.g. the component cannot move sideways easy due to the pressure of concrete). Preferably, the internal components and the outer board40can fit together with no or minimal tools. This will allow the internally braced permanent formwork1to be constructed simply and rapidly, thus reducing the time and/or skill level required to assemble the formwork and saving the time required. Preferably, the internal component and the outer board40have complementary features which are configured to fit together. In some configurations, the complementary features are complementary protrusions and apertures. It is anticipated, that in other configurations, the outer board and internal components have other complementary features which engage and hold the components together. One configuration of internal bracing members50(an internal component) coupled to the outer board40which will now be described, is shown inFIGS.4,5, and7. It is anticipated that the outer board40can be coupled to another internal component (such as a modified void former, inner form board etc.) in a similar manner. In this configuration, the outer board40comprises a feature which can be connected to the internal bracing member50. The outer board40comprises a shelf member80. The shelf member80may be manufactured (e.g. extruded) with the wall of the outer board, coupled to the board wall at a later stage, or formed by a method know by a skilled person in the art. In this configuration, the shelf member80comprises one or more apertures81to receive an upward protrusion52of the internal component/internal bracing member The protrusion52is a portion of the internal bracing member50which protrudes from its body2to mate with a feature of the shelf member80(such as apertures). In some configurations, the shelf member80have a plurality of apertures81spaced along its length as shown inFIG.47. The apertures81in the shelf member80may provide predefined locations for the internal component/internal bracing members50to connect to, so that when a worker goes to assemble the formwork1, they can simply fit the internal bracing members at the apertures with minimal or no further measurements. In the preferred configurations, the spacing between internal bracing members is between 300 mm and 1200 mm. In the most preferred configurations, the spacing between internal bracing members is between 450 mm and 700 mm. The apertures81may be of any shape including circular (FIG.5), semi-circular (FIG.4), rectangular etc. Preferably, the shape of the apertures81is capable of receiving a corresponding feature of the internal bracing member50, and maintain its position. In some configurations, the shelf member80may be adhered to the outer board40as shown inFIG.4. In other configurations, the shelf member80is mechanically coupled to the outer board40. In some configurations as shown inFIG.5, the shelf member80comprises a protruding feature82which is mechanically coupled to a recess43in the outer board40. In some configurations, the internal bracing member50hooks or wedges itself onto the outer board40. In some configurations, the internal bracing member50hooks onto a feature of the outer board40(e.g. a top edge of the board, or a protruding feature on an inner side wall). Bracing Member Profile In one preferred configuration of the present invention, as shown inFIG.5, the internal bracing members50are hollow members. These internal bracing members have a hollowed out centre region55which allows concrete to flow through (and rebar21). A hollow internal bracing member50which has a rigid frame and a hollowed centre55provides the strength and support required for the formwork1, while having minimal disturbance to the formation of concrete around the footing region2. It will be appreciated that having reinforcing bar laid through the hollow middle sections, will also help a whole the bracing members down due to the weight of the reinforcing bar. In some configurations, the internal bracing members50the lower portion51are legs. The legs51,54of the internal bracing member50space the bottom edge of the bracing member from the insulated base member10, allowing the concrete to flow beneath the member. The legs of the internal bracing member50are protruding members which can also allow easy coupling between the internal bracing member and the insulated base member10. In one configuration, the internal bracing members50comprise a first leg51configured to be inserted into a perimeter recess13and a second leg54configured to be inserted into an inner recess14spaced inward from the perimeter recess, as shown inFIG.4. This configuration of the internal bracing member50coupled to the recesses13,14in the insulated base member10allows the bracing member to be securely supported by the base member. In the preferred configurations, the internal bracing members50comprise integrated reinforcing (rebar) chairs56configured to receive and locate rebar21, as shown inFIGS.5and10. The rebar chairs56are sized and profile to receive the rebar21which in turns reinforces the concrete. The size and profile of the rebar chairs56preferably maintains the position of the rebar21and limit movement of the system. Optionally, a cap (not shown) is provided over the rebar chair56to keep the rebar21within the rebar chair. Preferably the rebar21is supported onto a plurality of internal bracing members50. An advantage of placing rebar21onto a plurality of internal bracing members50is that it stabilises the bracing members and in turn the formwork. As the rebar21braces the internal bracing members50, they are less likely to move or fall over. It will be appreciated that wire ties, or other similar fixings may be utilised to join components as is generally known in the industry. As the internal bracing members50are more stable, the outer boards40in turn will be well supported against the pressure of wet concrete. The rebar chairs56may be are located on the upper surface of the horizontal members of the internal bracing members50, or lower members, or both. In some configurations, separate traditional rebar chairs are installed within the formwork1to supplement the rebar chairs56on the bracing members, or used instead of them. In some configurations, rebar chairs56are present on other internal components of the formwork1. Optionally, the internal bracing members50have one or more apertures57through the body of the member as referenced inFIG.5. These apertures57allow wet concrete to flow through. Allowing concrete to pass through, limits the likelihood of wet concrete pushing the internal bracing member50over when the concrete is being poured. Furthermore, as the concrete is cured through the internal bracing members the concrete may keep the internal bracing member in place and limit movement, therefore providing a reliable and stable system. In some configurations, the apertures57may not be present in the internal bracing members50. In the preferred configurations, the internal bracing members50are generally flat. The thickness of the internal bracing members50is preferably between 10 mm and 60 mm. This flat configuration of the internal bracing members50may be advantageous as the bracing members can be flat-packed and easy transported. The flat configuration may also be beneficial as they are easy to manufacture. For example, the internal bracing members may be formed from a board with sections cut out to form the desired profile. Additionally, the flat configuration of the internal bracing members50may also be beneficial and it minimises the interference of the bracing member as the concrete is poured into the formwork and the concrete cures. In some configurations, the components of the formwork system1can be packaged as one or more flatpack bundles. This is advantage as the components will be easy to transport as required. In some configurations, the internal bracing member50comprises plastic, PVC foam board or metal. It is anticipated any other material with suitable physical characteristics such as strength and durability may be used. The internal bracing members50may be formed by cutting boards to form suitable shapes (e.g. using a CNC machine or manual router), injection moulding or any other suitable known techniques in the art. It is anticipated that the internal bracing members50are not limited to the illustrated configurations (which show independent bracing members). In some configurations, the internal bracing member50is a multifunctional member, integrated with another component of the formwork1, such as a void former90, or the base member10. With particular reference toFIGS.21&22, a further preferred configuration is shown. In this configuration, the interface between bracing members50and outer board40is different. In this configuration, the outer board40has a profile member101incorporated. The profile member101is shown in cross-section inFIG.23, and comprises an upper to104, a key slot102and board recess103. As an assembly, the profile member101is bonded to the upper region of outer board member40. It will be appreciated that board recess103is sized and shaped to firmly receive the upper edge of board member40, to firmly hold the components together. Preferably the profile101is additionally bonded to outer board member40by a suitable adhesive and/or forming process. In the most preferred embodiments, profile member101achieves a number of advantages. For example, instead of the previously described continuous shelf member80and aperture81arrangement, the profile101provides an elongate key slot102for attachment to internal bracing members50. For this purpose, a number of eyelets105are slidably engaged with key slot102during construction, in lieu of a continuous shelf member80. That is, a construction worker simply slides a number of eyelets105into the slot102adequate to achieve a preferred spacing. Once engaged, eyelets105can be easily moved laterally along key slot102, to provide discrete shelf members80, but are prevented from being pulled out of key slot102, thus providing a strong engagement point for respective bracing members50(as illustrated inFIG.23). Further, it is anticipated that additional eyelets105may be added to provide anchor point for joining to other foundation elements. For example, wire ties coupled to existing or additional eyelets (at any desired spacing), may be used to fix to the reinforcing (mesh and/or reinforcing bar). An example eyelet form is illustrated inFIG.24, but it will be appreciated that many different forms may be possible. Eyelets105running in key slot102, provide an alternative arrangement for providing apertures81with which bracing members50interlock with outer board members40(via protrusion52). This improved configuration may have the advantage of being a more easily manufactured and/or integrated into the system. Where bracing members50are extruded for example, eyelets105may be extruded at the same time in the same die. For example, eyelets may be extruded in such a way that they can be simply snapped off the bracing members50when ready to assemble. In addition, a locking member may be provided as part of bracing member50in order to lock eyelets105together with the bracing members once pushed into position. As shown inFIG.21B(shown without eyelet for clarity), a living hinge flexible member106may be provided, such that when eyelet105is engaged, flexible member106prevents removal (as shown inFIG.21A). This feature, if included helps to keep the formwork in position as it is constructed. As an additional benefit, it will be appreciated that profile101may provide additional rigidity to outer boards40as described previously. That is, the preferred embodiment, profile101may be constructed of extruded aluminium (or other suitable material) which is bonded to outer board40. For this purpose, it may be helpful to include a rough surface on the inside of profile101to improve adhesive bonding. A further benefit of the shape of profile101comes from the upper tip104. As shown inFIGS.22A&B (stepped slab for brick cladding), the upper tip104provides a firm edge for accurate screeding of the top layer of the concrete foundation. However, it is also envisaged that additional height adjusting members107may be utilised to alter the thickness of the foundation slab, (and in particular the top layer of a waffle foundation). As shown inFIG.22, height adjusting members107may be placed on top of tip104, thereby altering the final height of the formwork. This feature provides an easy ability to thicken the slab where desired. For example, the strength of a garage floor may be required to be higher than a standard residential floor. The addition of height adjusting members107allow a quick and easy method of engaging with tip104in order to thicken the slab, without requiring any modifications or different sizes to other components in the formwork system. Formwork with Step FIGS.1to11show an internally braced permanent formwork fora rectangular foundation construction with uniform height. It is anticipated that the internally braced permanent formwork1can be modified to achieve concrete foundations with different profiles or characteristic requirements. Another configuration of the present invention as shown inFIGS.11to14will now be described. In this configuration, the internally braced permanent formwork200comprises a stepped region201in the footing. The stepped region201is a section in the footing which has a reduced height in comparison to the remainder of the footing region as illustrated inFIG.12. A stepped region201may be used to receive brick (or other) cladding. Preferably, in this configuration, the formwork1comprises intermediate boards202to form a stepped foot region. FIG.13shows one configuration of an internal bracing member250used in the stepped region201of the footing. The shape of the internal bracing member250corresponds with the shape of the outer boards202,240which forms the shape of the stepped region201. Preferably, in this configuration, the internal bracing member250comprises a stepped region in an upper corner. The board at the stepped region202is supported by the internal bracing member250. Preferably, the internal bracing member250is connected to the outer board. Preferably, the internal bracing member250has a feature which corresponds to the board202. In one configuration, the board at the stepped region202comprises an aperture203which receives a protruding tab252of the internal bracing member250. In some configurations, the stepped internal bracing member250comprises a rebar chair below the stepped region202. The rebar chair is configured to receive rebar and strength this area as heavy load may be applied to this region. In some configurations, the stepped internal bracing member is received in a perimeter cradle strip276and inner cradle strip277. Stepped Slab It is also anticipated that the present system lends itself to easily forming a stepped slap. Stepped slabs are common where a building design calls for different floor levels, and these are typically achieved by overlapping adjacent slabs in a small area to form a double thick overlapping region. With reference toFIG.25, spacers108are illustrated. Spacers108have slots (shown in broken lines) on the top and bottom of each edge adapted for mating with upper and lower bracing members50. As shown inFIG.25, the spacers108allow bracing members50to sit on top of one another to form a double thick overlapping slab. An additional lip109is provided in order to engage with a bottom edge of the upper outer board40to hold it in place against the pressure of wet concrete. Void Formers In the preferred configurations, the internally braced permanent slab formwork1may further comprises one or more void formers90as best illustrated inFIGS.12and15. In the preferred configurations, multiple void formers90are installed within the space formed by the formwork1as shown inFIG.15. In the preferred configurations, the void formers90are located internally relative to the footing region2. In some configurations, where there are no void formers90, the space formed by the formwork1is filled with concrete. Alternatively, void formers are incorporated into the concrete foundation. The benefits of including void formers into concrete foundation include reducing associated costs as they fill up space which would otherwise require concrete (and components for concrete reinforcement). Void formers may also improve the concrete foundation's insulating properties, and this type of slab is often called a waffle slab In some configurations as shown inFIG.12, the void former90is a block member. In some configurations, the void former blocks90are arranged to form a waffle pod system, where concrete sets between the pods and present a ‘waffle’ concrete profile within the formwork. In some configurations, the void formers are formed from polystyrene. In other configurations, they are formed from plastic, cardboard or other suitable materials. In other configurations as illustrated inFIGS.15and16, the void formers90are hollow members with a void92. Hollow void formers90may be beneficial as air trapped within the hollow sections improve insulating properties of the concrete foundation. In some configurations, the hollow sections may be used as a pathway for airflow. In other configurations, the hollow sections may be used for a pathway for waterflow or as space for water storage. The void formers90may be formed from cardboard, plastic, polystyrene or any other suitable materials. In one configuration, hollow void formers90are formed from honeycomb card-board. Honeycomb cardboard provides a rigid structure, which can be easily manufactured, and is a recyclable material. It is anticipated that any other void forming structures known by a skilled person in the art may be installed within the formwork1. The described present invention is compatible with existing void formers. In some configurations, the void formers90are between 800 mm×800 mm and 1300 mm×1300 mm. In some configurations, the void formers90are 1100 mm×1100 mm. It is anticipated that the dimensions of the components in the formwork system1may be adjusted to be compatible with different concrete slab components used by a person skilled in the art. In the preferred configurations, the void former90is connected to the insulated base member10as shown inFIG.16. Preferably, the inner recesses14in the insulated base member10are configured to receive at least a segment of a hollow void former90. Preferably, an inner cradle77comprises an inner slot71configured to receive an internal bracing member50and the void former90. In other configurations, the inner cradle77comprises an inner slot71configured to receive the internal bracing member50, but not a void former90(as shown inFIG.8). Preferably, the pod90comprises a feature which engages in the inner recess14of the insulated base member10. In one configuration, a lower edge91of the void former90engages in the inner recess14of the insulated base member10. Preferably, the lower edge91of the void former90directly or indirectly engages with the inner recess14. In some configurations, as shown inFIGS.15and16, the void former90engages indirectly in the inner recess14via an inner cradle member77. In other configurations, the void former90engages directly in the inner recess14of the insulated base member. It is anticipated that the void former may be single function member, or a multi-functional member integrated with another component such as the insulated base member10, and/or the internal bracing member50. Method of Assembly Following the general description of the structure of the present invention described above, a description of the method of assembly of the permanent formwork1will now be described. The structure of the present invention is configured to be assembled together simply and quickly. A simple assembly reduces the time required to put the formwork1together and therefore reduce associated labour costs. Furthermore, a quick assembly increases the likelihood of suitable concrete pouring days, as the formwork1setup and pour may fit into shorter windows of good weather. This is significant, as many aspects of building construction and on-site days are dependent on weather. Furthermore, a simple build may be beneficial as the skill level required to assemble the formwork will be lower than more complex assembly processes. Less experienced workers (and therefore cheaper labour) may be involved in the assembly of the components in the present invention. Additionally, the formwork1is configured to be permanent and will not removed after the concrete has set. This will save labour and transportation costs associated with traditional concrete slab construction where the outer formwork and external stakes are removed and transported away. The formwork1of the present invention is quick to assemble due to a combination of useful features. Preferably, the components are assembled in a ‘top-down’ manner. Preferably, complementary component features fit together easily in a substantially vertical direction (such as inserting or removing the outer board40from the insulated base member10). However, the features resist motion in a substantially horizontal direction e.g. in the direction the wet concrete is pushing). Many components in the formwork1are mechanically coupled together. Many connecting components have complimentary features which engage with each other. Due to the way components are fit together, minimal tools are required to assemble the formwork1. The formwork1is designed to reduce surveying requirements as components are configured to fit together at predetermined locations. The formwork1can be partially or fully prefabricated in preparation for receiving concrete as discussed above. Prefabricated components also speed up the process of preparing the formwork, and reduces the labour time on-site. Many of the components of the internally braced permanent formwork1are integrated into the final concrete foundation (components are not removed once the concrete has set). This feature of the present invention is beneficial as it reduces associated time and labour costs. Traditionally, the formwork used to contain the concrete is removed and reused. To form the internally braced permanent formwork1components are assembled together at some stage between the manufacturers and the final site for the concrete foundation. Some or all the components may be preassembled before reaching the construction site. A kit of the components may be provided and some or all of the components may be assembled on-site. To start constructing the internally braced permanent formwork1, the (optionally) insulated base member10is provided. Preferably, the base member is placed on a surface (such as where the concrete foundation will be located) so that its one or more recesses faces upwards as shown inFIG.2. Preferably, the insulated base member10spans at least across the footing region2. Has been found that the weight of the concrete (combined with other connections between reinforcing bar and meshes etc), are sufficient to hold the base member in place against the pressure of wet concrete. The formwork1is be transported to or assembled on the desired site. The ground may be prepared by methods known in the art such as compacting a sandy, gravelly soil for example. The recesses13,14on the insulated base member10can be formed by routing grooves onto the upper surface12. The recesses13,14can be formed by machinery (CNC machine) or tools either on-site or off-site as illustrated inFIG.3. In some configurations, the base member10is manufactured with recesses13,14(e.g. using 3D printing or an extrusion process). The method of creating the recesses13,14may be dependent on the material. For example recesses may be cut into a polystyrene (or similar) base member10, or recesses may be directly extruded in a base member comprising a more rigid material. It is anticipated that other methods of creating the recesses13,14known by a person skilled in the art may be used. To form the outer periphery, the permanent outer boards40are inserted into the perimeter recesses13of the insulated base member so that a lower edge41of the outer board engages in the recess. This ensures that the lower portion of the outer board is secured against the weight of the concrete. Optionally, a corner plate28can be included into the formwork1as shown inFIG.17A. The corner plate28may further support the outer boards40against the pressure of the concrete. It is anticipated that different design corner plates may be constructed to deal with different desired angles, however the most common angle between boards at a corner is 90°. An example of an alternative corner joiner is shown inFIG.17B Securing the upper region42of the outer board40against the weight of the concrete is also important. Preferably, in addition to securing the lower portion of the outer board, the upper region of the outer board40is coupled to an internal component of the formwork1. In some configurations, a plurality of internal bracing members50are installed to maintain the structure integrity of the outer boards. Preferably, the outer boards40are coupled to the internal bracing members50. In some configurations, a lower portion51of the internal bracing member fits into the perimeter recess13of the insulated base member10. In other configurations, the outer boards40are coupled to the void formers90. In other configurations, the outer boards40are coupled to another internal component of the internally braced permanent formwork1. In some configurations, one or more cradle strips76,77are inserted into the recesses to receive components of the formwork1. In some configurations one or more components of the formwork1are inserted into an inner slot71of the cradle strip76,77. Preferably, the inner slot71receives a component such as the outer board40or internal bracing member50to keep it upright. Preferably, the inner slot71is sized and profiled to receive a lower end of a component (e.g. leg54) of the component. In the preferred configurations, the component is inserted in a generally downward direction, and may need to be inserted at an angle to fit into the inner slot71(FIG.8). In one configuration, the outer board40and the internal bracing member50is inserted into the same perimeter recess13. Once the outer board40has been inserted into the base member10, the outer board can be coupled to an internal component by fitting complementary features of the outer board and the other component together. In the configuration shown inFIGS.7&22, a protrusion52on the internal bracing member50is coupled to the aperture81of an intermediate shelf member, so that the internal bracing member50and the outer board10are mechanically coupled together. If adhesive has not been applied, the internal bracing member50may be easily uncoupled. In some configurations, complementary features between components of the formwork1, form a dovetail joint as shown inFIG.7, or a key slot as shown inFIG.24. In some configurations, to form the outer board40, a protruding feature of the shelf member80is inserted into a side recess on the outer board40sidewall. In other configurations, the internal bracing member50or another internal component may fit with the outer board40directly. In further alternatives, for example those illustrated inFIG.22, a profile member101may be provided to integrate a feature such as key slot102, into outer board member40. In some configurations, void formers90are installed within the formwork1. To reinforce the concrete, preferably rebar is installed. In some configurations, the rebar is installed onto the rebar chairs56of the internal bracing member50. To further reinforce the concrete, a mesh reinforcement such as a top steel mesh22can be installed. Optionally, a mesh separator23can be placed prior to the steel mesh, so that the mesh is spaced from some of the other components. Optionally, some of the components are tied together with ties24as referenced inFIG.11. Ties may be used to provide extra rigidity and limit movement between components and/or help align components by pulling them together. In some configurations, the formwork1comprises ties connecting the mesh reinforcement22and the outer boards40together. In some configurations, as the tie is tightened, the outer board40and mesh reinforcement22is brought closer together. Use of ties24in the system may be advantageous as they may be used to adjust positioning of components during assembly. Suitable ties known in the art may be used. For example, zip ties, release ties, wires or similar may be used. In some configurations, the tie connects the internal bracing member50and another component of the formwork1. Finally, the concrete is preferably poured into a space defined by the outer boards40. Measurements It is anticipated that the components are sized and profiled as required for different size and shaped concrete foundations. In some configurations, the concrete foundation is typically 100 mm to 600 mm deep. Preferably, the concrete foundation is between 200 mm and 400 mm deep. In some configurations the recesses13,14comprise a depth between 5 mm and 20 mm. In some configurations the recesses13,14comprise a width between 40 mm and 100 mm. Preferably, the recesses13,14comprise a width between 40 mm and 60 mm Preferably, the recesses13,14are spaced 5 mm to 10 mm from the base of the insulated base sheet. In the preferred configurations, the permanent outer boards40are 10 to 30 mm thick. In some configurations, thicker permanent outer boards may be used. In some configurations, the rebar21has a diameter between 12 mm to 18 mm. In some configurations, a 200 mm×200 mm spaced steel mesh is used as mesh reinforcement22. To those skilled in the art to which the invention relates, many changes in construction and widely differing embodiments and applications of the invention will suggest themselves without departing from the scope of the invention as defined in the appended claims. This invention may also be said broadly to consist in the parts, elements and features referred to or indicated in the specification of the application, individually or collectively, and any or all combinations of any two or more of said parts, elements or features, and where specific integers are mentioned herein which have known equivalents in the art to which this invention relates, such known equivalents are deemed to be incorporated herein as if individually set forth. | 65,886 |
11859383 | DESCRIPTION OF EMBODIMENTS The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which currently preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided for thoroughness and completeness, and fully convey the scope of the invention to the skilled person. InFIG.1, a suspended ceiling system1is shown. The ceiling system100is intended to be suspended from the ceiling structure and is configured to carry ceiling tiles (not shown) and various equipment, such as lights, cables, ventilation ducts etc., depending on the application at hand. The ceiling system1comprises a grid100of profiles, the profiles being interconnected such that the grid100is formed. The system1may comprise profiles of two types; profiles40extending in a first direction and transverse profiles50extending in a direction perpendicular to the first direction. The profiles40are suspended to the ceiling structure by means of a connection element10and a suspension element20which is fitted in each joint between two profiles40. The suspension element20may be connected to a ceiling mount30, which may take the shape of a bracket or an angle iron of some sort which allows attachment of the suspension element20thereto. The transverse profiles50are, when used, supported by the profiles40. The profiles40, and optionally the transversal profiles50, are arranged to form the grid100which has openings into which the ceiling tiles will fit. The ceiling tiles generally functions to provide an appealing appearance to the suspended ceiling system1, to dampen sound and to hide the ceiling structure and possible building equipment that is arranged above the suspended ceiling system1. Such ceiling tiles may be formed in many different ways, the teachings herein are not limited to use with any particular type of ceiling tiles. However, in one embodiment, the ceiling tiles may be made of man-made mineral fibre, such as a compressed mineral fibre material. More specifically, the mineral fibre material may be mineral wool, especially glass wool. In addition to the mineral fibre material, the ceiling tiles may comprise a binder. The ceiling tiles may further comprise at least one surface layer. As shown inFIG.1, it is the profiles40which are suspended and that thus carries the weight of the tiles, of any possible transversal profiles50and of any eventual equipment fitted to the grid100. In order to increase the load carrying capacity of the profiles40, they are provided with a curved extension and are configured to be installed such that they, in an installed and unloaded condition, bulges in an upward direction. The profiles40are also configured such that they in a loaded and installed condition, i.e. in response to a load, such as ceiling tiles, being applied to the profiles, are deflected such to obtain an essentially linear extension. The curved extension of the profiles40may be such that the maximum deflection of each profile40in the loaded and installed condition is in the range of 5-20 mm, whereby the profiles obtain the essentially linear extension. The upwardly curved extension of the profiles will allow greater load to be placed on each profile40before it exceeds a certain regulated value for the downward deflection from the essentially linear extension. The deflection value may for example be defined depending on the distance L between the suspension points, for example measured in mm, divided by a factor of 300. I.e. a maximum allowed deflection could be defined as f=L/300. However, according to EN13964 class 3, the maximum deflection allowed is 4 mm, regardless of the distance between the suspension points. The profiles40are configured to be interconnected such that a first and second profile of said profiles40can be attached to each other end to end along the longitudinal axis of the profiles40. In one embodiment, the connection element10is configured to solely interconnect the first and second profile40. The connection element10can thereby serve two purposes in that it not only provides an anchor point from in the joint from which the grid100can be suspended, but in that it also structurally connects each adjacent profile40in the grid100. Arranging the connection elements10in the joints between the profiles40is also beneficial in that is reduces the amount suspension elements20required for suspending the ceiling system1. This saves time when installing the system1, facilitates the installation process and reduces cost of material. Further, the reduced amount of suspension elements20also reduces the risk of clashing, i.e. that an installation or building structure in the area of the ceiling structure interferes with the desired position of a suspension element. In one embodiment, as shown inFIG.2, each of the first and second profile40has a joint end provided with a tongue arrangement42, the tongue arrangements42of the first and second profile40are configured for interlocking engagement in the interconnected state of the first and second profile40. The profiles40may thus be held together by the interaction of the tongue arrangement42on each profile40. In this embodiment, the connection element10may not necessarily contribute in any greater extend to the connection of the first and second profile40, but primarily provides an anchor point for connecting the suspension element20. However, the connection element10may be configured provide a more substantial connection effect in addition to or instead of the interlocking effect of tongue arrangements42of the profiles40. Each profile40is connected to at least two connection elements10. For prior art solutions, this means that each profile40is suspended by components corresponding to two suspension elements and two connection elements. In the context of the present disclosure, every two adjacent profiles40shares a connection element10and a suspension element20. This reduces the number of connection elements10and suspension elements20necessary. Further still, in the context of grid systems with profiles40which are curved as explained above, the connection element10aligns the ends of two adjacent profiles40in the joint between the profiles40. It also allows usage of the curvature of the profiles40over the entire length of the profiles40, as they are suspended in the ends of the profiles40. FIG.2shows the system1inFIG.1in a close up view where a connection element10, a thereto connected suspension element20and an optional ceiling mount30are shown. The suspension element20is shown comprising an optional hook member21. The hook member21is in one embodiment configured to interact with an opening15of a protruding section14of the connection element10, which is shown in detail inFIG.3. The opening is configured to receive the hook member21for connection of the suspension element20to the connection element10. It is also shown inFIG.2how the connection element10is mounted in a snap fit manner over a portion of the bulbs41which are arranged on the profiles40. The interaction of the connection element10and the bulb41on the respective adjacent first and second profile40securely holds the profiles40in place. It should be noted however, that other means for mounting the connection element over the bulbs other than by snap fit mounting is conceivable. Turning toFIG.3, which discloses a detail view of the connection element10according to one embodiment. The connection element10in the embodiment shown, comprises two resilient legs11,12which for the snap fit mounting are configured to straddle and retain the portion of the bulbs41of first and second profile40. The connection element10can thus be mounted simply by pressing the connection element10onto the first and second profile40, the interaction between the legs11,12and the bulbs41will securely support the first and second profile40. As can be further seen inFIG.3, the connection element10may comprise a bridging section13. The bridging section13has a first side16, as can be seen inFIG.5, from which the two resilient legs11,12may extend. The optional protruding section14which is provided with the opening15is arranged on a second side17of the bridging section13, which can also be seen inFIG.5. The second side17is opposite the first side16. What is further evident fromFIGS.3and4is that the connection element10may be manufactured from sheet metal by means of punching and bending. Manufacturing the connection element10out of sheet metal provides benefits in terms of providing the desired resiliency in the material for the legs11,12to be able to flex as necessary for the snap fit mounting to the profile40. It is also preferred that the connection element10is manufactured out of metal, such as stainless steel or galvanized steel, as it must be able to sufficiently well withstand the heat during a potential fire. However, other materials are also considered depending on the application. The connection element10could be manufactured from a polymeric material, or from a composite material such as e.g. carbon composite. FIG.4shows the connection element10blank material after punching but before bending into its final shape. As shown, the protruding section14may comprise two cut-out portions which are bent to form the protruding section14, such that the openings15in the respective portion are aligned when the connection element10is finalized. FIG.5shows the connection element10from the side. The opposite first and second side16,17of the bridging section13is shown being formed by the middle portion of the connection element10. The free end of each leg11,12may comprise a lip section18. Each lip sections18is bent such that it extends at an incline away from the lip section18on the opposite leg11,12. A wedge shaped recess is thus formed between the two lip sections18which facilitates mounting of the connection element10over the bulb41of the profile40such that the legs11,12straddle the bulb41in a snap fit manner. What is further shown inFIGS.4and5is that the connection element10may comprise locking members19which protrude from the free end of each leg11,12. The locking members19protrude towards the bridging section13such that the may form a securing engagement against the bulb41. In one embodiment shown inFIG.6, the bulb41of each profile40comprises a recess or groove45into which the locking member19will be arranged when the connection element10is fitted to the profile40. The interaction between the locking member19and the groove45will prevent that the legs11,12of the connection element10for some reason unintentionally to be released from the bulb41. InFIG.6it is further shown that each profile40comprises two laterally extending flanges43and an upstanding web44which is provided with the bulb41at its free end. The profile is preferably made in a roll forming operation out of a sheet blank of metal, for example steel. The sheet blank is fed between successive pairs of rolls that progressively bend, fold and form the sheet blank until the desired shape and cross section of the profile40is obtained. A beneficial profile and method for manufacturing the profile can be found in EP 2 562 323 A1. The teachings herein are however not limited to use with profiles being manufactured in any specific way or of any specific material, any profile having the essential features outlined in claim1will be suitable for use with the suspended ceiling system1. Turning now toFIG.7which shows a suspension element20according to one embodiment. InFIG.7, the suspension element20is embodied as a strip of sheet material, preferably out of metal such as steel but other materials are also considered. The suspension element may comprise reinforcing ribs along the length of the element20. The suspension element20may further comprise a free end22which is provided with an opening23. The suspension element20is connectable to the connection element10by means of a fastener inserted into the openings23,15of the suspension element20and the protruding section14when the openings23,15are aligned with each other. In the embodiment where the protruding section14is formed by two cut-out portions, the free end22of the suspension element20could be configured to be arranged between the two portions of the protruding section14and then fastened with the fastener. Alternatively, as mentioned above, the suspension element20may comprise a hook member21configured to cooperate with the opening15in the protruding section14. The suspension element20may further be provided with an additional opening24on the end opposite the free end22. The additional opening24being configured to be attached to the ceiling mount30by means of a suitable fastener. FIG.8shows a schematic outline of a method for installing a suspended ceiling system1as described above. The method comprises providing1001a first and second profile40each comprising two laterally extending flanges43and an upstanding web44provided with a bulb41at its free end. Each of the first and second profile40has a curved extension. The profile, as mentioned, does not need to be made from any particular material or by any particular process. The first and second profiles40are then interconnected1002such that they extend along a longitudinal axis. The interconnecting comprising snap fit mounting of a connection element10over a portion of the bulbs41at a joint between the first and second profile40. The first and second profiles40are then suspended1003by connecting a suspension element20to the connection element10such that the interconnected first and second profile40, in an unloaded condition, each bulges in an upward direction. It will be appreciated that the present invention is not limited to the embodiments shown. The connection element may be formed in different ways. For instance, the protruding section need not be formed from bent cut-out portions but may constitute a top portion of a folded sheet metal material, wherein the two legs extend continuously form the top portion. Several modifications and variations are thus conceivable within the scope of the invention which thus is exclusively defined by the appended claims. | 14,357 |
11859384 | DETAILED DESCRIPTION OF THE INVENTION Referring now to the drawings, and especiallyFIGS.1,2and3, the invention10, in the preferred embodiment, consists of a panel that has three layers. The first is an industrial grade paper11, which pressed to look like natural wood grain. The second is a sheet of aluminized biaxially-oriented polyethylene terephthalate (BPET)12that is attached to the industrial grade paper11. As noted above, this combination creates a barrier between varying temperatures, and adds an R value. The aluminized BPET sheet12can be integrated into the industrial paper11to become one sheet, as well. The third layer is a layer of OSB board13(seeFIGS.2and3). The OSB board is a thin sheet that is used to give the aluminized BPET sheet12and industrial paper11form and structure. Once assembled, the wall panel can be applied to interior paneling, drywall or gypsum board, as desired. As shown inFIGS.1and2, the aluminized BPET sheet12should have an extension flap12aof an inch or so on one side and the top of the sheet to help with air sealing. The use of this flap12ais shown inFIGS.4-6, as discussed below: FIG.4is a detail view showing four panels10arrayed for installation. Note the overlap12a, which is shown larger than actual size for illustrative purposes. FIG.5is a detail view of the panels ofFIG.4with three panels being attached and the fourth being moved into position. In this figure, three of the panels are attached Note how the panels10cover the overlap12a. In this way, the overlap seals the seams10abetween the panels.FIG.6is a detail view of the panels ofFIG.4fully assembled. This figure shows how the assembled panels cover the overlap between them, thereby completely sealing the seams10abetween them. FIG.7shows another embodiment 20 in which and aluminized BPET sheet21is sandwiched between a sheet of industrial paper22, a reflective barrier23, and a layer of self-sealing asphalt24before attaching it to an OSB sheet25. This makes the wall panel waterproof and airtight and helps to keep intact the integrity of the engineered wood when used in an exterior application. Note that even though the aluminized BPET sheet21, the industrial paper22, the reflective barrier23, and a layer of self-sealing asphalt24are shown separately inFIG.7, they can be combined into one integral sheet that is then attached to the OSB sheet24. It is also possible to install an aluminized BPET sheet30between a layer of insulation or particle (chipped) wood31and an OSB sheet32. This is another embodiment shown inFIG.8. Finally, it is also possible to provide an aftermarket application to any board or plywood sheet, both exterior and interior. In this case, the aluminized BPET sheet and industrial paper or similar material is applied to the drywall, gypsum board, or other type board by either a wholesaler, retailer, or contractor upon the request by customer. In this way, a builder can easily add the industrial paper and aluminized BPET sheet combination to almost any existing wall as desired. Moreover, retail shops can add the sheets to their inventory to expand their line of available products. The present disclosure should not be construed in any limited sense other than that limited by the scope of the claims having regard to the teachings herein and the prior art being apparent with the preferred form of the invention disclosed herein and which reveals details of structure of a preferred form necessary for a better understanding of the invention and may be subject to change by skilled persons within the scope of the invention without departing from the concept thereof. | 3,631 |
11859385 | Like reference numerals refer to like parts throughout the various views of the drawings. DETAILED DESCRIPTION OF THE INVENTION The following detailed description is merely exemplary in nature and is not intended to limit the described embodiments or the application and uses of the described embodiments. As used herein, the word “exemplary” or “illustrative” means “serving as an example, instance, or illustration.” Any implementation described herein as “exemplary” or “illustrative” is not necessarily to be construed as preferred or advantageous over other implementations. All of the implementations described below are exemplary implementations provided to enable persons skilled in the art to make or use the embodiments of the disclosure and are not intended to limit the scope of the disclosure, which is defined by the claims. For purposes of description herein, the terms “upper,” “lower,” “left,” “rear,” “right,” “front,” “vertical,” “horizontal,” and derivatives thereof shall relate to the invention as oriented inFIG.1. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief summary or the following detailed description. It is also to be understood that the specific devices and processes illustrated in the attached drawings, and described in the following specification, are simply exemplary embodiments of the inventive concepts defined in the appended claims. Specific dimensions and other physical characteristics relating to the embodiments disclosed herein are therefore not to be considered as limiting, unless the claims expressly state otherwise. Please refer toFIGS.1-2, and4-8, they illustrate the schematic view of a first embodiment of a building frame structure with both support and ventilation functions and the detailed components that is needed to build the present invention. In the present invention, a building frame structure with both support and ventilation functions, the building frame structure contains at least one starting column11and a plurality of main beams12, the main beams12are connected with the starting column11, and top of the starting column11is connected to a fan2. In detail, the starting column11is a hollow cylinder, and a reinforcing structure111is arranged inside the starting column11, the reinforcing structure111is connected with inner walls of the starting column11to form a plurality of ventilation spaces112, and surface of the starting column11is provided with a plurality of air guide hole113. The main beams12are hollow structures, and a reinforcing wall121is arranged inside the main beams12, the reinforcing wall121is connected with inner walls of the main beam12to form a plurality of ventilation ducts122, and when the main beams12and the starting column11are connected to each other, the ventilation ducts122correspond to the air guide holes113so that the ventilation ducts122and the air guide holes113communicate with each other, and bottom of the main beams12is provided with a plurality of ventilation holes123. When the frame structure1is installed, air sent by the fan2flows to the ventilation ducts122of the main beams12through the ventilation spaces112and air guide holes113of the starting column11, and then sends the air to various places in the building through the ventilation holes123. Through such a structural design, the workers can complete the HVAC system of each floor together when constructing the beam-column system of the building, and the construction time can be greatly reduced since there is no need to install a separate and independent HVAC system. Moreover, the setting of the reinforcing structure111enables the starting column11to have sufficient support even if there are ventilation spaces112, thereby achieving the effect claimed by the present invention. The main beams12, through the transformation of the traditional I beam, have the functions of load-bearing and ventilation at the same time, and also correspond to the special structural setting of the starting column11provided by the present invention, so as to achieve a good match. Correspondence between the two can also shorten the time required for the construction process. In detail, in this embodiment, the reinforcing structure111is a cross-shaped column structure. Since the cross-shaped reinforcing structure111can bear a considerable amount of weight in structure, the setting of the air guide holes113on the side will not affect the overall load-bearing capacity so that the present invention can still achieve the balance between the two functions of load-bearing and ventilation. Also, the starting column11and the main beams12are steel structures. Through the structure of the steel structure, the building frame1of the present invention can improve the supportability, and the structures for ventilation are not easily damaged, so as to improve the practicability of the present invention. Furthermore, like shown inFIGS.5&6, one side of the main beams12has an open end, and the other side is a closed end, and surface of the closed end is extended with a connecting structure124for connection with other supporting columns14. This design allows all the air flowing to the main beams12to flow out from the ventilation holes123to all corners of the building so that the air in the building can be continuously circulated, and the main beams12not only have the function of transmitting air, but also can connect with other supporting columns14to form a complete frame structure1, thereby ensuring the architectural stability of the present invention. In addition, each of the ventilation holes123is provided with an air shroud13. It can be seen fromFIG.8that the air shroud13has a plurality of guide plates131, which can allow air to flow out from the ventilation holes123more efficiently, so as to improve the air circulation rate. Please refer toFIG.3withFIGS.1and4-8, they illustrate the schematic view of a second embodiment of a building frame structure with both support and ventilation functions and the detailed components that is needed to build the present invention. In this embodiment, a building frame structure with both support and ventilation functions, the building frame structure1contains at least one starting column21and a plurality of main beams12, the main beams12are connected with the starting column21, and top of the starting column21is connected to a fan2. In detail, the starting column21is a hollow cylinder, and there are four thin hollow columns22in the starting column21, surfaces of any thin hollow column22are in close contact with other thin hollow columns22and inner walls of the starting column21respectively, and hollow portion of the thin hollow columns22are ventilation spaces221, and surface of the starting column21is provided with a plurality of first air guide holes211, and surfaces of the thin hollow columns22are respectively provided with a second air guide hole222corresponding to the first air guide holes211. The main beams12are hollow structures, and a reinforcing wall121is arranged inside the main beams12, the reinforcing wall121is connected with inner walls of the main beam12to form a plurality of ventilation ducts122, and when the main beams12and the starting column21are connected to each other, the ventilation ducts122correspond to the first air guide holes211and the second air guide holes222, so that a communication state is formed between the ventilation ducts122, the first air guide holes211and the second air guide holes222, and bottom of the main beams12is provided with a plurality of ventilation holes123. When the frame structure1is installed, air sent by the fan2flows to the ventilation ducts122of the main beams through the ventilation spaces221, the second air guide holes222, and the first air guide holes211, and then sends the air to various places in the building through the ventilation holes123. In particular, the difference between the present embodiment and the previous embodiment lies in the difference in the supporting structures in the starting column. In this embodiment, the present invention wraps four thin hollow columns22in the starting column21, so that the surfaces of the thin hollow columns22and the inner surface of the starting column21are tightly attached together, thereby enhancing the overall structural rigidity. In addition, the thin hollow columns22and the starting column21are cuboids, and such a design allows them to stick together more easily, which would also make the structure looks similar to the first embodiment, with a cross-shaped structure in the middle of the starting column21. Since the cross-shaped structure is made by those thin hollow columns22sticks together, the strength of the joint portions will be higher compared to the first embodiment, and the supportability of the present invention is further improved. The rest of the elements are the same as those in the first embodiment, so the descriptions of those elements are not repeated in this embodiment. These and other advantages of the invention will be further understood and appreciated by those skilled in the art by reference to the following written specification, claims and appended drawings. Because many modifications, variations, and changes in detail can be made to the described preferred embodiments of the invention, it is intended that all matters in the foregoing description and shown in the accompanying drawings be interpreted as illustrative and not in a limiting sense. Thus, the scope of the invention should be determined by the appended claims and their legal equivalence. | 9,673 |
11859386 | DETAILED DESCRIPTION As used herein, the term “concrete”, or “concrete aggregate” includes cement in various combinations with water, sand, gravel, rocks, and other materials that help to add to its strength in the particular conditions in which the concrete will be employed. For ease of reference, the term “concrete” as used herein includes any of these combinations of cement and other materials. For purposes herein, concrete can be defined as including a cement paste, a coarse aggregate, and other materials such as sand. The term “coarse aggregate” includes larger solids, like rock and gravel. The term “cement paste” includes water mixed with cement. When fresh, cement paste typically flows in a semi-liquid manner. A concrete support structure including a multi-axially braided reinforcement sleeve is described for constructing support elements for buildings and other structures. The support elements are described in the context of columns, similar principles can be applied to create other support structures such as beams. (1) OVERVIEW AND ADVANTAGES OF BMASS ELEMENT AND Multiple embodiments are described. In one embodiment a structurally reinforced concrete structural element for constructing buildings comprises a substantially solid concrete core consisting essentially of concrete with an outer multi-axially braided reinforcement sleeve embedded in the concrete on the perimeter of the core. This outer reinforcement sleeve has a flexible, multi-axially braided configuration and an inner reinforcement sleeve embedded in the concrete, situated concentrically within the outer reinforcement sleeve. Together, the outer and inner reinforcement sleeves provide flexible reinforcement for the concrete structural element. The outer reinforcement sleeve may have a biaxially or triaxially braided configuration in which a plurality of strands is oriented parallel and some being oblique with the central axis of the structural element. The inner reinforcement sleeve may include a plurality of strands that are oriented substantially lateral or transverse to the central axis. The outer and inner reinforcement sleeves have a weave that is substantially flexible and does not contain polymer resins that would otherwise interfere with sleeve flexibility. The plurality of strands in the outer and inner reinforcement sleeves may be substantially inelastic, and flexibility in the sleeves is provided by the weave of the strands in the sleeve. This concrete structural element is strong, reinforced with the inner and outer sleeves, and therefore the rebar that is normally used for axial support can be eliminated. The multi-axially braided reinforcement sleeve can be manufactured inexpensively, and the disclosed construction method eliminates several steps from conventional construction methods, thus reducing the overall cost of constructing a concrete structural element. Advantageously, the rebar that normally is embedded axially in the structural element can be eliminated, along with the frame and formwork. Elimination of the rebar further reduces cost, and the multi-axially braided reinforcement sleeve provides tensile axial support to the structural element as well as stronger resistance to earthquake damage and further eliminates the possibility of rebar corrosion which would otherwise undermine the structural integrity of the structural element. As an additional advantage, the multi-axially braided reinforcement sleeve is relatively lightweight (especially compared to rebar), easy to transport, and it can be reduced in size to facilitate transportation, in some embodiments, even collapsed and rolled on a reel. The size reduction allows the reinforcement sleeve to be transported without special requirements, thereby reducing cost. Construction using the multi-axially braided reinforcement sleeve has several advantages. One advantage is the time and cost savings resulting from the elimination of formwork, installation, and removal. With no formwork, there is much less chance of damaging the concrete structural element or cracking the concrete, which could otherwise happen when the formwork is removed. Another advantage of eliminating the formwork is that there is no honeycombing in the concrete, which can be caused by air trapped between the formwork and the concrete, and no bug holes to repair. Using a pre-manufactured multi-axially braided reinforcement sleeve eliminates the construction problems related to unskilled labor such as improperly detailing the rebar cage, using insufficient ties, or failing to give appropriate attention to formwork. Another advantage is improved safety. Because the multi-axially braided reinforcement sleeve is positioned before the concrete is poured, remains in place after the concrete is poured, and doesn't require formwork, the often-fatal accidents related to formwork failures that can (and have) happened can be prevented. For example, eliminating formwork prevents accidents that might otherwise happen if formwork is removed too early (before the concrete is adequately cured and not structurally sound). It would also prevent accidents that could otherwise happen when the formwork itself fails for reasons such as poor design, reusing formwork that has lost its integrity even if it passes visual inspection or just human error. The multi-axially braided reinforcement sleeve can be made in many different configurations, which can be designed and/or selected to meet the requirements of a large variety of construction jobs. To choose the appropriate configuration for a particular construction job, one consideration is the tensile strength of the sleeve. Generally, a sleeve is selected to have a weave pattern and be made of a material that can at least hold the hydrostatic pressure caused by the weight of the concrete poured into it. Thus, because the sleeve has already been designed to withstand the hydrostatic pressures of the liquid concrete, this eliminates blowouts and other problems that might be caused if old formwork were used, or if the formwork becomes over-vibrated which can cause separation of concrete mixtures, increased pressures, and subsequent blowouts in the formwork. Construction using the reinforcement sleeve also eliminates the need to clean, inspect, transport, and store formwork, which would otherwise consume a tremendous amount of time and add costs during the construction project. The reinforcement sleeve has a multi-axially braided configuration which provides a weaved pattern that defines a plurality of gaps. The weaved pattern and material allow cement paste to flow into and around the fibers of the sleeve, sufficiently that the sleeve becomes bonded to the concrete structural element while holding the coarse concrete aggregate inside the sleeve. Advantageously, the flow of cement paste (and maybe some sand or smaller particles) through the gaps expels unwanted air and fills the spaces within the sleeve, so that the sleeve can become almost uniformly filled with concrete. A more uniform fill provides a stronger structure, substantially free of air pockets that might otherwise undermine the structural element's strength. The multi-axially weaved structure is particularly useful because it defines a type of selective locking mechanism. The weave is close (tight) enough that it contains the concrete within the sleeve. In some embodiments, some gaps can have a size to allow some of the sand and cement paste to flow through the gaps in the sleeve, and this flow-through material can then be spread around the exterior of the sleeve, and after drying, becomes the cover for the structural element itself. In other words, in some implementations, the gaps may be large enough to allow cement paste to flow through to the outside, which can then be smoothed to create a substantially smooth external surface that can provide a better appearance. Another advantage is that rebar can be eliminated from the structural element in many embodiments. Not only does rebar add to cost, but it is believed that the properties of the rebar itself can contribute to the destruction of the structural element during extreme events such as fire, corrosion, or an earthquake. The elimination of rebar prevents these problems, and the multi-axially braided reinforcement sleeve allows the structural element to retain most of its strength during and after these extreme events. (2) MULTI-AXIAL BRAIDED REINFORCEMENT SLEEVE Reference is first made toFIGS.1and2.FIG.1is a perspective view of a multi-axially braided reinforcement sleeve100in an extended configuration, andFIG.2is a perspective closeup view of a cut-out portion of the biaxially braided reinforcement sleeve100. As shown inFIGS.1and2, the multi-axially braided sleeve100for use in constructing a concrete column includes a plurality of strands108including at least a first plurality110of strands and a second plurality120of strands axially braided around a central axis102into a tubular braided structure that defines the sleeve100and a defines a central opening104axially through the tubular structure. Particularly, the first plurality of strands110are axially braided following a first rotation and the second plurality of strands120are axially braided following a second rotation counter-rotating to the first rotation. Thus, the first plurality of strands crosses the second plurality of strands at a plurality of crossings130, and the crossed pattern of the first and second plurality defines a plurality of gaps140. The gaps140may or may not allow some cement paste to flow through to the outside while holding the concrete inside the sleeve. Advantageously, the flow of some cement paste (and maybe some sand or smaller particles) through the gaps expels unwanted air and fills the spaces within the sleeve, so that the sleeve column becomes approximately uniformly filled with concrete. A more uniform fill provides a stronger column structure substantially free of air pockets that might otherwise undermine the column's strength. The multi-axially weaved structure is particularly useful because it defines a type of selective locking mechanism. In some embodiments, such as the embodiment illustrated inFIG.1andFIG.2, the braided reinforcement sleeve100has a biaxial weave pattern (the braid follows two counter-rotating axes) that defines the plurality of gaps140between the strands108, and the plurality of strand crossings130where the strands cross. In other embodiments, such as will be described with reference toFIGS.12and13, the weave pattern can be triaxial, in which the first and second plurality of strands cross as in the biaxial configuration, and a third plurality of strands are oriented substantially parallel with the axis of the column. In still other embodiments, such as will be described with reference toFIGS.14and15, the triaxial sleeve1200combines with an inner sleeve1400that has a plurality of substantially unidirectional strands, oriented transverse to the central axis of the sleeve. The material used in the strands108can be any material such as metal, plastic, nylon, ceramics, basalt, aramid, carbon fiber, glass fiber, or any natural or synthetic material of suitable strength and durability that has the appropriate characteristics for the desired end application. Generally, the strands are relatively inelastic. FIGS.3A,3B,3C, and3Dare example configurations for each single strand108, illustrating that the strands can have different forms and configurations. The strands can have any suitable configuration.FIG.3Ashows a circular cross-section310like a wire,FIG.3Bshows a rectangular cross-section320,FIG.3Cshows a flat rectangular ribbon cross-section330, andFIG.3Dshows a thin rectangular band cross-section340. To choose the appropriate configuration for a particular construction job, one consideration is the strength and flexibility of the sleeve. Generally, a sleeve is selected to have a weave pattern, a strand configuration, and be made of a material that can at least hold the hydrostatic pressure caused by the weight of the concrete poured into it. Thus, because the sleeve has already been designed to withstand the hydrostatic pressures of the liquid concrete, this eliminates blowouts and other problems that might be caused if old formwork were to be used, or if the formwork was over-vibrated which can otherwise cause separation of concrete mixtures, increased hydrostatic pressures, and subsequent blowouts in the formwork. Although typically the materials and strand configurations will be consistent throughout the sleeve, in some embodiments some strands may comprise different materials and/or different configurations. For example, in the same sleeve, some strands may be nylon and others may be aramid, some strands may have a wire configuration and others may have a band configuration. The materials and configuration of the strands are chosen based on their properties to create the desired strength, flexibility, and weave pattern of the end product sleeve. Many different types of strands can be used in the multi-axially braided reinforcement sleeve. Examples of these strands include the following:1) ⅛ inch circular wire;2) Strands can be comprised of thousands of filaments which are only about 5 to 10 microns thick, 3k, 6k, 12k and 15k, where k means thousands of filaments, can be found in each strand3) The strands could be metal bands % an inch to 3 inches wide that are weaved into a sleeve; similar to the metal bands that hold lumber together for transport; and4) The material of the strands could be nylon, basalt, aramid, glass fiber, carbon fiber, or any synthetic or natural material of suitable strength and durability that can be woven into reinforcement sleeves. Generally, the material and configuration of the strands are chosen to be relatively inelastic compared to the sleeve. For example, individual strands made of metal may not bend or stretch easily (i.e., they may be relatively inelastic). However, the overall braided sleeve will be substantially flexible due to its braided pattern, even if the individual strands are inelastic. As shown inFIG.2, the multi-axial braiding100of the strands108provides a weaved pattern that defines the plurality of crossings130and may or may not have some gaps140. The gaps140may or may not allow some cement paste to flow through to the outside while holding the concrete aggregate inside the sleeve. The particular weave pattern depends upon several factors such as design requirements, the properties of the concrete mixture, and the outside temperature. Different types of concrete may require a different weave pattern, angle of weave, and type of reinforcement bands/ribbons. The type of concrete can change, and the compression stress of concrete can vary anywhere from less than 3,000 psi to over 10,000 psi, the water/cement ratio can vary depending on weather conditions, the size of the pour, and the type of cement that is used. All these factors can be considered when selecting the appropriate sleeve for a particular installation. (3) FABRICATING THE MULTI-AXIALLY BRAIDED REINFORCEMENT SLEEVE Fabricating the multi-axially braided reinforcement sleeve can be accomplished using any suitable method. Many braiding methods are known in the art, and the particular method chosen for forming the braided tubular structure will depend upon the requirements of any particular implementation. A few examples of methods and apparatus that can braid strands to create a tubular configuration are shown in US Patent Publication US20150299916, U.S. Pat. Nos. 7,311,031, 5,257,571, and 5,099,744. As described above, the configuration of the strands108, given the material, must be thick enough or of such density to substantially contain the concrete in the weaved pattern. The strands may be relatively inelastic for strength, and the braid pattern provides flexibility to the reinforcement sleeve. In one embodiment, the braided sleeve has a biaxial weave pattern in which the first set of strands are wrapped around the central axis in a first rotation, and the second set of strands are wrapped around the central axis in a second, opposite rotation. In other embodiments, the braided sleeve may have a triaxial weave pattern, or a combination of an inner sleeve (comprised of a biaxial weave nearly lateral to the length of the column) and an outer sleeve (comprised of a triaxial weave pattern along the length of the column) working together, or other suitable weave patterns. Many different materials and configurations can be implemented. Typically, the braided structure will be formed with a uniform braid pattern throughout its length. Still, many variations are possible with a uniform braid pattern, for example, the weaved pattern could include a finer mesh that would hold in place a stronger but looser weave of a different material. For example, the weaved pattern could include a finer nylon mesh that holds heavier aramid belts that are weaved into sleeves. In some embodiments, it may be useful to vary the braid pattern in certain areas, so that the braid is nonuniform along its length. For example, one embodiment may create additional strength in certain portions of the sleeve by a tighter weave, or in other embodiments, more flexibility in the braid can be provided by using a looser weave. Note that the flexibility of the reinforcement sleeve would be adversely affected by the use of resins/polymers on the sleeve as the resins would harden and impair flexibility. The use of resins/polymers on the sleeve should be avoided because of their low melting point, toxin fumes when burnt, and incompatibility with concrete. (4) METHOD OF COLUMN CONSTRUCTION To recap the conventional construction method discussed above in the prior art section, in conventional concrete column methods, workers first install vertically-extending rebar rods into a suitable foundation, then build formwork around the rebar to define the column, and then build a frame that holds it all in place. Then the concrete is poured in, and after it dries, the frame and formwork are removed. This conventional multi-step construction technique has several disadvantages, such as adding significant labor and material costs to the total construction cost of a building, creating safety issues, and lengthening the construction time. Furthermore, in extreme events such as a fire, corrosion, or an earthquake, the columns may fail, and the rebar itself contributes to the failure of the column. The method described herein simplifies construction by eliminating conventional formwork and replacing it with a pre-manufactured multi-axially braided sleeve. The ceiling holds the sleeve in place on its upper end, and the floor provides a foundation at the lower end. Conventional axial rebar and ties are optional and may be eliminated; for some uses, rebar may be eliminated entirely. For other uses, if extra strength is required, some amount of rebar may be desirable and placed within the multi-axially braided sleeve. FIG.4is a perspective view of the reinforcement sleeve100compressed (packed down) to a reduced size for transportation. The reinforcement sleeve100can also be flattened and rolled on a reel, or folded. InFIG.4the sleeve is shown compressed along its axis102and can be folded, but more generally the sleeve can be flattened and rolled on a reel in any manner suitable to the materials and configuration of the strands108. FIG.5is a perspective view of a location prepared for installing a concrete column with the reinforcement sleeve100. The installation location includes an upper surface510shown on a section of an upper structure512(e.g., a ceiling) and a lower surface520shown on a section of a lower structure522(e.g., a floor) to which the reinforcement sleeve100is affixed. One way to install a column is to pour the columns remotely (as modules) and then move the poured columns to the installation location. Such pre-casted forms could also be pultruded through dies and cut to length. Pultrusion is a continuous process for manufacture with an approximate constant cross-section by pulling the material, as opposed to extrusion which pushes the material. Another way is to attach the respective ends of the reinforcement sleeve100to the upper surface510and lower surface520using any suitable attachment method, such as tying the reinforcement sleeve100into the existing rebar found in the floor and ceiling concrete slabs. In some embodiments, the joint at the end of the column may be a straight cylinder (see.FIG.11) whereas in other embodiments (seeFIGS.6,7, and10) the reinforcement sleeve may flare at the end like a cone of increasing diameter, or a vase-like structure that expands out from near the end of the column to the adjacent surface or foundation. The expanding joint support would also increase strength and ductility in the column-to-beam and column slab connections. If joint support tying into the existing rebar in the floor and ceiling concrete slabs is not used, the concrete columns could be poured at another location, transported, lifted into place, and attached with grouted dowels. In the embodiment ofFIG.5, an opening530in the upper surface510is provided to allow the concrete to be poured into the central top opening as is done with conventional formwork. Generally, the central opening104of the reinforcement sleeve100must be accessible in some manner, so that concrete can be poured in. If there are circumstances where the opening at the top of the column is not available, spreaders could be used to create an opening in the side of the reinforcement sleeve through which concrete can be poured, and then the spreaders can be removed, and the sleeve reassembled or mended. FIG.6is an expanded perspective view of the reinforcement sleeve100positioned between the upper surface510and lower surface520, including the flared portion of the reinforcement sleeve610and a lower flared portion of the reinforcement sleeve620in the form of a concave flaring cone shape at the respective connections with the upper surface510and the lower surface520. In some methods, a pipe such as a PVC pipe (not shown) can be inserted into the central opening104. The outer diameter of the PVC pipe fits within the central opening104and preferably is adjacent to the inner diameter of the installed reinforcement sleeve100. Thus, the PVC pipe or a tremie would be nested inside the reinforcement sleeve100, and the cylindrical structure of the PVC pipe holds the reinforcement sleeve in place while the concrete is being poured and then is removed. FIG.7is a perspective view of concrete710being poured via a delivery tube720and through the opening530in the upper surface510into the central opening of the reinforcement sleeve100. Generally, the concrete is poured into the central opening104until it is filled. In the embodiment ofFIGS.5,6, and7, an opening530in the upper surface510is provided to allow the concrete710to be poured through and into the central opening100as is done with conventional formwork. Generally, the central opening104of the multi-axially braided reinforcement sleeve100must be accessible in some manner, so that the concrete710can be poured in. If in an alternative embodiment there are circumstances where the opening530at the top of the column is not available, spreaders could be used to create an opening in the side of the reinforcement sleeve100through which concrete can be poured and the spreaders removed and the sleeve100reassembled or mended. In the embodiment where the PVC pipe is utilized to maintain the columnar structure while the concrete is being poured, the PVC pipe within the opening is first filled with concrete. Then, the PVC pipe is removed, more concrete is added to fill the space vacated by the PVC pipe, and to fill the opening, and the concrete is allowed to flow to the reinforcement sleeve. FIGS.8A,8B, and8Care close-up perspective cut-out views of sections of the outside of the column, illustrating the flow of concrete through the multi-axially braided reinforcement sleeve100during construction. A similar flow goes through an inner sleeve which will be described later with reference toFIG.14et seq. FIG.8Ais a section801that illustrates a beginning flow810of cement paste820out through the gaps140between the strands108in the reinforcement sleeve.FIG.8Bis a section802after the concrete paste820has flowed into the gaps140, and substantially covers the strands108. At this point, the strands108have become substantially embedded within the concrete paste820. In some embodiments, the cement paste820can now be allowed to dry. In other embodiments, as shown inFIG.8C, the concrete paste820can flow out farther from the gaps140, to create an additional covering for the reinforcement sleeve, which can be smoothed to provide a cleaner appearance.FIG.8Cshows section803of a concrete outer layer840that is formed after the cement paste820has flowed through the gaps and dries outside the strands108of the sleeve. As discussed above, the reinforcement sleeve100defines gaps140that may or may not be large enough to allow a flow of the semi-liquid cement paste and small particles such as sand, but small enough to prevent the outward flow of coarse aggregate (e.g., gravel, rocks). As the semi-liquid cement paste820flows through the gaps140, it reaches the outer surface of the reinforcement sleeve, forms the outer layer840, and then dries enough to be spread by workers into a smooth outer surface850. FIG.9is a cross-sectional view of one embodiment of a completed column900such as column1000(FIG.10) or column1100(FIG.11). The central opening of the reinforcement sleeve (104,FIG.1) is now filled with concrete, including coarse aggregate and cement paste, that provides a concrete core910. The reinforcement sleeve100is now embedded in concrete around the outside perimeter of the concrete core910. FIG.9also illustrates an embodiment that includes the outer smoothed surface850of the column, and adjacent to the surface850, the outer layer840of dried cement paste and small particles enclose the reinforcement sleeve100. As shown inFIG.9, the multi-axially braided reinforcement sleeve100contains the concrete within the core910and supports the column900transversely. Yet during extreme earthquake events, the reinforcement sleeve100doesn't go under compression and therefore does not expand to cause any damage to the column. Instead, if the column drifts due to earthquake forces, the reinforcement sleeve may elongate and tighten around the column whenever the column needs lateral support. FIG.10is a perspective view of one embodiment of a finished column1000after the outside surface has been smoothed including the concave section. In this embodiment, upper flared portion of the reinforcement sleeve610and the lower flared portion of the reinforcement sleeve620have the form of a concave flaring cone shape at their respective connections with the upper surface510and the lower surface520. FIG.11is a perspective view of another embodiment of a finished column1100in which a straight cylindrical joint support configuration is used for the upper joint1110and a lower joint1120, instead of the concave flared cone configuration shown in the embodiment ofFIG.10. Implementations are described herein that utilize the BMASS support element as a column, such as the column1000or column1100, or as a beam such as will be described in more detail, e.g., with reference toFIG.20et seq. (5) TRIAXIAL SLEEVE EMBODIMENT FIG.12is a perspective view of a triaxially-braided tubular reinforcement sleeve1200in an extended configuration. As shown inFIG.12, the tubular structure of the sleeve1200defines a central axis1202and a central opening1204, and the sleeve1200includes a plurality of strands1208weaved into a triaxial configuration around the central axis1202. FIG.13is a side view of a cut-out section1300of the triaxially braided reinforcement sleeve1200, illustrating the triaxial weave. As can be seen from this section1300, the plurality of strands1208includes a first plurality of strands1310crossed by a second plurality of strands1320, (similar to the biaxial weave) and in addition, the strands1208include a third plurality of strands1330aligned substantially parallel to the central axis1202. (6) INNER AND OUTER REINFORCEMENT SLEEVES FIG.14is a perspective view of a sleeve arrangement that includes an inner reinforcement sleeve1400and an outer reinforcement sleeve1410. The inner sleeve1400has a size to fit concentrically within an outer sleeve1410. The inner reinforcement sleeve1400has a plurality of strands that are oriented in a substantially lateral direction (i.e., the strands wrap laterally or transverse to a central axis1408defined by the inner and outer sleeves. The outer sleeve1410comprises a multi-axially braided sleeve such as the triaxially-braided sleeve1200or the biaxially-braided sleeve100. The inner reinforcement sleeve1400may be manufactured in a tubular configuration as shown inFIG.15. In alternative embodiments, the inner reinforcement sleeve1400can be formed by wrapping a sheet of unidirectional material so that the direction of the material's strength is substantially lateral to the central axis. The inner reinforcement sleeve1400concentrically fits within the outer reinforcement sleeve1410. In some embodiments. the inner and outer reinforcement sleeves may be connected by any suitable means. FIG.15is a side view of a cut-out section1500of the inner reinforcement sleeve1400, illustrating a substantially lateral weave1510in one embodiment. Generally, the substantially lateral to the central axis weave may be provided in any suitable configuration such as a biaxial weave with very small-angle crossings, a spiral, or hoops with longitudinal connections, or any other weave that provides substantial strength in the transverse direction. FIG.16is a perspective view of a completed BMASS support element1600, which has a cylindrical shape that defines a central axis1610and a central core1620. As illustrated by the cross-section1700shown inFIG.17, BMASS support element1600includes the inner reinforcement sleeve1400, and the outer reinforcement sleeve1410around its perimeter. FIG.17is a cross-sectional view of one embodiment of a completed BMASS support element1600including the inner reinforcement sleeve1400and the outer reinforcement sleeve1410embedded in the BMASS support element1600. The central core1620is now filled with concrete, including coarse aggregate and cement paste, that provides a concrete core1710within the reinforcement sleeves consisting essentially of concrete. The outer reinforcement sleeve1410is now embedded in concrete on the outside perimeter of the concrete core1710, and the inner reinforcement sleeve1400is situated concentrically within the outer sleeve1410. In theFIG.17embodiment, the concrete has flowed through the inner reinforcement sleeve1400and into the outer reinforcement sleeve1410, so that both the inner and outer reinforcement sleeves are embedded in the concrete. For purposes of illustration, the inner and outer reinforcement sleeves are shown separated by a middle concrete layer1720. In some embodiments, the inner and outer reinforcement sleeves may be adjacent to each other and in those embodiments, the middle concrete layer1720may be small or non-existent. InFIG.17, the outer reinforcement sleeve1410is shown embedded in the concrete, but unlike the BMASS support element shown inFIG.9,FIG.17does not illustrate the smooth outer layer840of dried cement paste and small particles. For some implementations, the smooth outer concrete layer840may not be desired or needed. However, other implementations of BMASS support element1600may utilize the outer cement layer840to enclose the outer reinforcement sleeve1410and provide a substantially smooth outer surface. FIG.18is a perspective, cut-away view of an embodiment of a completed BMASS support element1800including the inner reinforcement sleeve1400and the outer reinforcement sleeve1410embedded in the completed BMASS support element1800. The concrete core1810is formed within the reinforcement sleeves, consisting essentially of concrete. In theFIG.18embodiment, the concrete has flowed through the inner reinforcement sleeve1400and into the outer reinforcement sleeve1410, so that both the inner and outer reinforcement sleeves are embedded in the concrete, creating a middle concrete layer1820between the reinforcement sleeves1400,1410. After the concrete paste has flowed out through the outer reinforcement sleeve1410and cured sufficiently, it is smoothed to create a smooth outer concrete layer1830, which encloses the outer reinforcement sleeve1410and provides a substantially smooth outer surface. The outer reinforcement sleeve1410is now embedded in concrete on the outside perimeter, and the inner reinforcement sleeve1400is situated concentrically within the outer sleeve1410. As shown inFIGS.17and18, the inner and outer reinforcement sleeves work together to contain the concrete within the core160and support BMASS support element transversely. Yet during extreme earthquake events, the inner and outer reinforcement sleeves do not go under compression and therefore do not expand to cause any damage to the BMASS support element. Instead, if the BMASS support element drifts due to earthquake forces, the reinforcement sleeves may elongate and even tighten around the BMASS support element whenever the BMASS support element needs lateral support. As an alternative construction technique, rather than forming the concrete BMASS support element in place, the BMASS support element could be formed elsewhere and then transported to the installation. For example, the BMASS support element could be formed on the job site or in a nearby location, and then lifted into position to be installed. The BMASS support elements could be pultruded through dies while using a concrete pump to force the concrete into the core of the sleeves. Once cured, the BMASS element can be cut to length. Pultrusion is a continuous process for manufacture with an approximate constant cross-section by pulling the material, as opposed to extrusion which pushes the material. FIG.19is a perspective view of an alternative configuration of a structural support element1900in which a cylindrical BMASS element1910is integrated into a rectangular box structure1920. The BMASS element1900itself is cylindrical, which provides a very strong structural configuration and provides significant strength to the rectangular box, which may, for example be formed of concrete.FIG.19illustrates that the BMASS element1910can be integrated into various structures, in a variety of different configurations, to provide strength and resiliency against damage. Depending upon the application, multiple BMASS elements may integrated into a structure. In many embodiments, the step of installing rebar axially along the length of the BMASS support element may be eliminated entirely to save cost and also to prevent destruction during an earthquake. However, for some purposes, rebar may still be useful. For example, a length of rebar can be installed extending into either or both ends of the BMASS support element to prevent the ends of the BMASS support elements from sliding or provide additional structural support depending on the demands placed on the BMASS support element. (7) CABLE SUPPORT STRUCTURAL ASSEMBLIES USING BMASS SUPPORT ELEMENT A number of different embodiments of the cable-supported structural assembly are described herein, using the flexible, reinforced concrete BMASS support element. (8) SINGLE CABLE BMASS BEAM ASSEMBLY FIG.20is a perspective view of one embodiment of a cable-supported BMASS beam assembly2000. The BMASS beam assembly2000includes a cylindrical BMASS element2010that defines a first end2011and a second end2012. A first end cap2041is situated on the first end2011, and a second end cap2042is connected to a cable2020. Particularly, the cable2020has a first end2021connected to the first end cap2041, and a second end2022connected to the second end cap2042on the BMASS element. The cable2020may be made of metal, fiber, or any suitable material, with a strength designed to meet load requirements. Stainless steel is one preferred material for the cable. One or more braces2030are positioned between the cable2020and the BMASS element2010to hold the cable2020, transfer force from the cable2020to the BMASS element2010, and generally provide support for the beam assembly2000. In this embodiment, three braces2030are provided, including a first brace2030a, a second brace2030b, and a third brace2030c; in other embodiments, another number of braces2030may be provided. FIG.21is a perspective view of one of the braces2030. Each of the braces2030includes a collar2032, a support arm2034, and a cable pass-through2036. The collar defines an interior cylindrical opening2033having a size that fits around the cylindrical outer surface of the BMASS element2010. The collar2032may be a single unit as shown inFIG.21, or it may be in a clamp form that fits around BMASS element2010, and then is affixed with bolts, for example, such as shown inFIG.38. The brace also includes the pass-through2036that has an opening2037through which the cable2020can pass. The cable2020is slidable within the pass-through openings2037. FIGS.22and23show two different perspective views of the end caps2041,2042. The end caps2041,2042each define an inner cylindrical aperture2044, connected to the respective ends2011,2012of the BMASS element2010. The end caps2041,2042include a cable holding mechanism, which in this embodiment includes a tapered aperture2045having an insertion end2046with a size to insert the cable ends, and a larger end2047. The insertion end2046faces the inside of the assembly, and the larger end2047faces in the opposite direction, outside the assembly. To hold the cable in place, wedges2048may be inserted into the larger end2047of the tapered aperture2045. The cable2020may be tensioned at one or both ends, using a conventional technique such as a hydraulic ram or post tension stressing jack, while at the same time pushing the wedges2048into a locking position in the tapered aperture2045. (9) EXAMPLE OF BMASS BEAM ASSEMBLY INSTALLED IN STRUCTURE FIG.24is a side view of the BMASS beam assembly2000installed in a structure, which may be a bridge, building or any other structure. Each end of the BMASS beam assembly2000rests upon a side support; particularly, the first end2011(including the first end cap2041) rests upon a first side support2411, and the second end2012(including the second end cap2042) rests upon a second side support2412. The side supports2411,2412may be a part of a variety of structures, for example, either side of a bridge, columns in a structure, or other beams. The side supports may be formed with to include a notch shaped to receive the respective ends of the BMASS beam assembly. A load2420, which may, for example, be a bridge, road surface. or the floor of a building, exerts downward forces all along the adjacent surface of the BMASS element, as illustrated by arrows2430. Generally, the side supports must be strong enough the hold against the forces exerted by the load2420on the BMASS beam assembly. Installed, the BMASS element2010provides compressive strength, and the cable provides tensile strength to the BMASS beam assembly2000. As will be described, the cable2020can be tensioned to provide curvature to the BMASS element2010, which provides greater strength and resiliency to the BMASS beam assembly. (10) CURVATURE ADJUSTMENT [Ray: needs review: Cable applies force to ends along vector that has axial and transverse components.] FIG.25is a side view of the BMASS beam assembly2000under load forces2430, illustrating the effect of tensioning of the cable2020, and the resulting curvature of the BMASS element2010to counter against the load forces2030. Tensioning the cable2020creates force vectors at an angle from the end caps, which can be divided into axial and transverse vectors: particularly, from the first end cap2410a first force vector2510resolves into a first axial vector2512and a first transverse vector2514, and from the second end cap2420a second force vector2520resolves into a second axial vector2522and a second transverse vector2524. It may be noted that the first and second axial vectors2512,2522provide opposing forces, which advantageously places the BMASS element2010under compression. Furthermore, the first and second transverse vectors2514,2524create downward forces, in a vertical direction respectively from each of the end cap2041,2042, which transfers gravitational forces to the ground. Tensioning the cable2020also creates upward force vectors2531,2532,2533in each of the braces2030, which are transmitted upward from the cable2020through each of the braces2030, to the BMASS element2010. The net result of the tensioning forces in the cable, is that the axial vectors place the BMASS element under compression and prevents tensile forces from forming, cracking, and shearing the beam. Furthermore, the downward force of the transverse vectors at the BMASS element ends, combined with the upward force from the brace vectors in the middle of the BMASS element, create a curvature2540, shown in dotted lines. By selecting the amount of tension applied to the cable, and positioning the braces along the BMASS element at determined locations, and selecting length of the brace arms2034, and other design considerations, the amount of curvature can be controlled, and help to support the load. Also, the cable2020, via the braces2030, applies sideways force to the BMASS element2010. Advantageously, the flexible sleeves in the BMASS element (seeFIG.18for example), allow flexing of the BMASS element without failure. (11) DUAL CABLE BMASS BEAM ASSEMBLY FIG.26is a perspective view of a dual-cable embodiment of a cable-supported BMASS beam assembly2600.FIG.26is an example of BMASS beam assemblies that utilize multiple cables; in other embodiments additional cables may be added. One advantage of the multiple cable configuration is that it allows curvature adjustments from multiple angles, which can be useful in some implementations. The BMASS beam assembly2600includes a cylindrical BMASS element2610that defines a first end2611and a second end2612. A first end cap2641is situated on the first end2611, and a second end cap2642is connected to two cables including a first cable2621and a second cable2622. Particularly, each of the cables2621,2622have a first end connected to the first end cap2641, and a second end connected to the second end cap2642. The cables2621,2622may be made of metal, fiber, or any suitable material, with a strength designed to meet load requirements. Stainless steel is one preferred material for the cable. One or more triangular braces2630are positioned between the cables2621,2622and the BMASS element2610to hold the cable2621,2622, transfer force from the cables to the BMASS element2610, and generally provide support for the beam assembly2600. In this embodiment, three triangular braces2630are provided, including a first brace2630a, a second brace2630b, and a third brace2630c; in other embodiments, a different number of braces2630may be provided. FIG.27is a perspective view of one embodiment of the triangular braces2630. Each of the triangular braces2630includes a collar2632, a triangular support structure including a first support arm2634connected between the collar2632and a first pass-through2635, a second support arm2636connected between the collar2632and a second pass-through2637, and a connecting arm2638connected between the first pass-through2635and the second pass-through2637. In other words, the triangular support structure defines three vertices, the first vertex is connected to the collar2632, the second vertex is connected to the first pass-through2635, and the third vertex is connected to the second pass-through2637. The collar2632defines an interior cylindrical opening2639having a size that fits around the cylindrical outer surface of the BMASS element2610. The collar2632may be a single unit as shown, or it may be in a clamp form that fits around BMASS element2610, and then may be affixed with bolts or any suitable connection, such as shown inFIG.38. The pass-throughs2635,2637each have an opening2639through which the first and second cables2621,2622can pass respectively. The cables2621,2622are slidable within the pass-through the openings2639. FIG.28is a perspective view that shows two the two end caps2641,2642, arranged along an axis2643defined by the BMASS element2610. The end caps2641,2642each define an inner cylindrical aperture2644, connected to the respective ends2611,2612of the BMASS element2610. The end caps2641,2642include a cable holding mechanism, which in this embodiment includes a tapered aperture2645having an insertion end2646with a size to insert the cable ends, and a larger end2647. The insertion end2646faces the inside of the assembly, and the larger end2647faces in the opposite direction, outside the assembly. To hold the cable in place, wedges may be inserted into the larger end2647of the tapered aperture. The cables may be tensioned at one or both ends, using conventional techniques such as a hydraulic ram or post tension stressing jack, while at the same time pushing the wedges into a locking position in the tapered aperture2645. (12) CABLE SUPPORTED COLUMN FIG.29is a perspective view of a cable-supported BMASS column assembly2900. The BMASS column assembly2900includes a cylindrical BMASS element2910that defines a first end2911and a second end2912. A first end collar2941is situated proximate to the first end2911, and a second end collar2942is connected proximate to the second end2912. Three cables, including a first cable2921, a second cable2922, and a third cable2923are connected between the first and second end collars; particularly, each of the cables2921,2922,2923have a first end connected to the first end collar2941, and a second end connected to the second end collar2942. The cables2921,2922,2923may be made of metal, fiber, or any suitable material, with a strength designed to meet load requirements. Stainless steel is one preferred material for the cables. One or more column braces2930are positioned between the first and second end collars2941,2942. The column braces2930are situated on the BMASS element2910to hold the cables, transfer force from the cables2921,2922,2923to the BMASS element2910, and generally provide support for the column assembly2900. In this embodiment, two braces2930are provided, in other embodiments, a different number of braces2930may be utilized. FIG.30is a perspective view of one of the column braces2930. Each of the braces2930includes a collar2932that defines an interior cylindrical opening2933having a size that fits around the cylindrical outer surface of the BMASS element2910. The collar2932may be a single unit as shown, or it may be in a clamp form that fits around BMASS element2910, and then may be affixed with bolts or any suitable connection, such as shown inFIG.38. Each brace2930also includes a plurality of support arms2934extending outwardly in a spoke-like configuration from the collar2932. The support arms2934may be adjustable in length, including an adjustment unit2950connected between a lower arm2952and an upper arm2954, such as described further herein. At the distal end of each support arm2934, a cable pass-through2936is provided. The pass-throughs2936each have an opening2938through which the cables can pass, respectively. The cables2921,2922,2923are slidable within the openings2939in the pass-throughs2936. FIG.31is a perspective view of the first end collar2941, the second end collar2941is similar. The end collars2941,2942each define an inner cylindrical aperture2944, connected to the respective ends2911,2912of the BMASS element2910. The end collars each include a cable holding mechanism, which in this embodiment includes a plurality of apertures2946, spaced around the collar having a size to insert and hold the cable ends. To hold the cable in place within the apertures2946, conventional techniques may be used. In one embodiment, the apertures2946may be tapered as described previously, and wedges may be inserted into the aperture2946, on the side of the end collar opposite the inside entry point of the cables to hold the cable in place. The cables may be tensioned using a conventional hydraulic ram or post tension stressing jack. InFIG.29, three cables are utilized to provide transverse support in three directions from the center of the column; in other embodiments additional cables may be added. For a column, generally the structural objective is to maintain a vertical column that is as straight as possible. Using the three cables and the adjustment units2950for each of the cables, the tension of each cable can be selected to provide a substantially straight column. An advantage of the multiple cable configuration is that it allows adjustments from multiple angles. (13) SMART BEAM FIG.32is a perspective view of one embodiment of a BMASS beam assembly3200that includes an adjustable support arm3230, which advantageously allows the cable tension to be adjusted during and after installation. The BMASS beam assembly3200is similar to the BMSS beam assembly described with reference toFIGS.20-23, except for the adjustable support arm3230. The BMASS beam assembly3200includes a cylindrical BMASS element3210that defines a first end3211and a second end3212. First and second end caps3241,3242are situated respectively on the first and second ends3211,3212. The cable3220is connected to the first end cap3241and the second end cap3242by any suitable means. The cable3220may be made of metal, fiber, or any suitable material, with a strength designed to meet load requirements. Stainless steel is one preferred material for the cable. One or more braces3230are positioned between the cable3220and the BMASS element3210to hold the cable3220, transfer force from the cable3220to the BMASS element3210, and generally provide support for the beam assembly3200. In this embodiment, three braces3230are provided, in other embodiments, another number of braces3230may be provided. Each of the braces3230includes a collar3232, an adjustable support arm3234, and a cable pass-through3236. The adjustable support arms3234may include a turnbuckle3250.FIG.37is a perspective cutaway view of one example of a turnbuckle. The turnbuckle3250includes a rotatable central element3251connected between a first rigid arm3252connected to the collar3232, and a second rigid arm3254connected to the pass-through3236. The first arm3252includes a threaded section3253that engages with a corresponding section of the central element3251, and the second arm3254includes a threaded section3255that engages with a corresponding section of the central element3251. Thus, the turnbuckle's rotatable element3251may be turned to shorten or lengthen the support arm3234, which allows manual adjustment of the cable tension and the force applied to the BMASS element3210. The central element3251can be turned manually, and may include means for connecting a tool such as a wrench. For example, the central rotatable element3251may have parallel sides to allow a wrench to engage and rotate the element3250. During an inspection of the tension in the cable, an inspector could easily use a wrench and turn the central nut left or right, to expand or shorten the support arm3234. Although typically a turnbuckle is used to create tension, in this embodiment it is utilized to create compressive forces in the support arm3234, which translates the tension in the cable3220to the BMASS element3210via the rigid first and second arms3252,3254. In other words, the compressive forces in the support arm3234can be selected to provide strength to the structural assembly3200in appropriate positions on the BMASS element3210, and make adjustments to the configuration of the BMASS element3210, which has some flexibility. For example, adjustments can be made to add or maintain curvature, or correct distortions. FIG.33is a perspective side view of another embodiment of a BMASS beam assembly3300that includes an adjustable support arm3330, which advantageously allows the cable tension to be adjusted during and after installation. The BMASS beam assembly3300is similar to the BMSS beam assembly described with reference toFIGS.20-23, except for the adjustable support arm3330. The BMASS beam assembly3300includes a collar that defines an interior cylindrical opening3233having a size that fits around the cylindrical outer surface of the BMASS element3310that defines a first end3311and a second end3312. First and second end caps3341,3342are situated respectively on the first and second ends3311,3312. The cable3330is connected to the first end cap3341and the second end cap3342by any suitable means. The cable3330may be made of metal, fiber, or any suitable material, with a strength designed to meet load requirements. Stainless steel is one preferred material for the cable. One or more braces3330are positioned between the cable3320and the BMASS element3310to hold the cable3320, transfer force from the cable3320to the BMASS element3310, and generally provide support for the beam assembly3300. In this embodiment, three braces3330are provided, in other embodiments, another number of braces3330may be provided. Each of the braces3330includes a collar3332, an adjustable support arm3334, and a cable pass-through3336. FIG.34is a magnified perspective view of one of the braces3330. InFIG.33, the adjustable support arms3334include a length-adjusting mechanism3350connected to a first arm3352and a second arm3354. The first arm3352is connected to the collar3332, and the second arm3354is connected to the cable pass-through3336. The length-adjuster3350includes a mechanism, and may be a single unit as described below inFIGS.35and36, to control the length of the support arm3332. In some embodiments, the length-adjuster3350operates manually; in other embodiments it may be operated remotely. In embodiments that operate remotely, to control the length, the length-adjuster3350is connected to a Length Control Unit3360, by any suitable connection3362, such as a wired connection (including antennas and transmitters, or a wireless connection and associated circuitry. The Length Control Unit3360includes suitable circuitry to perform its functions, including controlling the length of the support arm3320responsive to an appropriate input. FIG.35is a perspective view of one embodiment of a length adjuster3350, andFIG.36is a perspective view of another embodiment of the length adjuster3350.FIGS.35and36both include cutaway sections to show the inside mechanisms. In the adjustable arm embodiment ofFIG.35(labeled3334a), the length adjuster3350aincludes a threaded bolt3510that can be turned manually, such as by a wrench on the head of the bolt (not shown), or remotely by a rotating mechanism such as a motor (not shown) to control the length of the support arm3334a. In the adjustable arm embodiment ofFIG.36(labeled3334b), the length adjuster3350bincludes a rack and pinion mechanism including a central gear3610that may be rotated by a motor or other mechanism (not shown). The central gear3610engages with corresponding ridged sections3620formed in the first and second arms3352b,3354b. By rotating the central gear3610manually or remotely, the first and second arms can be extended or retracted. FIG.38is a perspective view of an alternative embodiment of a brace3830in which a collar3832includes a clamp that attaches to a BMASS element (not shown). Particularly, the collar3832includes a half cylindrical upper bracket3850and a half cylindrical lower bracket3852that can be attached together and affixed to the BMASS element, which is situated in an opening3833. The upper bracket3850includes a pair of flanges3851which fit adjacent to a pair of flanges3853in the lower bracket3853. A bolt and nut3856can be affixed through a hole in the flanges to clamp the upper and lower brackets together on the BMASS element. This clamped collar configuration can be utilized in any of braces described herein. InFIG.38, the brace3830includes a support arm3834connected to the collar3832and a cable pass-through3836connected to the support arm3834. The pass-through3836that has an opening3837through a cable can pass. Many different embodiments of the BMASS beam assembly and the BMASS column assembly can be created using the principles disclosed herein. For example, BMASS beam assemblies and BMASS column assemblies can be connected at their ends using conventional techniques (such as clamps) for joining columns and beams, to create many different structures. (14) GENERAL Terms and phrases used in this document, and variations thereof, unless otherwise expressly stated, should be construed as open-ended as opposed to limiting. As examples of the foregoing: the term “including” should be read as meaning “including, without limitation” or the like; the term “example” is used to provide examples of instances of the item in a discussion, not an exhaustive or limiting list thereof; the terms “a” or “an” should be read as meaning “at least one,” “one or more” or the like; and adjectives such as “conventional,” “traditional,” “normal,” “standard,” “known” and terms of similar meaning should not be construed as limiting the item described to a given time period or to an item available as of a given time, but instead should be read to encompass conventional, traditional, normal, or standard technologies that may be available or known now or at any time in the future. Likewise, where this document refers to technologies that would be apparent or known to one of ordinary skill in the art, such technologies encompass those apparent or known to the skilled artisan now or at any time in the future. A group of items linked with the conjunction “and” should not be read as requiring that each and every one of those items be present in the grouping, but rather should be read as “and/or” unless expressly stated otherwise. Similarly, a group of items linked with the conjunction “or” should not be read as requiring mutual exclusivity among that group, but rather should also be read as “and/or” unless expressly stated otherwise. Furthermore, although items, elements, or components of the disclosed method and apparatus may be described or claimed in the singular, the plural is contemplated to be within the scope thereof unless limitation to the singular is explicitly stated. The presence of broadening words and phrases such as “one or more,” “at least,” “but not limited to” or other like phrases in some instances shall not be read to mean that the narrower case is intended or required in instances where such broadening phrases may be absent. The use of the term “module” does not imply that the components or functionality described or claimed as part of the module are all configured in a common package. Indeed, any or all of the various components of a module, whether control logic or other components, can be combined in a single package or separately maintained and can further be distributed in multiple groupings or packages or across multiple locations. Additionally, the various embodiments set forth herein are described with the aid of block diagrams, flow charts, and other illustrations. As will become apparent to one of ordinary skill in the art after reading this document, the illustrated embodiments and their various alternatives can be implemented without confinement to the illustrated examples. For example, block diagrams and their accompanying description should not be construed as mandating a particular architecture or configuration. (15) PROGRAMMABLE EMBODIMENTS Some or all aspects of the invention, for example aspects of the algorithmic characteristics of the invention, may be implemented in hardware or software, or a combination of both (e.g., programmable logic arrays). Unless otherwise specified, the algorithms included as part of the invention are not inherently related to any particular computer or other apparatus. In particular, various general purpose computing machines may be used with programs written in accordance with the teachings herein, or it may be more convenient to use a special purpose computer or special-purpose hardware (such as integrated circuits) to perform particular functions. Thus, embodiments of the invention may be implemented in one or more computer programs (i.e., a set of instructions or codes) executing on one or more programmed or programmable computer systems (which may be of various architectures, such as distributed, client/server, or grid) each comprising at least one processor, at least one data storage system (which may include volatile and non-volatile memory and/or storage elements), at least one input device or port, and at least one output device or port. Program instructions or code may be applied to input data to perform the functions described in this disclosure and generate output information. The output information may be applied to one or more output devices in known fashion. Each such computer program may be implemented in any desired computer language (including machine, assembly, or high-level procedural, logical, or object-oriented programming languages) to communicate with a computer system, and may be implemented in a distributed manner in which different parts of the computation specified by the software are performed by different computers or processors. In any case, the computer language may be a compiled or interpreted language. Computer programs implementing some or all of the invention may form one or more modules of a larger program or system of programs. Some or all of the elements of the computer program can be implemented as data structures stored in a computer readable medium or other organized data conforming to a data model stored in a data repository. Each such computer program may be stored on or downloaded to (for example, by being encoded in a propagated signal and delivered over a communication medium such as a network) a tangible, non-transitory storage media or device (e.g., solid state memory media or devices, or magnetic or optical media) for a period of time (e.g., the time between refresh periods of a dynamic memory device, such as a dynamic RAM, or semi-permanently or permanently), the storage media or device being readable by a general or special purpose programmable computer or processor for configuring and operating the computer or processor when the storage media or device is read by the computer or processor to perform the procedures described above. The inventive system may also be considered to be implemented as a non-transitory computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer or processor to operate in a specific or predefined manner to perform the functions described in this disclosure. | 63,451 |
11859387 | DETAILED DESCRIPTION OF THE INVENTION To promote an understanding of the principles of the present invention, descriptions of specific embodiments of the invention follow and specific language is used to describe the specific embodiments. It will nevertheless be understood that no limitation of the scope of the invention is intended by the use of specific language. Alterations, further modifications, and such further applications of the principles of the present invention discussed are contemplated as would normally occur to one ordinarily skilled in the art to which the invention pertains. In the figures, like reference numbers represent the same component. FIG.1is a top view of an exemplary embodiment of the present invention illustrating a roof curb with cantilever device/top roof curb/roof curb device10mounted on an upstanding support curb (seeFIG.2). Objects24,26which can be supported according to the present invention includes any suitable objects/articles including, but not limited to, screening, architectural screening, security screening, rails, guard rails, security rails, ladders, stairs, scaffolding, safety railing, platforms, signage, louvered screening, sound dampening, filtration, etc. For simplicity, such screening will be referred to herein as an “object” or “objects” although it is to be understood that such screening may provide various benefits. Illustrated for this exemplary roof curb device10(which is supported by upstanding support curb40ofFIG.2) are top curb/top roof curb12, top curb outer surface14, top curb inner surface16(wherein surfaces14,16, define sidewalls of the curb), cavity18, support members20, support member/screen junction/connector22, and object(s)24,26. FIG.2illustrates a side view of the exemplary embodiment ofFIG.1. Illustrated is roof curb device10situated above upstanding support curb40, which is registered with roof42. Gap44allows for placement of roofing materials without those materials being impacted/contacted by any of: upstanding support curb40, top curb12, support members20, or object(s)24,26. Such a configuration allows top curb12(and other members) to be located such as to allow space for the termination of the chosen roof material (asphalt, shingles, epdm, etc.) and does not interfere with or come into contact with those roof materials at all in either installation or during operation. This also allows for roof maintenance without need to relocate any of the components of the present invention. Also illustrated is nailer46. In this embodiment, top curb12may be placed on top of, and in contact with and supported wholly by, support curb40. Support members20may be positioned as desired to extend generally horizontally from the curb. The support members are held in place (and supported by) the curb. In this embodiment, there are two (or more) support members in order to hold and maintain the object(s). Any suitable number of supports and objects may be incorporated into a particular embodiment. In some embodiments, the support members may extend all of the way through the cavity of the top curb (such as illustrated in the embodiment ofFIG.1), whereas in other embodiments, the support member may extend only to the inner surface of the top curb. In yet other embodiments, the support members may extend only to an outer surface of the curb to which it is attached/connected. In some embodiments, i.e., particularly with both a support curb and a top curb, the support members may be completely supported by the top curb such that the support members are not in direct contact with the support curb. The objects of the various embodiments may be of any suitable dimension, configuration, material, etc. In the embodiment ofFIGS.1and2, the objects are secured to the top curb without attachment to the underlying roof, the equipment thereon, or the support curb. FIG.3is a side view of the embodiment ofFIG.1illustrating use with a service platform. FIG.4is a side view of the embodiment ofFIG.1illustrating use with a screen. FIG.5is a side view of the embodiment ofFIG.1illustrating use with a security cage.As illustrated, the objects may be cantilevered from the top curb without need of additional supports (beyond the one or more support members). In another embodiment, the features of the top curb may be incorporated into the support curb (or even unitary therewith). Note that while many upstanding support curbs may present a rectangular upper surface, other geometries may also be utilized with various embodiments of the present invention and other geometric changes may be incorporated into a particular embodiment. The support curb may have a predetermined shape and a predetermined top surface geometry, and the top curb has a predetermined bottom geometry which mates with the top surface geometry. Such mating allows for a good fit, made airtight through seals (or other means). Additionally, there may be ducting, wiring, etc. which may be located in the cavity of the top curb (and also support curb) for the HVAC or other equipment/needs. Various members of the present invention may be comprised partially, or wholly, of any suitable material including, but not limited to, steel, structural steel, rolled steel, sheet steel, plastic, rubber and aluminum. Although various components of the present invention may be illustrated as being of a particular shape for convenience, such components may be of any suitable shape, configuration, orientation, etc. Various components of the disclosed embodiments may be attached to each other, or to other members, by any suitable means including, but not limited to, welding, bolting, and bonding. While the specification has been described in detail with respect to specific embodiments thereof, it will be appreciated that those skilled in the art, upon attaining an understanding of the foregoing, may readily conceive of alterations to, variations of, and equivalents to these embodiments. | 5,973 |
11859388 | DETAILED DESCRIPTION OF THE EMBODIMENT The following detailed description is merely exemplary in nature and is not intended to limit the described embodiments of the application and uses of the described embodiments. As used herein, the word “exemplary” or “illustrative” means “serving as an example, instance, or illustration.” Any implementation described herein as “exemplary” or “illustrative” is not necessarily to be construed as preferred or advantageous over other implementations. All of the implementations described below are exemplary implementations provided to enable persons skilled in the art to practice the disclosure and are not intended to limit the scope of the appended claims. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief summary or the following detailed description. Detailed reference will now be made to one or more potential embodiments of the disclosure, which are illustrated inFIGS.1through7. The gutter-cleaning device100(hereinafter invention) is configured for use in removing debris172from a gutter171. The invention100discharges a flow of water into the gutter171that washes away the debris172. The invention100is an extension apparatus that extends the reach of a client173such that the client173can discharge the flow of water into the gutter171while standing on the ground. The invention100comprises a plurality of pipes101, a plurality of fittings102, a hose103, and a nozzle104. The plurality of fittings102interconnect the plurality of pipes101to form a fluid network. The fluid network is a fluid series circuit that transports water received under pressure from the hose103to the nozzle104. The nozzle104discharges the water into the gutter171that washes away the debris172. The gutter171is defined elsewhere in this disclosure. The debris172is defined elsewhere in this disclosure. The client173is defined elsewhere in this disclosure. The plurality of pipes101forms a fluidic network that transports a flow of water from the hose103to the nozzle104. The plurality of pipes101receives water under pressure from the hose103. The fluidic network formed by the plurality of pipes101transports the received water to the nozzle104through a fluidic series circuit. The plurality of pipes101forms an extension structure that extends the reach between the client173and the nozzle104such that the client173inserts the nozzle104into the gutter171while the client173is standing on the ground. The plurality of pipes101comprises a first pipe111, a second pipe112, and a third pipe113. The first pipe111is a prism-shaped structure. The first pipe111is a hollow structure. The first pipe111attaches to the tee connector121. The first pipe111forms an extension structure that extends the reach between the client173and the nozzle104. The client173manually raises the first pipe111to elevate the nozzle104above the client173. The first pipe111further comprises a first congruent end151and a second congruent end152. The first congruent end151is the inferior open end of the pipe structure of the first pipe111. The first congruent end151is the free end of the first pipe111. The second congruent end152is the superior open end of the pipe structure of the first pipe111. The second congruent end152is the end of the first pipe111that attaches to the tee connector121. The second pipe112is a prism-shaped structure. The second pipe112is a hollow structure. The second pipe112forms a fluidic connection between the hose103and the tee connector121. The second pipe112transports water received from the hose103to the tee connector121. The second pipe112is formed with a 90 degree bend. The 90 degree bend is defined elsewhere in this disclosure. The second pipe112further comprises a third congruent end153and a fourth congruent end154. The third congruent end153is an open end of the pipe structure of the second pipe112. The third congruent end153is the open end of the second pipe112that attaches to the hose103. An element of the threaded connection131attaches to the third congruent end153of the second pipe112such that the hose103can be screwed into the third congruent end153to form the threaded connection131. The fourth congruent end154is an open end of the pipe structure of the second pipe112. The fourth congruent end154is the open end of the second pipe112that attaches to the tee connector121. The third pipe113is a prism-shaped structure. The third pipe113is a hollow structure. In the first potential embodiment of the disclosure, the third pipe113forms a fluidic connection between the tee connector121and the 90 degree elbow122. The third pipe113transports water received from the tee connector121to the 90 degree elbow122. The third pipe113further comprises a fifth congruent end155and a sixth congruent end156. The fifth congruent end155is an open end of the pipe structure of the third pipe113. The fifth congruent end155is the open end of the third pipe113that attaches to the tee connector121. The sixth congruent end156is an open end of the pipe structure of the third pipe113. In the first potential embodiment of the disclosure, the sixth congruent end156is the open end of the third pipe113that attaches to the 90 degree elbow122. In a second potential embodiment of the disclosure, the plurality of pipes101further comprises a fourth pipe114. The fourth pipe114is a prism-shaped structure. The fourth pipe114is a hollow structure. In the second potential embodiment of the disclosure, the fourth pipe114forms a fluidic connection between the third pipe113and the 90 degree elbow122. The fourth pipe114transports water received from the third pipe113to the 90 degree elbow122. The third pipe113is further defined with an inner dimension. The fourth pipe114is further defined with an outer dimension. The third pipe113and the fourth pipe114are geometrically similar. The span of the outer dimension of the fourth pipe114is less than the span of the inner dimension of the third pipe113such that the fourth pipe114inserts into the third pipe113in a telescopic manner to form a composite prism structure. In the second potential embodiment of the disclosure, the span of the length of between the tee connector121and the 90 degree elbow122adjusts by adjusting the relative position of the fourth pipe114within the third pipe113. The fourth pipe114further comprises a seventh congruent end157and an eighth congruent end158. The seventh congruent end157is an open end of the pipe structure of the fourth pipe114. The seventh congruent end157is the open end of the fourth pipe114that inserts into the third congruent end153of the third pipe113. The eighth congruent end158is an open end of the pipe structure of the fourth pipe114. The eighth congruent end158is the open end of the fourth pipe114that attaches to the 90 degree elbow122. In the second potential embodiment of the disclosure, the third pipe113forms a fluidic connection between the tee connector121and the fourth pipe114. The third pipe113transports water received from the tee connector121to the fourth pipe114. Each of the plurality of fittings102is a fitting. The fitting is defined elsewhere in this disclosure. Each of the plurality of fittings102forms an attachment selected from the group consisting of: a) attaching an initial pipe selected from the plurality of pipes101to a subsequent pipe selected from the plurality of pipes101; and, b) simultaneously attaching the initially selected pipe to both a first subsequent pipe selected from the plurality of pipes101and a second subsequent pipe selected from the plurality of pipes101. The plurality of fittings102comprises a tee connector121and a 90 degree elbow122. The tee connector121is a three port fitting. Each port selected from the tee connector121attaches to a pipe selected from the plurality of pipes101. The tee connector121is defined elsewhere in this disclosure. The tee connector121further comprises a first port161, a second port162, and a third port163. The first port161forms a fluid port of the tee connector121. The second port162forms a fluid port of the tee connector121that is opposite to the first port161. By opposite to the first port161is meant that the center of the second port162aligns with the center of the first port161such that the line between the center of the second port162and the center of the first port161is parallel to the flow of water through the tee connector121. The third port163forms a port of the tee connector121that is offset from the first port161and the second port162. By offset is meant that: a) the center axis of the first pipe111aligns with the center point of the third port163such that the center axis of the first pipe111is perpendicular to the line formed between the center of the first port161and the center of the second port162. The third port163forms a capped tubular structure such that water will not flow through the third port163. The fourth congruent end154of the second pipe112forms a fluidic connection with the first port161of the tee connector121. The fifth congruent end155of the third pipe113forms a fluidic connection with the second port162of the tee connector121. The second congruent end152of the first pipe111physically attaches to the third port163of the tee connector121. The second congruent end152of the first pipe111does not form a fluidic connection with the third port163of the tee connector121. The 90 degree elbow122is a two port fitting. The 90 degree elbow122forms a 90 degree bend. The 90 degree elbow122secures the nozzle104to a pipe selected from the plurality of pipes101. The 90 degree elbow122and the 90 degree bend are defined elsewhere in this disclosure. The 90 degree elbow122further comprises a fourth port164and a fifth port165. The fourth port164forms a fluid port of the 90 degree elbow122. The fifth port165forms a fluid port of the 90 degree elbow122that is opposite to the fourth port164. By opposite to the fourth port164is meant that position of the fifth port165relative to the flow path of the water through the 90 degree elbow122is at a location that is distal from the fourth port164. The nozzle104attaches to the fifth port165of the 90 degree elbow122. The hose103is a prism-shaped structure. The hose103is a hollow structure. The hose103is a flexible structure. The hose103forms a fluidic connection with a pipe selected from the plurality of pipes101. The hose103discharges water under pressure through the fluidic connection into the selected pipe. The hose103is defined elsewhere in this disclosure. The hose103further comprises a threaded connection131. The threaded connection131is a fitting that removably attaches the hose103to the second pipe112. In the first potential embodiment of the disclosure, the threaded connection131is a garden hose thread (GHT). The GHT is defined elsewhere in this disclosure. The threaded connection131is defined elsewhere in this disclosure. The nozzle104forms a fluidic connection with a pipe selected from the plurality of pipes101. The nozzle104receives water under pressure from the plurality of pipes101. The nozzle104discharges the water received under pressure from the plurality of pipes101into the gutter171. The water discharged by the nozzle104into the gutter171cleans the debris172out of the gutter171. The nozzle104further comprises an angled discharge port141. The angled discharge port141forms the physical discharge port of the nozzle104. The angled discharge port141is formed as a prismatic section. The prismatic section is defined elsewhere in this disclosure. The angled discharge port141controls the direction of the water flow at the point of discharge. The following two paragraphs summarize the assembly of the invention100. The first congruent end151is the free end of the first pipe111. The second congruent end152of the first pipe111attaches to the third port163of the tee connector121. The third congruent end153of the second pipe112attaches to the hose103using the threaded connection131. The fourth congruent end154of the second pipe112attaches to the first port161of the tee connector121. The fifth congruent end155of the third pipe113attaches to the second port162of the tee connector121. The nozzle104attaches to the fifth port165of the 90 degree elbow122. In the first potential embodiment of the disclosure, the sixth congruent end156of the third pipe113attaches to the fourth port164of the 90 degree elbow122. In the second potential embodiment of the disclosure, the sixth congruent end156of the third pipe113attaches to the seventh congruent end157of the fourth pipe114. The seventh congruent end157of the fourth pipe114inserts into the sixth congruent end156of the third pipe113. The eighth congruent end158of the fourth pipe114attaches to the fourth port164to the 90 degree elbow122. The following definitions were used in this disclosure: 90 Degree Bend: As used in this disclosure, a 90 degree bend refers to a prism structure with a constant diameter that has a 90 degree cant formed in the center axis of the prism structure at a location between the two congruent ends of the prism structure. 90 Degree Elbow: As used in this disclosure, a 90 degree elbow is a two aperture fitting that attaches a first pipe to a second pipe such that the center axis of the first pipe is perpendicular to the center axis of the second pipe. Align: As used in this disclosure, align refers to an arrangement of objects that are: 1) arranged in a straight plane or line; 2) arranged to give a directional sense of a plurality of parallel planes or lines; or, 3) a first line or curve is congruent to and overlaid on a second line or curve. Capped Pipe: As used in this disclosure, a capped pipe is a pipe with one closed end and one open end. Center: As used in this disclosure, a center is a point that is: 1) the point within a circle that is equidistant from all the points of the circumference; 2) the point within a regular polygon that is equidistant from all the vertices of the regular polygon; 3) the point on a line that is equidistant from the ends of the line; 4) the point, pivot, or axis around which something revolves; or, 5) the centroid or first moment of an area or structure. In cases where the appropriate definition or definitions are not obvious, the fifth option should be used in interpreting the specification. Center Axis: As used in this disclosure, the center axis is the axis of a cylinder or a prism. The center axis of a prism is the line that joins the center point of the first congruent face of the prism to the center point of the second corresponding congruent face of the prism. The center axis of a pyramid refers to a line formed through the apex of the pyramid that is perpendicular to the base of the pyramid. When the center axes of two cylinder, prism or pyramidal structures share the same line they are said to be aligned. When the center axes of two cylinder, prism or pyramidal structures do not share the same line they are said to be offset. Client: As used in this disclosure, a client is an individual who is designated to receive the services of the disclosure at bar. Composite Prism: As used in this disclosure, a composite prism refers to a structure that is formed from a plurality of structures selected from the group consisting of a prism structure and a pyramid structure. The plurality of selected structures may or may not be truncated. The plurality of prism structures are joined together such that the center axes of each of the plurality of structures are aligned. The congruent ends of any two structures selected from the group consisting of a prism structure and a pyramid structure need not be geometrically similar. Congruent: As used in this disclosure, congruent is a term that compares a first object to a second object. Specifically, two objects are said to be congruent when: 1) they are geometrically similar; and, 2) the first object can superimpose over the second object such that the first object aligns, within manufacturing tolerances, with the second object. Correspond: As used in this disclosure, the term correspond is used as a comparison between two or more objects wherein one or more properties shared by the two or more objects match, agree, or align within acceptable manufacturing tolerances. Debris: As used in this disclosure, debris refers to an accumulation of loose and unwanted material on a surface. Disk: As used in this disclosure, a disk is a prism-shaped object that is flat in appearance. The disk is formed from two congruent ends that are attached by a lateral face. The sum of the surface areas of two congruent ends of the prism-shaped object that forms the disk is greater than the surface area of the lateral face of the prism-shaped object that forms the disk. In this disclosure, the congruent ends of the prism-shaped structure that forms the disk are referred to as the faces of the disk. Downspout: As used in this disclosure, a downspout is a vertically oriented pipe that transports water from the gutter associated with a pitched roof to a location distal from the building associated with the pitched roof. Downspout Connector: As used in this disclosure, a downspout connector is an inert mechanical structure that attaches a downspout to a gutter such that water will drain from the gutter into the downspout. Elbow: As used in this disclosure, an elbow is a fitting that interconnects a plurality of pipes such that the center axis of at least one pipe attached to the elbow intersects at a point with the center axis of each of the remaining pipes contained in the plurality of pipes. The aperture in an elbow that is intended to receive a pipe selected from the plurality of pipes is called a port. The elbow is commonly referred by the number of its port. For example, an elbow that is designed to interconnect four pipes is called a four port elbow. Extensible: As used in this disclosure, extensible is an adjective that describes an object made of sections that fit or together such that the object can be made longer or shorter by adjusting the relative positions of the sections. Extension Apparatus: As used in this disclosure, an extension apparatus is a mechanical structure that is used to extend or bridge the reach between any two objects. Extension Structure: As used in this disclosure, an extension structure is an inert physical structure that is used to extend or bridge the reach between any two objects. Fitting: As used in this disclosure, a fitting is a component that attaches a first object to one or more additional objects. The fitting is often used to forming a fluidic connection between the first object and the one or more additional objects. Fixed End: As used in this disclosure, a fixed end refers to the end of a shaft, pipe, or tube that is secured to an object. Flow: As used in this disclosure, a flow refers to the passage of a fluid past a fixed point. This definition considers bulk solid materials as capable of flow. Fluid: As used in this disclosure, a fluid refers to a state of matter wherein the matter is capable of flow and takes the shape of a container it is placed within. The term fluid commonly refers to a liquid or a gas. Fluidic Connection: As used in this disclosure, a fluidic connection refers to a tubular structure that transports a fluid from a first object to a second object. Methods to design and use a fluidic connections are well-known and documented in the mechanical, chemical, and plumbing arts. Fluid Network: As used in this disclosure, a fluid network refers to a transport structure that: a) receives a fluid into the fluid network; b) transports the fluid through a series of pipes, valves, and manifolds; and, c) discharges the fluid from the fluid network. Fluid Series Circuit: As used in this disclosure, a fluid series circuit refers to a method of connecting a plurality of fluid network elements that are connected to form a single fluid transport path from a first point to a second point in a fluid network. Force of Gravity: As used in this disclosure, the force of gravity refers to a vector that indicates the direction of the pull of gravity on an object at or near the surface of the earth. Form Factor: As used in this disclosure, the term form factor refers to the size and shape of an object. Free End: As used in this disclosure, a free end refers to the end of a disk, shaft, pipe, or tube that is not secured to an object. Gas: As used in this disclosure, a gas refers to a state (phase) of matter that is fluid and that fills the volume of the structure that contains it. Stated differently, the volume of a gas always equals the volume of its container. Geometrically Similar: As used in this disclosure, geometrically similar is a term that compares a first object to a second object wherein: 1) the sides of the first object have a one to one correspondence to the sides of the second object; 2) wherein the ratio of the length of each pair of corresponding sides are equal; 3) the angles formed by the first object have a one to one correspondence to the angles of the second object; and, 4) wherein the corresponding angles are equal. The term geometrically identical refers to a situation where the ratio of the length of each pair of corresponding sides equals 1. GHT: As used in this disclosure, GHT refers to a standard Garden Hose Thread. The GHT is a threaded connection standard that is used in the United States for attaching a garden hose to a water supply or for attaching one or a plurality of attachments to the garden hose. Ground: As used in this disclosure, the ground is a solid supporting surface formed by the Earth. The term level ground means that the supporting surface formed by the ground is roughly perpendicular to the force of gravity. Gutter: As used in this disclosure, a gutter is a trough that is placed under the edge of a roof such that the gutter will transport water away from the roof to a downspout. Horizontal: As used in this disclosure, horizontal is a directional term that refers to a direction that is either: 1) parallel to the horizon; 2) perpendicular to the local force of gravity, or, 3) parallel to a supporting surface. In cases where the appropriate definition or definitions are not obvious, the second option should be used in interpreting the specification. Unless specifically noted in this disclosure, the horizontal direction is always perpendicular to the vertical direction. Hose: As used in this disclosure, a hose is a flexible hollow tube that is used for transporting liquids and gases. When referring to a hose in this disclosure, the terms inner diameter and outer diameter are used as they would be used by those skilled in the plumbing arts. Inferior: As used in this disclosure, the term inferior refers to a directional reference that is parallel to and in the same direction as the force of gravity when an object is positioned or used normally. Inner Dimension: As used in this disclosure, the term inner dimension describes the span from a first inside or interior surface of a container to a second inside or interior surface of a container. The term is used in much the same way that a plumber would refer to the inner diameter of a pipe. Liquid: As used in this disclosure, a liquid refers to a state (phase) of matter that is fluid and that maintains, for a given pressure, a fixed volume that is independent of the volume of the container. Load: As used in this disclosure, the term load refers to an object upon which a force is acting or which is otherwise absorbing energy in some fashion. Examples of a load in this sense include, but are not limited to, a mass that is being moved a distance or an electrical circuit element that draws energy. The term load is also commonly used to refer to the forces that are applied to a stationary structure. Load Path: As used in this disclosure, a load path refers to a chain of one or more structures that transfers a load generated by a raised structure or object to a foundation, supporting surface, or the earth. Negative Space: As used in this disclosure, negative space is a method of defining an object through the use of open or empty space as the definition of the object itself, or, through the use of open or empty space to describe the boundaries of an object. Nozzle: As used in this disclosure, a nozzle is a device that receives fluid under pressure and releases the fluid in a controlled manner into an environment. Offset: As used in this disclosure, an offset refers to two objects that are not in alignment. One to One: When used in this disclosure, a one to one relationship means that a first element selected from a first set is in some manner connected to only one element of a second set. A one to one correspondence means that the one to one relationship exists both from the first set to the second set and from the second set to the first set. A one to one fashion means that the one to one relationship exists in only one direction. Outer Dimension: As used in this disclosure, the term outer dimension describes the span from a first exterior or outer surface of a tube or container to a second exterior or outer surface of a tube or container. The term is used in much the same way that a plumber would refer to the outer diameter of a pipe. Pan: As used in this disclosure, a pan is a hollow and prism-shaped containment structure. The pan has a single open face. The open face of the pan is often, but not always, the superior face of the pan. The open face is a surface selected from the group consisting of: a) a congruent end of the prism structure that forms the pan; and, b) a lateral face of the prism structure that forms the pan. A semi-enclosed pan refers to a pan wherein the closed end of prism structure of the pan and/or a portion of the closed lateral faces of the pan is are open. Perimeter: As used in this disclosure, a perimeter is one or more curved or straight lines that bounds an enclosed area on a plane or surface. The perimeter of a circle is commonly referred to as a circumference. Phase: As used in this disclosure, phase refers to the state of the form of matter. The common states of matter are solid, liquid, gas, and plasma. Pipe: As used in this disclosure, a pipe is a hollow prism-shaped device that is suitable for use in transporting a fluid. The line that connects the center of the first base of the prism to the center of the second base of the prism is referred to as the axis of the prism or the centerline of the pipe. When two pipes share the same centerline they are said to be aligned. In this disclosure, the terms inner dimension of a pipe and outer dimension are used as they would be used by those skilled in the plumbing arts. Port: As used in this disclosure, a port is an aperture formed in an object that allows fluid to flow through the boundary of the object. Prism: As used in this disclosure, a prism is a three-dimensional geometric structure wherein: 1) the form factor of two faces of the prism are congruent; and, 2) the two congruent faces are parallel to each other. The two congruent faces are also commonly referred to as the ends of the prism. The surfaces that connect the two congruent faces are called the lateral faces. In this disclosure, when further description is required a prism will be named for the geometric or descriptive name of the form factor of the two congruent faces. If the form factor of the two corresponding faces has no clearly established or well-known geometric or descriptive name, the term irregular prism will be used. The center axis of a prism is defined as a line that joins the center point of the first congruent face of the prism to the center point of the second corresponding congruent face of the prism. The center axis of a prism is otherwise analogous to the center axis of a cylinder. A prism wherein the ends are circles is commonly referred to as a cylinder. Prismatic Section: As used in this disclosure, a prismatic section refers to either one of the two objects formed by the bifurcation of a prism or pyramid by a plane that: 1) passes through the center axis of the prism or pyramid; and 2) does not perpendicularly intersect the center axis of the prism or pyramid. The angle of the prismatic section is the angle formed between the bifurcating plane and a line that is perpendicular to the center axis of the prism. A non-Euclidean prismatic section refers to a prismatic section that is bifurcated by a non-Euclidean plane. Reach: As used in this disclosure, reach refers to a span of distance between any two objects. Solid: As used in this disclosure, a solid refers to a state (phase) of matter that: 1) has a fixed volume; and, 2) does not flow. Spray: As used in this disclosure, a spray is a plurality of liquid drops dispersed in a gas. Spray Nozzle: As used in this disclosure, a spray nozzle is a device that receives liquid under pressure and disperses that liquid into the atmosphere as a spray. Stanchion: As used in this disclosure, a stanchion refers to a vertically oriented prism-shaped pole, post, or support. Superior: As used in this disclosure, the term superior refers to a directional reference that is parallel to and in the opposite direction of the force of gravity when an object is positioned or used normally. Supporting Surface: As used in this disclosure, a supporting surface is a horizontal surface upon which an object is placed and to which the load of the object is transferred. This disclosure assumes that an object placed on the supporting surface is in an orientation that is appropriate for the normal or anticipated use of the object. Tee Connector: As used in this disclosure, a Tee Connector is a three aperture fitting that is designed to connect a first pipe, a second pipe and a third pipe such that: 1) the center axis of the first pipe is aligned with the center axis of the second pipe; 2) the center axis of the third pipe is perpendicular to the aligned center axes of the first pipe and the second pipe; and, 3) the center axes of the first pipe, the second pipe, and the third pipe intersect at a single point. The tee connector is a commercially available plumbing and PVC pipe fitting. Telescopic: As used in this disclosure, telescopic is an adjective that describes a composite prism structure made of hollow prism-shaped sections that fit or slide into each other such that the composite prism structure can be made longer or shorter by adjusting the relative positions of the hollow prism-shaped sections. Threaded Connection: As used in this disclosure, a threaded connection is a type of fastener that is used to join a first cylindrical object and a second cylindrical object together. The first cylindrical object is fitted with a first fitting selected from an interior screw thread or an exterior screw thread. The second cylindrical object is fitted with the remaining screw thread. The cylindrical object fitted with the exterior screw thread is placed into the remaining cylindrical object such that: 1) the interior screw thread and the exterior screw thread interconnect; and, 2) when the cylindrical object fitted with the exterior screw thread is rotated the rotational motion is converted into linear motion that moves the cylindrical object fitted with the exterior screw thread either into or out of the remaining cylindrical object. The direction of linear motion is determined by the direction of rotation. Trough: As used in this disclosure, a trough is an open receptacle that is used to: 1) store a fluid in a manner accessible to an animal; or, 2) to physically determine and limit the flow path of a fluid. The rain gutter commonly seen on a building is an example of a trough. Vertical: As used in this disclosure, vertical refers to a direction that is either: 1) perpendicular to the horizontal direction; 2) parallel to the local force of gravity; or, 3) when referring to an individual object the direction from the designated top of the individual object to the designated bottom of the individual object. In cases where the appropriate definition or definitions are not obvious, the second option should be used in interpreting the specification. Unless specifically noted in this disclosure, the vertical direction is always perpendicular to the horizontal direction. With respect to the above description, it is to be realized that the optimum dimensional relationship for the various components of the invention described above and inFIGS.1through7include variations in size, materials, shape, form, function, and manner of operation, assembly and use, are deemed readily apparent and obvious to one skilled in the art, and all equivalent relationships to those illustrated in the drawings and described in the specification are intended to be encompassed by the invention. It shall be noted that those skilled in the art will readily recognize numerous adaptations and modifications which can be made to the various embodiments of the present invention which will result in an improved invention, yet all of which will fall within the spirit and scope of the present invention as defined in the following claims. Accordingly, the invention is to be limited only by the scope of the following claims and their equivalents. | 33,465 |
11859389 | DETAILED DESCRIPTION The following detailed description is made with reference to the accompanying drawings and is provided to assist in a comprehensive understanding of various exemplary embodiments of the present invention. The following description includes various details to assist in that understanding, but these are to be regarded as merely examples. Accordingly, those of ordinary skill in the art will recognize that various changes and modifications of the examples described herein can be made without departing from the spirit and scope of the present disclosure. The terms and words used in the following description and claims are merely used to enable a clear and consistent understanding of the present invention. Accordingly, it should be apparent to those skilled in the art that the following description is provided for illustration purposes only, and not for the purpose of limiting the present invention as defined by the appended claims and their equivalents. FIG.1is a diagram illustrating the cross-section of a known luxury vinyl plank10. More specifically, the luxury vinyl plank (LVP)10includes a first edge12, a second edge14, a top layer16, a bottom padding layer18and a core layer20between the top16and bottom18layers. The first edge12includes a groove and the second edge14includes a protrusion or tongue. The top layer16can include an image and a clear coating. The image may be wood of a certain color. The bottom padding layer18can be any type of padding material, for example, rubber or cork. The core intermediate layer20may be a stone polymer or a wood plastic composite material. The distance between the first edge12and the second edge14is D. During installation the groove of the first edge12and the tongue of the second edge14of adjacent planks10snap-fit together in order to securely connect adjacent planks10together. The example flooring planks illustrated inFIGS.2,3and4are similar to the flooring plank illustrated inFIG.1. As such, features illustrated inFIGS.2,3and4that are identical to features illustrated inFIG.1are identified using the same reference numerals used inFIG.1. FIG.2is a cross-section of a modified flooring plank22made from the luxury vinyl plank10shown inFIG.1. However, the bottom padding layer18has been removed over about half (D/2) of the distance D as measured from the first edge12. Alternatively, the bottom padding layer18may be removed for any distance from the first edge12, or from the second edge14of the plank10that facilitates making a stair nose molding as described herein. For example, the bottom padding layer18may be removed one-third (D/3) of the distance of D from the first edge12of the plank10. The bottom padding layer18may be removed from the plank10by shaving or milling to expose the core layer20. FIG.3is a cross-section of an example stair nose molding24for matching the color and thickness of flooring planks according to an embodiment of the present disclosure. The stair nose molding24is similar to the modified flooring plank22shown inFIG.2. However, the stair nose molding24includes a curved part26along the second edge14. The curved part26may have a semicircular shape having a radius R. It is contemplated by the present disclosure that the radius R may be sized so the curved part26can fit snugly over the nose of any stair. For example, the radius R may be within a range of one-quarter of an inch (¼″) to one half an inch (½″). The stair nose molding24includes a first length28, the curved part26, and a second length30. The first length28is tangent to the curved part26at a first point of curvature32and the second length30is tangent to the curved part26at a second point of curvature34. The first length28and the second length30may be parallel to each other. FIG.4is a diagram illustrating the stair nose molding24installed on the nose36and tread38of a stair. A stair riser40extends below the stair nose molding24. FIG.5is a diagram illustrating a top view of an example molding machine42for making the example stair nose molding24according to an embodiment of the present disclosure. More specifically, the molding machine42includes a molding member44, a spacer46, a translating member48, a pressing member50, and a rotating member52. The molding member44, spacer46, translating member48, pressing member50, and rotating member52can be the same length L or can be different lengths. Two connecting elements54may be used to connect the rotating member52to the molding member44. Alternatively, any number of connecting elements54may be used that facilitates securely connecting the rotating member52to the molding member44. The molding member44includes a first side56and a second side58. The spacer46is fixedly connected to the first side56of the molding member44, for example, by screws and bolts (not shown). The translating member48is connected to the first side56of the molding member44using screws60. A bolt62is attached to each screw60. As the bolts62are tightened, the translating member48moves towards the first side56of the molding member44. Conversely, as the bolts62are loosened the translating member48moves away from the first side56of the molding member44. The pressing member50is attached to the translating member48. As a result, the pressing member50translates towards and away from the first side56of the molding member44with the translating member48. Four screws60can be used to movably connect the translating member48to the molding member44. The screws60may be equally spaced along the length L of the molding member44and the translating member48. It is contemplated by the present disclosure that any number of screws60may alternatively be used that facilitates creating a molding machine capable of making stair nose moldings24as described herein. For example, five or six screws60may alternatively be used. FIG.6is a diagram illustrating a cross-sectional view of the molding machine42cut along the section line A-A shown inFIG.5. More specifically, the molding member44includes a curved end64and a base end66in addition to the first side56and the second side58. The curved end64can be any type of curve including, but not limited to, a semicircle and an oval. When the curve is a semicircle, the semicircle may have any radius R that matches the nose of a stair. For example, the radius R may be in the range of one-quarter of an inch (¼″) to one half an inch (½″). A first base member68is attached to the first side56of the molding member44along a bottom portion of the molding member44. Additionally, a second base member70is attached to the second side58of the molding member44along the bottom portion of the molding member44. The first base member68has a rectangular cross section and a base72. The second base member70also has a rectangular cross section and a base74. It is contemplated by the present disclosure that the first68and second70base members are attached to the first56and second58sides, respectively, of the molding member44along a bottom portion of the molding member44such that the base72, the base74and the base end66are in the same plane to thus form a base of the molding machine42. The base members68and70may be attached to the molding member44in any manner including, but not limited to, using screws and bolts (not shown), nails, or an adhesive. The adhesive may be an adhesive used for construction or other industrial applications. The rotating member52has a rectangular cross section, a first side76and a second side78. The connecting elements54are attached to the second side78along a lower portion of the rotating member52. The connecting elements54also have a rectangular cross section, and have an inner side80and an outer side82. A hinge84rotatably connects the second base member70to the connecting element54and thus to the rotating member52. More specifically, one flange of the hinge84is attached to an outside surface86of the second base member70and the other flange of the hinge84is attached to the outer side82of the connecting element54. As a result of hingedly connecting the connecting element54to the second base member70, the first side76of the rotating member52can rotate towards and away from the second side58of the molding member44. The first side76of the rotating member52can be rotated to be parallel to and flush against the second side58of the molding member44. The spacer46has a rectangular cross section, a first side88, a second side90, and a bottom side92. The spacer46is attached to the molding member44such that the first side88of the spacer46faces the first side56of the molding member44. A shimming element94can be attached to the first side56of the molding member44at or near the bottom side92of the spacer46. The shimming element94is between the first side56of the molding member44and the first side88of the spacer46. The shimming element94may or may not be positioned flush against a top surface of the first base member68. The top part of the first side88of the spacer46is positioned against the first side56of the molding member44but the bottom of the first side88is separated from the first side56of the molding member44. The width of the shimming element94may vary. For example, the width of the shimming element94may be between one eighth of an inch (⅛″) and one half an inch (½″). It is contemplated by the present disclosure that the molding machine42may alternatively not include the shimming element94. In such embodiments, the first side88of the spacer46is flush against the first side56of the molding member44(not shown). The spacer46may be attached to the molding member44using, for example, screws, nails screws and bolts, an adhesive, or any combination thereof. The translating member48has a rectangular cross section and has a first side96, a second side98, and a top edge100. The pressing member50has a rectangular cross section and is attached to the first side96of the translating member at or proximate the top edge100. A top surface of the pressing member50may be in the same plane as the top edge100of the translating member48. The translating member48is movably connected to the molding member44using the screws60. The bolts62can press against the second side98of the translating member48. As a result, when the bolts62are tightened, each bolt imparts a force on the translating member48which causes the translating member48to move or translate towards the molding member44. Conversely, when the bolts62are loosened the translating member48may move or translate away from the molding member44. The pressing member50also translates towards and away from the molding member44with the translating member48. Thus, for example, after the bolts62have moved far enough along the length of the screw60during tightening, a first side102of the pressing member50can contact the first side56of the molding member44such that the first side102is parallel to the first side56of the molding member44. The information shown inFIG.7is the same information shown inFIG.6as described in more detail below. As such, features illustrated inFIG.7that are identical to features illustrated inFIG.6are identified using the same reference numerals used inFIG.6. FIG.7is a diagram illustrating the cross-sectional view of the molding machine42as shown inFIG.6further including the modified flooring plank22positioned flush against the first side56of the molding member44. Additionally, the rotating member52is rotated away from the molding member44. The modified flooring plank22is positioned in the molding machine42to be manipulated and/or otherwise made into the stair nose molding24. Before positioning the modified flooring plank22in the molding machine42, the plank22should be heated. Heating the flooring plank22causes the plank22to be more pliable and easier to bend and otherwise manipulated. The plank22may be heated to a temperature within the range of one hundred eighty to two hundred degrees Fahrenheit. Alternatively, any temperature or range of temperatures may be used that adequately heats the plank22into a pliable state satisfactory for bending and otherwise manipulating the modified flooring plank22into the stair nose molding24. FIG.8is a diagram illustrating the cross-sectional view of the molding machine42as shown inFIG.7while making the stair nose molding24according to an embodiment of the present disclosure. More specifically, after the modified flooring plank22is placed against the first side56of the molding member44the bolts62are tightened to cause the translating member48and the pressing member50to move or translate towards the first side56of the molding member44. However, because the modified flooring plank22is positioned against the first side56of the molding member44, the first side102of the pressing member50presses against the modified flooring plank22instead of against the first side56of the molding member44. The pressing member50thereby applies pressure to the modified flooring plank22which forces the plank22against the first side56of the molding member44. As a result, the modified flooring plank22is securely held in place between the pressing member50and the molding member44. Next, the modified flooring plank22is bent and/or otherwise manipulated about the curved end64such that part of the modified flooring plank22fits snugly about the curved end64and the remaining part of the flooring plank22is roughly parallel to the second side58of the molding member44. The rotating member52is rotated such that the first side76of the rotating member52contacts the modified flooring plank22. Next, clamps104are applied to the machine42. More specifically, the arms of the clamps104exert a force on the translating member48and the rotating member52. The rotating member52in turn presses against, and thus applies pressure to the remaining part of the plank22roughly parallel to the second side58of the molding member44. The applied pressure forces the remaining part of the modified plank22against the second side58of the molding member44. Thus, the stair nose molding24is created from the modified flooring plank22. After applying pressure from the clamps104for a set period of time, the clamps104are removed and the stair nose molding24is removed from the molding machine42. The clamps104may apply pressure against the translating member48and the rotating member52for any period of time that facilitates quickly making the stair nose molding24as described herein, for example, between one and five minutes. The stair nose molding24may be air cooled while in the molding machine42before being removed. Any number of clamps104may be positioned along the length L of the molding machine42. For example, four clamps104may be positioned along the length L of the molding machine42. FIG.9is a flowchart illustrating an example method for making the stair nose molding24according to an embodiment of the present disclosure. In step S1, a flooring plank is obtained. The obtained flooring plank may be a luxury vinyl plank (LVP) or any other material from which flooring planks may be made. The flooring plank has a core layer20and a padding layer18covering the core layer20. The flooring plank can include a first edge12and a second edge14. Next, in step S2, the padding later18is milled off the flooring plank along the first edge12of the flooring plank and then, in step S3, the modified flooring plank22is heated. Heating the flooring plank22causes the plank22to be more pliable and easier to bend and otherwise manipulated. The plank22may be heated to a temperature within the range of one hundred eighty to two hundred degrees Fahrenheit. Alternatively, any temperature or range of temperatures may be used that adequately heats the plank22into a pliable state satisfactory for bending and otherwise manipulating the modified flooring plank into the stair nose molding24. Next, in step S4, the first edge of the flooring plank is positioned against the first side56of the molding member44. More specifically, after the modified flooring plank22is placed against the first side56of the molding member44the bolts62are tightened to cause the translating member48and the pressing member50to move or translate towards the first side56of the molding member44. However, because the modified flooring plank22is positioned against the first side56of the molding member44, the first side102of the pressing member50presses against the modified flooring plank22instead of against the first side56of the molding member44. The pressing member50thereby applies pressure to the modified flooring plank22which forces the plank22against the first side56of the molding member44. As a result, the modified flooring plank22is securely held in place between the pressing member50and the molding member44. In step S5, the flooring plank22is bent about the curved end64of the molding member44to create the curved part26of the flooring plank. More specifically, the modified flooring plank22is bent and/or otherwise manipulated about the curved end64such that part of the modified flooring plank22fits snugly about the curved end64and, in step S6, the remaining part of the flooring plank22is positioned roughly parallel to the second side58of the molding member44. The rotating member52is rotated such that the first side76of the rotating member52contacts the modified flooring plank22. In step S7, pressure is applied to the remaining part of the flooring plank22adjacent the second side58of the molding member44and to the part of the flooring plank adjacent the first side56of the molding member44. More specifically, clamps104are applied to the machine42. Arms of the clamps104exert a force on the translating member48and the rotating member52. The rotating member52in turn presses against, and thus applies pressure to the remaining part of the plank22roughly parallel to the second side58of the molding member44. The applied pressure forces the remaining part of the modified plank22against the second side58of the molding member44. Thus, the stair nose molding24is created from the modified flooring plank22. After applying pressure from the clamps104for a set period of time, the clamps104are removed and the stair nose molding24is removed from the molding machine42. The clamps104may apply pressure against the translating member48and the rotating member52for any period of time that facilitates quickly making the stair nose molding24as described herein, for example, between one and five minutes. The stair nose molding24may be air cooled while in the molding machine42before being removed. The method for making a stair nose molding described herein enables quickly and economically making a stair nose molding that matches the color and thickness of flooring planks, is easy to install, enhances the natural appearance of stairs, and lengthens the useful life of stairs. Although the molding member44, spacer46, translating member48, pressing member50, rotating member52, and connecting elements54are described herein as having rectangular cross sections, alternatively, the molding member44, spacer46, translating member48, pressing member50, rotating member52, and connecting elements54may have any other cross section that enables the molding machine42to create stair nose moldings24as described herein, for example, a square cross section. The molding member44, spacer46, translating member48, pressing member50, rotating member52, connecting elements54, and base members68and70may be made from any material capable of enabling the molding machine44to make stair nose moldings24as described herein, for example, wood or plastic. The above description provides examples, and is not limiting of the scope, applicability, or configuration set forth in the claims. Changes may be made in the function and arrangement of elements discussed without departing from the spirit and scope of the disclosure. Various embodiments may omit, substitute, or add various procedures or components as appropriate. For instance, features described with respect to certain embodiments may be combined in other embodiments. | 20,073 |
11859390 | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION FIG.1represents a floor panel1according to the invention, which can be applied for forming a floor covering. The floor panel1is oblong and comprises a pair of opposite edges5-6on the short sides of the floor panel1and a pair of opposite edges30-31on the long sides of the floor panel1. The pair of opposite edges5-6comprises coupling parts7-8, whereas the pair of opposite edges30-31comprises coupling parts32-33. FIG.2represents a cross-section according to line II-II inFIG.1. The floor panel1comprises a substrate2, which preferably is manufactured on the basis of synthetic or thermoplastic material, such as polyvinyl chloride. The floor panel1comprises a top layer28, which comprises at least a decor and a transparent or translucent wear layer situated on the decor. The coupling parts7-8are entirely formed from the material of the substrate2and are made in one piece therewith. Moreover, substantially they are made massive. The coupling parts7-8consist of a downward-directed upper hook-shaped part9, which is situated on the one edge5of said pair of opposite edges5-6, as well as an upward-directed lower hook-shaped part10, which is situated on the other, opposite edge6of the aforementioned pair of opposite edges5-6. The upper hook-shaped part9consists of a lip11which is provided with a downward-directed locking element12, whereas the lower hook-shaped part10consists of a lip13which is provided with an upward-directed locking element14. The locking elements12and14are provided with locking surfaces15-16, which at least partially, and here entirely, allow the locking in said first direction V perpendicular to the plane of the floor panels1. The locking surfaces15-16comprise a first locking surface15and a second locking surface16. The first locking surface15belongs to a proximal side17of the downward-directed locking element12, and the second locking surface16belongs to a proximal side18of the upward-directed locking element14. That the locking surfaces15-16belong to the mentioned proximal sides17-18, offers the advantage that the floor panels1can be coupled in a smooth manner. On a distal side19of the downward-directed locking element12, the floor panel1is free from locking parts, which partially or entirely allow a locking in an aforementioned first direction V. Also, the floor panel1, on a distal side19of the upward-directed locking element, is free from locking parts which, partially or entirely, allow a locking in an aforementioned first direction V. The absence of such locking parts on the mentioned distal sides19-20contributes to the possibility of smoothly coupling the floor panels1. FIG.3represents a cross-sectional view according to line inFIG.1. The coupling parts32-33are realized in the form of a tongue and a groove, respectively. FIGS.4to7represent how two floor panels1can be coupled to each other at the edges5-6. The coupling parts7-8allow that two such floor panels1can be coupled to each other by means of a downward movement M of one floor panel1in respect to the other floor panel1. FIG.5represents that the coupling parts7-8do not just like that drop into each other during the downward movement M. As a result of the presence of the locking surfaces15-19, a resistance has to be overcome, such that the hook-shaped parts9-10will engage in each other. The elasticity of the synthetic material of the substrate2helps to overcome this resistance in view of the fact that it allows deformations of the coupling parts7-8. Thus, it provides, together with the specific configuration of the coupling parts7-8, for a smooth coupling of the coupling parts1at the edges5-6. Hereby, it is also noted that a resistance as mentioned herein above, which is the consequence of the presence of the locking surfaces15-16on the proximal sides17-18, can be overcome more simply than a possible resistance which is created by locking parts present on the distal sides19-20. Such last-mentioned resistance, to wit, less easily allows an elastic deformation of the coupling parts, such as, for example, a downward bending of the lip of the lower hook-shaped part. FIG.6represents an example of a deformation of the coupling parts7-8, wherein the lip13of the lower hook-shaped part10is bent downward when performing the downward movement M, such as represented by the dotted line. Such elastic bending of the lip13increases the available space for the locking element12, such that this locking element12simply can be pressed down. In an analogous way, it is possible that the lip11of the upper hook-shaped part9is bent upward when performing the downward movement M. Such upward bending of the lip11, however, is not represented here. Another example of a possible deformation, which is not represented here, relates to an elastic compression of the locking element12and/or the locking element14, more particularly an elastic compression of the portion of the locking element12to which the locking surface15belongs and/or the portion of the locking element14to which the locking surface16belongs. It is also noted that two or more of the herein above-described deformations may occur together when performing the coupling movement between the floor panels1. FIG.7represents the floor panels1in coupled condition. In this coupled condition, the coupling parts7-8allow a locking in a first direction V perpendicular to the plane of the floor panels1, as well as in a second direction H perpendicular to the edges5-6and in the plane of the floor panels1. FIG.8, at a larger scale, represents the coupled condition fromFIG.7. The floor panel1shows a thickness T which is smaller than 6 mm and preferably is smaller than 5 mm, and still more preferably is smaller than 4 mm. In the coupled condition of two such floor panels1, the locking surfaces15-16define a tangent line R forming an angle A with the plane of the floor panel1. The angle A is smaller than 30 degrees and larger than 0 degrees. More particularly, the angle A is equal to 25 degrees. Such rather minor angle offers the advantage that a very strong vertical locking is allowed, by which the risk of height differences or gap formation between the coupled floor panels can be minimized. The inventor has found that for realizing such locking surfaces15-16, planing and/or scraping treatments, or breach and/or breaching treatments, can be applied in an advantageous matter. Such treatments allow to manufacture the locking surfaces15-16precisely as well as efficient. The remaining portions of the coupling part7-8can be realized by means of milling treatments. The tangent line R is directed upward in the direction of the vertical V3through the vertical closing surface V1formed between the coupled floor panels1. More particularly, the tangent line R intersects the vertical V3in such a manner that the intersection point is situated underneath the upper side3of the floor panels1. That the mentioned intersection point is situated underneath the upper side3of the floor panels, is also caused by the relatively small angle A, as well as by the relatively low position of the locking surfaces15-16in the floor panel. It offers as an advantage that a particularly strong vertical locking can be effected between the floor panels, whereas the floor panels still can be installed in a smooth manner. The locking surfaces15-16extend continuously over the major part of the length of the edges5-6. Hereby, a strong vertical locking can be effected over the major part of the edges. In the coupled condition of the floor panels1, the locking surfaces15-16, seen in cross-section, are situated entirely in the lower half of the floor panel1. So, the locking surfaces15-16can be realized relatively compact, such that a smooth installation of the floor panels1remains possible. The resistance, as described herein above, then is sufficiently small. Such relatively low position of the locking surfaces15-16also offers as an advantage that the lip13can be made relatively thin at the position of the locking surfaces15-16, and that the lip13as such can undergo elastic deformations relatively easy. The downward-directed locking element12comprises a protrusion21, of which, in the coupled condition of the floor panels1, at least a portion22is situated past beyond the vertical tangent line V2. The vertical tangent line V2touches the upward-directed locking element14in a most proximally situated point of this upward-directed locking element14. The maximum height H1of the part22is at least ⅕ of the maximum thickness H2of the downward-directed locking element12and maximum ¼ of this maximum thickness H2. That the part22shows such height H1, offers the advantage that the portion can be realized relatively compact, which is beneficial for the smoothness of installation, however, can also be provided with sufficient strength in order to provide a strong vertical locking. In that the part22has the height H1, this part22also is extremely suitable for being applied in relatively thin floor panels1. The horizontal distance W1between said most proximally situated point of the upward-directed locking element14and the edge6of the floor panel1is larger than the vertical distance H3between the upper side3of the floor panel1and the most downward-situated point of the lip13of the lower hook-shaped part10. That the opening for the locking element12is realized this wide, offers the advantage that the locking element12can be made very stable, such that the risk of breaking, for example, during coupling of the floor panels1, can be minimized. In the coupled condition of the floor panels1, an interspace25is provided in the entire intermediary space between the distal side20of the upward-directed locking element14of the one floor panel1and the edge5of the other floor panel1. The interspace25extends up to below the lip11of the upper hook-shaped part9. Such interspace25contributes to the installation ease of the floor panels1, in view of the fact that the interspace25, for example, creates space for a possible bending of the lip13during the coupling movement. The lip13of the lower hook-shaped part10shows a minimum thickness T1, which is larger than ¼ of the overall thickness T of the floor panel1. This offers the advantage that the lip13can be realized sufficiently strong and stable, such that the lip13does not break off, for example, during the herein above-described bending. For this same purpose, the minimum thickness T1of the lip13is larger than ⅓ and preferably larger than 5/12 of the maximum thickness T2of the locking element14. Moreover, the lip13has a varying thickness. In this manner, the lip13can be provided with sufficient strength and stability, on the one hand, and obtain sufficient flexible features, on the other hand. More particularly, the lip13becomes thicker in a direction away from the upward-directed locking element14. In this manner, a strong connection with the core of the floor panel1can be guaranteed. With the purpose of providing the lip11with sufficient strength and stability, this lip11shows a minimum thickness T3which is larger than ⅓ of the overall thickness T of the floor panel. More particularly, the minimum thickness T3is larger than ½ of the maximum thickness H2of the downward-directed locking element. The lip11of the upper hook-shaped part9has a varying thickness. In this manner, the lip11can be provided with sufficient strength and stability, on the one hand, and obtain sufficient flexible features, on the other hand. More particularly, the lip11becomes thicker in a direction away from the downward-directed locking element12. In this manner, a strong connection with the core of the floor panel1can be guaranteed. FIG.9, at a larger scale, represents the part indicated by F10inFIG.8. The contours of the coupling parts7-8, which are represented here, correspond to the contours of the coupling parts in the uncoupled condition of the floor panels1. As represented, the coupling parts7-8are configured such that their contours overlap. Due to the overlapping contours, in the coupled condition one or more tensioning forces are created, which have a positive influence on the locking between the floor panels1. Such tensioning forces allow minimizing the risk of gap formation and height differences in the installed condition of the floor panels1. FIG.9represents a first tensioning force F1, which is present at the location of the locking surfaces15-16. This tensioning force F1provides for that the locking surfaces15-16are pressed towards each other and thus can effect a particularly strong locking. Moreover, a second tensioning force F2is present at the location of the vertical closing surface V1. Hereby, the edges5-6present at the closing surface V1are pressed towards each other, such that gap formation can be counteracted in the installed condition of the floor panels. FIG.9also shows that as a result of the overlapping contours, the locking element12is clamped between the closing surface V1and the proximal side18of the locking element18. Herein, also the protrusion21is sitting clamped between the locking surface16and the support surface S1. FIG.9represents that the lip13comprises a support surface S1for supporting the locking element12. Between this support surface S1and the vertical closing surface V1, an interspace26is present. This interspace26helps with the smooth coupling of the floor panels1. The lip13also comprises a floating support surface S2, wherein an interspace27is present between this support surface S2and the locking element12. The interspace27has a thickness of maximum 2 mm and preferably of maximum 1 mm. Such interspace2provides for the necessary space for pressing down the downward-directed locking element12. Also, the floating support surface S2provides for the at least partial taking up of tolerances which occur during the manufacture of the coupling parts7-8. The support surface S1is situated closer to the locking element14than the floating support surface S2and is situated lower than the support surface S2. The herein above-mentioned interspace26extends continuously from said vertical closing surface (V2) up to said support surface (S1). FIG.10represents another variant of the invention, wherein the lip13comprises an incision23on the lower side24of the lip13. The incision23extends in horizontal direction from the distal side20of the upward-directed locking element14and at least up to said locking surfaces15-16. Such incision facilitates the possible downward bending of the lip13during the coupling movement. Here, the incision23extends to beyond the locking surfaces15-16. FIGS.11to14represent more variants of the invention, wherein the floor panel1is provided with one or more reinforcement layers29. FIG.15represents how the floor panels1can be coupled to each other by means of the so-called fold-down technique. Herein, the floor panel1C to be coupled is coupled at one of its long sides to the floor panels1A of a preceding row, whereas the floor panel1A simultaneously, i.e. with the same turning movement W, is coupled on one of its short sides to a floor panel1B from the same row. To this aim, the long sides of the floor panels1are provided with coupling parts32-33, which allow the coupling by means of the turning movement W, and the short sides are provided with coupling parts7-8, which allow a coupling by means of a downward movement as a result of the turning movement W. The herein above-described coupling parts7-8can be applied particularly advantageous in such so-called fold-down floor panels1. In fact, they provide for a smooth installation, as well as for a strong locking in the coupled condition. FIG.16represents in an enlarged manner what is indicated by F11inFIG.15. FIG.17represents coupling parts32-33, which allow that the floor panels can be coupled by means of a turning movement W and which can be applied in the herein above-mentioned fold-down movement. The coupling parts32-33allow a locking in a first direction V perpendicular to the plane of the floor panel1, as well as in a second direction H perpendicular to the aforementioned edges30-31and in the plane of the floor panel1. FIG.18represents an alternative of the coupling parts32-33ofFIG.17, which allow that the floor panels can be coupled by means of a turning movement, and can be applied with the herein above-described fold-down technique. Moreover, the coupling parts32-33ofFIG.18are configured such that they also allow a horizontal snap movement S of one floor panel1in respect to the other floor panel1in order to couple the floor panels1. Such horizontal snap movement S is represented inFIG.19. It is clear that inFIG.9the shape of the coupling parts is represented such as it is in the free condition of these coupling parts. The coupling parts show an overlapping shape, such that the floor panels in coupled condition are seated in each other with a so-called “pretension”. It is clear that this primarily relates to decorative floor panels, thus, with a decorative upper side, for forming a floor covering on an existing supporting floor, and more particularly for forming a floating floor covering. In particular, the invention is intended for floor panels which substantially consist of synthetic material, and still more for floor panels of the supple type. More particularly, the invention shows its advantages best with vinyl floor panels, in particular so-called vinyl tiles, and in particular with floor panels of the so-called LVT type (“Luxury Vinyl Tile”) or VCT type (“Vinyl Composite Tile”, also called “Vinyl Composition Tile”). Such synthetic material floor panel, and in particular supple synthetic material floor panel, and more particularly such vinyl tile, preferably shows any of the following characteristics:the floor panel is substantially composed of a substrate of one or more basic layers and at least a top layer, wherein the top layer as such may or may not be composed of a plurality of layers;herein, the top layer comprises at least a decorative layer, preferably in the form of a print, preferably provided on a foil or film;the top layer comprises at least a translucent or transparent wear layer;the floor panel substantially is composed of a thermoplastic material, preferably a soft thermoplastic material;the floor panel, or at least the substrate, substantially is composed of polyvinyl chloride, more particularly of soft polyvinyl chloride, more particularly provided with plasticizers or the like; a composition “substantially” on the basis of PVC must be interpreted broadly in view of the fact that a large number of additives, for example, fillers, can be used in PVC floors;the floor panel comprises at least one reinforcement layer, preferably formed of fibers, more particularly reinforcement fibers, such as glass fibers; preferably, the reinforcement layer is situated halfway the thickness of the floor panel; preferably, the reinforcement layer is not interrupted across the floor panel, which results in an increased stability of the floor panel in comparison with an interrupted reinforcement layer; preferably, the reinforcement layer is situated at the height of the protrusion (21) and/or at the height of the locking surfaces (15-16), with the advantage that the strength of this protrusion and/or these locking surfaces, and consequently the strength of the vertical locking between the floor panels, is increased; It is noted that “soft PVC” is a term expressing that this relates to supple PVC, in other words, PVC which is relatively readily bendable. The term soft PVC is generally known in the technique. Such soft PVC consists of PVC which is softened, preferably by means of a plasticizer added during the production process. Depending on the added amount of plasticizer, of course various degrees of suppleness can be obtained. By a plasticizer, any material is to be understood which, by being added, results in a more supple PVC. Typical examples are phthalate plasticizers and isosorbide plasticizers. By PVC which has been softened, of course also PVC can be understood, or a composition on the basis of PVC can be understood, which, for example, as it has been modified, as such has the feature of being supple. The same is also valid for other “soft synthetic materials”, and the above is not restricted to PVC. As already mentioned herein above, the present invention shows its advantages beset when it is applied with floor panels which substantially are manufactured of supple or soft synthetic material, or in other words with supple floor panels. By supple floor panels, floor panels are meant which, when they, in the case of a rectangular floor panel, for example, having a width of less than 50 centimeters, are clamped on one or both short sides of the floor panel and herein protrude over a length of 100 centimeters and are not supported, the floor panels will bend under the influence of their own weight, wherein this bending at the height of the free extremity in respect to the clamped extremity is minimum 10 centimeters. For this bending, a bending time of 10 seconds is taken into account, and wherein the floor panel starts from a flat horizontal position. Also, the invention primarily shows its advantages best when being applied in floor panels of the WPC type (“Wood Plastics Composite”). It is clear that the coupling parts in free condition preferably show a somewhat overlapping shape, such that the floor panels in coupled condition are seated in each other with a so-called “pretension”. The present invention is in no way restricted to the embodiment described herein above and represented in the figures, on the contrary may such floor panel be realized in various forms and dimensions, without leaving the scope of the invention. So, for example, it is noted that, although inFIG.1an oblong floor panel is represented, the invention may also be applied to square floor panels. It is also noted that by an “upward-directed” locking element not only a locking element is understood which extends in height in respect to the lip of the lower hook-shaped part. By this, also a locking element is understood which extends in the height in respect to a recess which is provided in this lip and which thus does not necessarily extend higher than the lip, with the exception of this recess. It is noted that by a “downward movement” not only a movement is understood having only a downward component. By this, also a so-called fold-down movement is understood, as well as a movement which, besides a substantially downward component, for example, also comprises a lateral component. It is noted that by “locking surfaces” not only surfaces are understood which, in the coupled condition of two floor panels, in fact come into contact. By this, also surfaces are understood which only make contact when the one floor panel is moving upward in respect to the other floor panel, for example, under the influence of external forces, and which consequently also allow at least a locking in vertical direction. It is noted that “planing and/or scraping treatments” have to be interpreted broadly as linear cutting movements by which a thin layer can be removed from a surface. More particularly, by “planing and/or scraping treatments”, broach and/or broaching treatments have to be understood. | 23,460 |
11859391 | DETAILED DESCRIPTION Specific structural or functional descriptions disclosed in the present specification will be provided only in order to describe exemplary embodiments of the present disclosure. Therefore, exemplary embodiments of the present disclosure may be implemented in various forms, and the present disclosure is not to be interpreted as being limited to exemplary embodiments described in the present specification. Since exemplary embodiments of the present disclosure may be variously modified and may have several forms, they will be shown in the accompanying drawings and be described in detail in the present specification. However, it is to be understood that exemplary embodiments of the present disclosure are not limited to specific forms, but includes all modifications, equivalents, and substitutions included in the spirit and the scope of the present disclosure. Terms used in the present specification are used only in order to describe specific embodiments rather than limiting the present disclosure. Singular forms are intended to include plural forms unless the context clearly indicates otherwise. It is to be understood that terms “include”, “have”, or the like, used in the present specification specify the presence of features, numerals, steps, operations, components, parts, or a combination thereof stated in the present specification, but do not preclude the presence or addition of one or more other features, numerals, steps, operations, components, parts, or a combination thereof. Hereinafter, exemplary embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. FIG.1is the concept diagram depicting the problem of the conventional deck construction. Referring to theFIGS.1A-1C, a conventional wooden deck is in the structure whereby a first deck wood (10a) and a second deck wood (10b) touch lengthwise at their edge, and it is the standard practice that they are fastened to the cross member (20a) placed underneath them separately with metal fasters such as nails. However, if one refers toFIG.1B, the deck suffers from the shrink and expand of the deck woods due to changing weather condition and their warping and bend due to repeated load that result in distortion and of the fastening and splitting of the deck wood frequently. FIGS.2A-2Bare concept diagrams depicting the bracket for mounting deck woods according to the first embodiment of the present invention. Referring toFIGS.2A-2B, the present invention is devised to solve the problem depicted as inFIGS.1A-1Cwhereby brackets are placed over the regularly occurring gaps between the first deck wood (10a) and the second deck wood (10b) and are directly mounted onto the horizontal member or joist (20a) located underneath the deck woods through the gaps between the said deck woods (10a) and (10b) with a fastener such screws, so the deck woods (10a) and (10b) are firmly placed onto the horizontal member (20a) by the bracket and the deck woods never bend or twist under the bracket. FIG.2Ais a depiction before mounting of the bracket andFIG.2Bis a depiction after mounting of the bracket. The structure for fastening the deck woods of the present invention consist of the first deck wood (10a), the second deck wood (10b), the cross member (20a), and the bracket (100). The first deck wood (10a) and the second deck wood (10b) have a certain thickness and a length, and the cross member (20a)—usually called joist—is placed under and perpendicular to the first deck wood (10a) and the second deck wood (10b), onto which the first wood (10a) and the second deck woods (10b) are to be mounted on. The bracket (100) is laid on the gap space between the first deck wood (10a) and the second deck wood (10b), and it is directly screwed onto the cross member (20a) through the holes on it and the gap space between the first deck wood (10a) and the second deck wood (10b) without touching them, thus, the bracket (100) holding down both of the said the deck woods (10a) and (10b) onto the said cross member (100) with freedom to shrink and expand lengthwise for breathing. The bracket of the present invention can be installed in an existing deck for repair or retro-fit with minimum cost, or can be installed for a new installation. FIG.3is a perspective diagram of the bracket according to the first embodiment of the present invention. Referring toFIG.3, the bracket (100) consists of the bracket body (105), the deck wood holder tap (120), the side incline (130), and fastening groove (110aand110b). The bracket (100) shown as an example is of metallic material and has certain thickness, and the thickness above is in the range of 0.4 mm to 0.6 mm. The horizontal size of the bracket (100) is 4.2 cm and its vertical size is 9.2 cm. The deck wood holder tap (120) is formed at both sides of the said bracket body and is to hold down the end of the first wood deck and the second wood deck without slipping out. The deck wood holder tap has height of 0.6 cm and has certain curvature at the bending to conform to the corner curvature of the deck woods. This allows tight holding of the wood decks. The side incline (130) is formed in both sides of the said bracket body and is slopped downward with respect the bracket's main body with the slope of 4 to 6 degrees. The width of the side slope is 0.5 to 0.7 cm. Both the said side incline (130) of the bracket are to make the bracket flat on the deck surface as much as possible so the pedestrians can walk without being caught by the brackets and also to minimize the wear and tear on the bracket due to the traffic. In the middle of the bracket body (105), In the example, there are two fastening grooves (100aand100b) for fasteners and two fasteners can be installed through them directly onto the cross member through the gap between the deck woods without touching the deck woods. FIG.4is the front and side views depicting the bracket according to the first embodiment of the present invention. Referring toFIG.4, a first fastening groove (110a) and a second fastening groove (110b) are placed in the middle and the lower diameter (w2) of the first fastening groove (110a) and the lower diameter (w4) of the second fastening groove (110b) are identical and the upper diameter (w1) of the first fastening groove (110a) and the upper diameter (w3) of the second fastening groove (110b) are identical. The horizontal distance (w5) is 0.4 cm. FIGS.5A-5Bare perspective diagrams depicting the bracket according to other embodiments of the current invention. Referring toFIGS.5A-5B, as another trial example of the present invention, the bracket can have three fastening grooves, and there is no limitation of the number. FIG.6andFIG.7are the perspective diagrams depicting the bracket according to other embodiments of the current invention. FIG.6andFIG.7are the perspective diagrams depicting the deck wood fastening structure with the features that includes a edge bevel (140) at both ends with angle of 10 to 60 degree at the end of the both sides. The edge bevel (140) can ensure safety so that pedestrians can walk without getting caught through the inclined angle. Although the present disclosure has been described with reference to exemplary embodiments shown in the accompanying drawings, it is only an example. It will be understood by those skilled in the art that various modifications and equivalent other exemplary embodiments are possible from the present disclosure. Accordingly, an actual technical protection scope of the present disclosure is to be defined by the following claims. | 7,631 |
11859392 | DETAILED DESCRIPTION OF THE INVENTION With reference now to the drawings, and in particular toFIGS.1through8thereof, a new cover device embodying the principles and concepts of an embodiment of the disclosure and generally designated by the reference numeral10will be described. As best illustrated inFIGS.1through8, the deck board cover assembly10generally comprises a plurality of deck board covers12that is each positionable over a respective one of a plurality of deck boards14of a deck16. The deck16may be an outdoor deck that is attached to a house, an apartment or other type of occupancy. Each of the deck board covers12is comprised of a fluid impermeable material, including but not being limited to, polyvinylchloride or acrylonitrile butadiene styrene, to protect the deck boards14from precipitation. Additionally, each of the deck board covers12has a pebbled texture18integrated into the deck board covers12for enhancing traction on the deck board covers12. In this way, the plurality of deck board covers12can enhance traction for a user. Each of the deck board covers12has a plurality of gripping elements20each being integrated into the deck board covers12. Each of the gripping elements20engages the respective deck16board upon which each of the deck board covers12is placed for retaining the deck board covers12on the respective deck boards14. Each of the deck board covers12has a top wall22and a pair of lateral walls24each extending downwardly from a respective first lateral edge26and a second lateral edge28of the top wall22. Additionally, the top wall22has a front edge30and a back edge32, and the top wall22is elongated between the front edge30and the back edge32. Each of the lateral walls24extends between the front edge30and the back edge32. The top wall22has a top surface34and a bottom surface36, and the pebbled texture18is integrated into the top surface34such that the pebbled texture18is exposed when the deck board covers12are positioned on the deck boards14. Each of the first lateral edge26and the second lateral edge28slope toward a center of the deck board covers12between the lateral walls24and the top wall22. In this way the plurality of deck board covers12facilitate moisture to run downwardly between the deck board covers12when the deck board covers12are positioned on the deck boards14. Each of the lateral walls24has a first surface38that is directed toward each other and a distal edge40with respect to the top wall22. The plurality of gripping elements20comprises a plurality of sets of barbs42and each of the sets of barbs42extends away from the first surface38of a respective one of the lateral walls24. Each of the sets of barbs42is positioned adjacent to the distal edge40of the respective lateral wall24. Additionally, each of the sets of barbs42is angled upwardly toward the top wall22such that each of the sets of barbs42inhibits the deck board covers12from being lifted upwardly from the respective deck16board. The plurality of sets of barbs42is spaced apart from each other and is distributed along a full length of the respective lateral wall24. Each of the sets of barbs42including a lower barb44that is aligned with the distal edge40of the respective lateral wall42and an upper barb46that is positioned above the lower barb44. A plurality of adhesive strips48is provided and each of the adhesive strips48is bonded to a respective one of the deck board covers12. Each of the adhesive strips48adhesively engages the respective deck16board upon which the deck board covers12are positioned for retaining the deck board covers12on the respective deck16board. Each of the adhesive strips48is positioned on the bottom surface36of the top wall22of the respective deck16board cover and each of the adhesive strips48completely covers12the bottom surface36. The front edge30of each of the top wall22deck board covers12may have a recess extending into the front edge30. Additionally, the back edge32of the top wall22of each of the deck board covers12may have a tab that can engage the recess in an adjacent one of the deck board covers12. In an alternative embodiment50as is most clearly shown inFIG.6, a respective one of the lateral walls24comprises a leg52extending downwardly from the top wall22and a foot54extending toward a center of the top wall22. The leg52extends downwardly along a riser of a step upon which the top wall22is positioned and having the foot54extending beneath the riser to secure the deck16board cover on the step. The leg52has an outwardly facing surface56and the pebbled texture18is integrated into the outwardly facing surface56. Additionally, the pair of lateral walls24includes a short lateral wall58which has a length that is substantially shorter than the leg52associated with the respective lateral wall24. In an alternative embodiment60as is most clearly shown inFIG.7, each of the lateral walls24comprises a leg62extending downwardly from the top wall22and a foot64that is directed toward a center of the top wall22. The leg62of each of the lateral walls24extends downwardly along opposite sides of a railing when the top wall22rests on top of the railing and the foot64of each of the lateral walls24extends beneath the railing. The leg62of each of the lateral walls24has outwardly facing surface66and the pebbled texture18is integrated into the outwardly facing surface66. In an alternative embodiment68as is most clearly shown inFIG.8, each of the deck board covers12comprises a first wall70that is perpendicularly oriented with a second wall72. Each of the first wall70and the second wall72has an outwardly facing surface74and the pebbled texture18is integrated into the outwardly facing surface74. The first wall70has a distal edge76with respect to the second wall72and the second wall72has a distal edge78with respect to the first wall70. Continuing in the alternative embodiment68inFIG.8, the first wall70has a receiver80that is integrated into the first wall70and the receiver80is positioned adjacent to the distal edge78of the first wall70. The second wall72has an engagement82extending away from the second wall72and the engagement82is positioned adjacent to the distal edge78of the second wall72. The receiver80in a respective one of the deck board covers12insertably receives the engagement82for attaching a pair of the deck board covers12around a post. In use, the plurality of deck board covers12is each positioned on the respective deck16board to protect the respective deck16board from precipitation. Additionally, the deck board covers12eliminate the need to paint or stain the deck boards14for the purposes of maintenance. In this way the deck board covers12extend the service life of the deck boards14without requiring regular maintenance to be performed on the deck boards14. Each of the deck board covers12described in the alternative embodiment50shown inFIG.6can be positioned on steps of the deck16and each of the deck board covers12described in the alternative embodiment60shown inFIG.7can be positioned on a railing of the deck16. Each of the deck board covers12described in the alternative embodiment68shown inFIG.8can be positioned around railing posts of the deck16. With respect to the above description then, it is to be realized that the optimum dimensional relationships for the parts of an embodiment enabled by the disclosure, to include variations in size, materials, shape, form, function and manner of operation, assembly and use, are deemed readily apparent and obvious to one skilled in the art, and all equivalent relationships to those illustrated in the drawings and described in the specification are intended to be encompassed by an embodiment of the disclosure. Therefore, the foregoing is considered as illustrative only of the principles of the disclosure. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the disclosure to the exact construction and operation shown and described, and accordingly, all suitable modifications and equivalents may be resorted to, falling within the scope of the disclosure. In this patent document, the word “comprising” is used in its non-limiting sense to mean that items following the word are included, but items not specifically mentioned are not excluded. A reference to an element by the indefinite article “a” does not exclude the possibility that more than one of the element is present, unless the context clearly requires that there be only one of the elements. | 8,523 |
11859393 | DETAILED DESCRIPTIONS OF PREFERRED EMBODIMENTS Please refer toFIGS.1-4, which show an embodiment of a raised floor system for suspension type pipelines of the present invention. The system mainly comprises girders2and floor units3laid between pluralities of foot stands1after the foot stands1are erected at intervals on the floor, such that the floor units3are separated from the floor by a distance so as to form a large-area raised floor. As shown inFIGS.1and2, a top portion11of the foot stand1for the floor units3to be placed thereon is combined with a cover4. The top portion11of the foot stand1and the cover4are correspondingly provided with lock holes111,41, such that the cover4is capable of being fixedly locked and jointed to the top portion11of the foot stand1, and the girders2are also capable of being directly locked and jointed to the cover4(as shown inFIG.3). Of four sides of the cover4each is vertically provided with a folded plate42. A hole421is formed on each folded plate42. Two ends of a suspension device5are capable of being respectively hooked on the holes421of the folded plates42of two spaced foot stands1, so that the suspension device5is placed under the floor units3for pipelines to be placed thereon or fixed thereto. In fact, as shown inFIGS.1and2, the suspension device5comprises two hook plates51and an inverted U-shaped cantilever beam52. The hook plate51is Z-shaped. An upper top face511and a lower top face512are formed on two ends of the hook plate51, wherein the upper top face511is used for passing through the hole421of the folded plate42, the lower top face512is used for supporting one end of the cantilever beam52. And two ends of the cantilever beam52and the lower top face512of the hook plate51are correspondingly provided with lock holes513,521, such that after the lower top face512of the hook plate51is fixedly locked and jointed to the cantilever beam52, the suspension device5is capable of being positioned between two foot stands1(as shown inFIG.3). Furthermore, as shown inFIGS.5and6, the cantilever beam52is further provided with a plurality of types of fixing holes53,54with different diameters for the pipelines or line bottom nets6(as shown inFIG.4) to be fixed thereto and hooked thereon. The cantilever beam52is further provided with at least one separation column55for separating the cantilever beam52. Therefore, during construction, after several foot stands1for the raised floor are laid on the ground, the cover4is capable of being fixedly locked and jointed to the top portion11of the foot stand1, and the construction staff can choose the hook plates51with different lengths according to the needs of use, after the upper top face511of the hook plate51is passed through the hole421of the folded plate42, and the lower top face512of the hook plate51and the cantilever beam52are fixedly locked and jointed, such that the cantilever beam52is suspended between two hook plates51, the construction staff can arrange the pipelines. Finally, after the girders2are locked and jointed to the cover4and the floor units3are laid on the girders2, a large-area raised floor system can be formed (as shown inFIG.4). In this way, through the design of the present invention, it has the advantages as follows:1. Through the design of the folded plates around the cover on the top portion of the foot stand and the suspension device for various kinds of pipelines or line bottom nets, such as network cables, electrical wires, signal wires or water pipes, air-conditioning ducts, to be placed thereon or fixed thereto, the present invention is not only low in cost and simple in structure, but it is also more convenient for users to check and repair in order to reduce working hours and improve efficiency when replacing or maintaining pipelines in the future.2. Through the design of the suspension device of the present invention, various kinds of pipelines are capable being directly placed on the inverted U-shaped cantilever beam or hooked on fixing holes with different diameters on the cantilever beam, and, at the same time, the hook plates with different lengths can be chosen according to actual needs to produce an effect similar to multi-player channels (as shown inFIG.3). At the same time, it can also be matched with the design of the separation column on the cantilever beam to achieve the purpose of diverting large and small pipelines or being convenient construction.3. Although the design of suspension of the present invention is different from the structure of all current raised floors, the components such as the foot stands, the girders and the floor units still retain the same structures as of those available on the market today. Hence, for the existing traditional raised floor industry or the places where the traditional raised floor is already in use, it can be easily upgraded to the structure of the present invention without the need to completely replace or destroy the existing structure. Not only can the effect of the present invention be achieved quickly, but also the cost and inventory can be greatly reduced, and the waste problem can be reduced, and it is also environmentally friendly. As disclosed in the above description and attached drawings, the present invention can provide a raised floor system for suspension type pipelines. It is new and can be put into industrial use. Although the embodiments of the present invention have been described in detail, many modifications and variations may be made by those skilled in the art from the teachings disclosed hereinabove. Therefore, it should be understood that any modification and variation equivalent to the spirit of the present invention be regarded to fall into the scope defined by the appended claims. | 5,768 |
11859394 | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION FIG.1represents a rectangular floor panel1. FIG.2clearly shows that the floor panel1comprises a core2and a provided thereon decorative top layer3. The core2comprises a mineral-based board material, in this case a magnesium oxide-based board material4, and, according to the invention, is provided on at least two opposite edges5-6-7-8, and in this case on the pair of long opposite edges5-6as well as on the pair of short opposite edges7-8, with mechanical coupling means9. FIG.2clearly shows that the coupling means9on the long opposite edges5-6substantially are realized as a tongue10and a groove11with an upper lip12and lower lip13. In this case, the aforementioned lower lip13extends in horizontal direction to beyond the distal end14of the upper lip12. The represented coupling means9allow at least a coupling by means of a turning movement W along the respective edges5-6.FIG.3shows that in the coupled condition a locking is obtained in horizontal direction H1perpendicular to the coupled edges5-6and in the plane of the panels1, as well as in vertical direction V1perpendicular to the plane of the coupled panels1. The coupling means9consist of milled profiles, which for at least 70 percent of their circumference are provided in the mineral-based board material4. In this case, the coupling means9are provided practically entirely in the mineral-based board material4of the core2, with the exception of the part15thereof formed on the decorative top layer3. FIG.3represents that at least one pair of horizontally active locking surfaces16-17of the coupling means9is realized in the mineral-based board material4. Herein, this relates to a first pair of locking surfaces16-17formed on a standing locking portion18of the lower lip13and a cooperating therewith locking groove19on the lower side of the tongue10. A second pair of horizontally active locking surfaces20-21is formed in the example on the decorative top layer3, or the aforementioned circumference portion15. Several pairs of the vertically active locking surfaces22-23-24-25are formed of the mineral-based board material4. Herein, this relates at least to a first pair of vertically active locking surfaces22-23on the upper side of the tongue10and the lower side of the upper lip12of the groove11, and at least a second pair of vertically active locking surfaces25-26on the lower side of the tongue10and the upper side of lower lip13of the groove11. In the example ofFIG.3, the second pair of vertically active locking surfaces25-26is formed such that they extend at least horizontally at a position vertically underneath the aforementioned upper lip12. In this case, also a third pair of vertically active locking surfaces27-28is formed in the proximity of the aforementioned first horizontally active locking surfaces16-17. FIGS.2and3clearly show that at least one, and in this case even a plurality of reinforcement layers29-30are applied in the mineral-based board material4. A first reinforcement layer30extends uninterruptedly in the aforementioned lower lip13; a second reinforcement layer29extends uninterruptedly in the aforementioned upper lip12. The first and second reinforcement layers29-30thus are situated off center from the thickness T1of the magnesium oxide-based board material4. On the sides of the reinforcement layers29-30which are directed away from the center of the board material4, they are each time flanked by a layer31of magnesium oxide-based material. In the example, these layers31show another composition than the central layer32of the magnesium oxide-based board material4, for example, in that they do contain no or less fillers than the central layer32of the mineral-based board material4. It is clear that the core2, or at least the central layer32thereof, preferably is positioned such that it is at least centrally present in the core2, namely, on the central line C. In this case, the thickness T2of the central layer32, i.e., the layer between the two reinforcement layers29-30, amounts to more than 40 percent of the thickness T1of the core. The aforementioned decorative top layer3comprises a printed motif33and represents a single wooden plank. The printed motif33is provided on a thermoplastic foil34, namely, a PVC foil. The floor panel1further also comprises a translucent or transparent wear layer35, which is provided above the aforementioned decoration or printed motif33. It is noted that, according to a not represented embodiment, a floor panel1according to the invention may show an additional layer on the lower side of the core2. Herein, this preferably relates to a foam layer, for example, of cross-linked or crosslinked polyethylene (XPE), which is fixed to the lower side of the core2by means of glue, in particular is fixed to the layer31which flanks the reinforcement layer30. In this case, this relates to a soft foam. Further, it is noted that in the coupled condition ofFIG.3a tension force can be obtained between the respective floor panels1, more particularly at the location of the second pair of horizontally active locking surfaces20-21, namely between the respective decorative top layers3on the upper edges of the coupled floor panels1. In dashed line36inFIG.3is represented that such tension force can be obtained, for example, in that the lower lip13in the coupled condition is spring-deflected and in the coupled condition pushes against the tongue10. Such pretension is known as such, for example, from WO 97/47834. At the opposite short edges7-8of the floor panel1in the example ofFIGS.1to3the same coupling means9are applied as at the long opposite edges5-6. FIG.4represents a variant wherein at the short edges7-8coupling means9are provided with a male part37and a female part38, which allow coupling two of such floor panels1at the respective edges7-8by means of a downward movement M of the male part37in the female part38, wherein in the coupled condition a locking in a horizontal direction H1as well as in a vertical direction V1is effected. The combination of a turnable profile on the long edges5-6, for example, according toFIGS.2and3, and a downwardly coupleable profile at the short edges7-8, for example, according to any of theFIGS.4to6, leads to the creation of a floor panel1which can be coupled by means of a so-called fold-down movement. Herein, the long edges5-6are provided in each other by means of a turning movement W, wherein by this turning movement W at the short edges7-8a downward movement M is created, which provides the male part37present there in the female part38. The example of such downwardly coupleable profile represented here is made in one piece with the mineral-based board material4of the floor panel1and comprises, for effecting the locking, a cooperating snap hook39and undercut40, as well as a hook-shaped part41on the lower lip13, which in this case also shows an undercut42. The undercut42on the hook-shaped part41is made such that it forms an angle A1with the vertical of 1° to 10° and preferably approximately 5°. The locking groove19, which cooperates with the aforementioned hook-shaped part41, is positioned entirely underneath the reinforcement layer29at the upper surface. The aforementioned cooperating snap hook39and undercut40in this case comprise vertically active contact surfaces22-23, cooperating in the coupled condition, which are realized in the mineral-based board material4of the core2. The horizontally as well as vertically active contact surfaces16-17of the hook-shaped part41are also realized entirely in the mineral-based board material4of the core2. The upper surface of the lower lip13consists entirely of the mineral-based board material4of the core2. In coupled condition, the edge profiles also show vertically active contact surfaces25-26formed on this upper surface. Between these vertically active contact surfaces25-26and horizontally active contact surfaces16-17, a space43is present. The uppermost reinforcement layer29extends in one piece over the aforementioned locking groove19at the lower side of the male coupling part37. At the lower side of the lower lip13, a recess44is realized, which extends at least partially underneath the aforementioned space43. It provides for a smoother coupling, even with the represented overlap45in the contours of the male coupling part37and the female coupling part18at the location of the horizontally active locking surfaces16-17. The lowermost reinforcement layer30is removed at the location of the aforementioned recess44. This does not necessarily have to be so. According to a not represented embodiment, the lowermost reinforcement layer30can extend in one piece above said recess44. FIG.5represents a variant of profiles which can be coupled into each other by a downward movement M, wherein the snap hook39is situated at the distal end46of the lower lip13of the female part38, whereas the undercut40is provided in the male part38. Here, too, the upper surface of the lower lip13is formed entirely from the mineral-based board material4of the core2, and in coupled condition the edge profiles show vertically active contact surfaces25-26formed on this upper surface. Between these vertically active contact surfaces and horizontally active contact surfaces16-17, a space43is present. The lowermost reinforcement layer30extends in one piece in the lower lip13, and the uppermost reinforcement layer29extends in one piece over the aforementioned locking groove19at the lower side of the male coupling part37. In the example ofFIG.5, too, the hook-shaped part41is realized with an undercut42, wherein this undercut42is realized such that it forms an angle A1with the vertical of 1° to 10°, and preferably approximately 5°. It is evident that, inFIG.4as well as inFIG.5, such undercut42at the location of the horizontally active contact surfaces16-17on the hook-shaped part41is not necessary and that as well contact surfaces can be used which are vertical or which are less steeply inclined than the vertical, for example, with an inclination wherein the respective contact surfaces enclose an angle with the horizontal of 45° to 90°. FIG.6represents a variant of downwardly coupleable profiles, wherein the snap hook39is formed by a separate insert46, which in this case is provided in the male part37. Such separate insert46preferably is also formed of thermoplastic material, for example, at least from PVC or ABS (Acrylonitrile-butadiene-styrene), and in coupled condition preferably shows, such as here, a vertically active contact surface22with the mineral-based board material4of the female part38. In this manner, a precise vertical positioning of the male part37in the female part38can be obtained. The aforementioned separate insert46is situated, in this example, in a seat47with walls, which are entirely formed from the mineral-based board material4of the core2. It is clear that the hook-shaped part41, the cooperating therewith locking groove19on the lower side of the male part37, respectively, extends in the examples of theFIGS.4to6each time up into the upper half of the core2, thus, to above the level C. FIG.7represents another variant, wherein the coupling means9, for example, the coupling means9of the long opposite edges5-6, show some preferred characteristics, which each separately or in any combination offer an edge profile which is ideally suited for being applied in a floor panel1with a core2of mineral-based board material4, such as a magnesium oxide-based board material. This relates to the following characteristics:the vertically active locking surfaces25-26between the lower side of the tongue10and the upper side of the lower lip13are situated substantially, and in this case even entirely, on the protruding part48of the lower lip13, namely on that part of the lower lip13which extends to beyond the distal end of the upper lip12. Preferably, in the coupled condition a space49is situated between the lower side of the tongue10and the upper side of the lower lip13, wherein this space49, such as here, extends horizontally underneath the tongue10at least from on the tip50of the tongue10up to beyond the distal end of the upper lip12. In this manner, the risk of breaking of the tongue10when turning the tongue10into the groove11is minimized, whereas still a sufficient vertical locking is obtained; and/orthe projection in the horizontal plane of the vertically active contact surfaces25-26between the lower side of the tongue10and the upper side of the lower lip13shows a length L1which is at least 15%, and still better at least 20% or 25%, of the length L2of the protruding part48of the lower lip13; and/orthe length L2of the protruding part48of the lower lip13is at least 80% of the thickness T1of the mineral-based board material4and preferably is smaller than 130% of the thickness T1of the mineral-based board material4; and/orthe smallest width B1of the standing portion18of the lower lip13at the location of the horizontally active locking surfaces16-17is at least 15% of the thickness T1of the mineral-based board material4and still better at least 20% of the thickness T1of the mineral-based board material4. FIG.8represents another variant, wherein the coupling means9, for example, the coupling means of the long opposite edges5-6, show some preferred characteristics, which each separately or in any combination result in an edge profile which is ideally suited for being applied in a floor panel1with a core2of mineral-based board material4, such as a magnesium oxide-based board material. This concerns, amongst others, the characteristics already mentioned in connection withFIG.7, and the following characteristics, which, however, do not necessarily have to be applied in combination with those fromFIG.7:the lower lip13shows a point51or area where the remaining thickness D1of the lower lip13is smallest, wherein the floor panel1comprises a reinforcement layer30which extends in the respective lower lip13, and wherein said reinforcement layer30, at the location of said point51or area, is situated off center from the remaining thickness D1, preferably, such as here, closer to the upper side of the lower lip13than to the lower side. In this manner, a very significant effect on the possible bending of the lower lip13is obtained; and/orthe upper lip12shows a point or area52, at the location of the vertically active locking surfaces22-23, where the remaining thickness D2of the upper lip12is smallest, wherein the floor panel1comprises a reinforcement layer29which extends in the respective upper lip12, and wherein said reinforcement layer29, at the location of said point or area52, is situated off center from the remaining thickness D2, preferably, such as here, closer to the lower side of the upper lip12than to the upper side. In this manner, a very significant effect on the possible bending of the upper lip12is obtained. The present invention is in no way limited to the herein above-described embodiments, on the contrary can such panels be realized without leaving the scope of the present invention. | 15,135 |
11859395 | DETAILED DESCRIPTION OF THE INVENTION The present invention provides an advancement in self-propelled power trowel design that gives an operator of a riding trowel the ability to reverse the direction of rotation of the rotors. The invention is particularly useful when the power trowel approaches the edge of a pour, so that the operator can maintain a forward perspective while causing the rotors to urge concrete finishing debris to the perimeter of the pour. While the present invention may be employed on a trowel powered by gas, diesel, hydraulic, or electrical power, for simplicity and purposes of illustration only, the present disclosure primarily describes an embodiment of the invention installed on a self-propelled rising trowel equipped with a hybrid drive train that uses synchronous AC motors to drive the trowel rotors. FIG.1shows a perspective view of one embodiment of a self-propelled power trowel according to the invention. The drive train is mounted to a rigid frame60in a manner known in the art and depicted, for example, in U.S. Pat. No. 8,998,531, which is fully incorporated herein by reference. For simplicity and ease of illustration, the rigid frame is omitted from the figures herein. The main components of the hybrid drive train100include an electrical power source10, a pair of rotatable rotor assemblies12,13, electric motors14,15operatively connected between the electrical power source10and the rotatable rotor assemblies12,13, respectively, a set of actuators17configured for tilting the rotor assemblies12,13, and a set of pitch actuators16. The electrical power source10is attached to the rigid frame and provides electric power to the power trowel. Power source10is preferably configured to output DC power for input to 3-phase inverters24,26that drive AC motors14,15of the rotor assemblies12,13. In one embodiment, the DC power is obtained by mechanically coupling a 3-phase AC electrical generator20to an internal combustion engine18. The output of the AC generator20is then coupled to a DC rectifier22. In another embodiment, the DC power may be obtained solely from a battery, or from the combination of a battery28and battery charger30. The rotor assemblies12,13each comprise a set of rotatable trowel blades32, that are tiltably connected to the rigid frame of the power trowel. The rotatable trowel blades32are disposed at the bottom of the power trowel and are configured for making frictional contact with a concrete surface. Pitch actuators16, one per rotor assembly, are configured to rotate the trowel blades32about a center axis of the trowel arms to adjust the pitch angle of each blade32. The rotor assemblies12,13are thus configured to support the rigid frame above the concrete surface. The rotor assemblies12,13are each coupled, respectively, through a gearbox52to the shaft of the corresponding AC motor14or15. These motors are each operatively connected to the electrical power source10, so that energization thereby of the motors14and15causes rotation of the trowel blades32across the concrete surface. In other embodiments of riding trowels, hydraulic actuators, rather than electric motors, can be used to set the direction of rotation of each rotor. The hybrid drive train100includes at least three means for tilting the rotor assemblies12,13with respect to the rigid frame, to cause movement of the power trowel across the surface of the concrete floor. The tilting action of each of the tilting means is best described relative to the front and rear ends of the power trowel and to a center line running centrally through the power trowel from the front end to the rear end. For purposes of illustration, the front and rear ends and the centerline can be defined by the location of the electrical generator20.FIGS.1and2show the electrical generator20mounted at the front end of the power trowel. The end opposite the mounting location of the electrical generator20is the rear end of the power trowel, and the centerline is an imaginary line that runs from the rear end to the front end along the central axis of the electrical generator20. In one embodiment, one of the means for tilting a rotor assembly12or13may be a steering actuator17that is operably interconnected between the rigid frame and a rotor assembly12or13. This configuration allows each rotor assembly12or13to be tilted fore and aft, about an axis that is substantially perpendicular to the centerline of the rigid frame. This action, combined with frictional rotation of the trowel blades32, causes the power trowel to move from side to side along the concrete surface. The second and third means for tilting a rotor assembly12or13may be a steering actuator17operatively interconnected between the rigid frame and each rotor assemblies12,13for selectively and independently tilting the rotor assemblies toward and away from the centerline of the rigid frame, about an axis that is substantially parallel to the centerline. This action, combined with the frictional rotation of the trowel blades32, causes the power trowel to move forward or backward along the concrete surface. The steering actuators17may be hydraulic, pneumatic, or electric actuators. While the axes about which the rotor assemblies tilt have been described as substantially perpendicular or substantially parallel with respect to the centerline, other orientations of the tilt axes are possible without departing from the scope of the invention. FIG.2shows an exploded view of various components in one embodiment of an assembly for the hybrid drive train100. Again, the rigid frame is omitted. In this embodiment, the engine18and generator20are coupled together and mounted in a central location on the power trowel. Electrical control modules including an engine control unit (ECU)40, a machine control unit (MCU)42, and a generator controller22are mounted at accessible locations atop or adjacent to the engine18and generator20. The rotor assemblies12and13are mounted on either side of the centerline in a symmetrical configuration so that the center of mass of the power trowel occurs near the centerline. Motor controllers24and26for controlling power input to motors14and15, respectively, are located adjacent to either motor14or15, or in another convenient location. A User Input Display50may be mounted to the rigid frame at a convenient location for an operator. FIG.3shows three views (FIG.3A,FIG.3B,FIG.3C) of a rotor assembly12or13to better illustrate a means for tilting the rotor assembly. In these views, the rotor assembly12or13is configured for a single degree of rotational freedom. The three views are a perspective view, a side view, and a cross sectional side view taken along Section A-A, as shown. The axes x, y, and z establish orthogonal coordinates for purposes of illustration. The x-axis runs in a horizontal side-to-side direction perpendicular to the centerline of the power trowel. The y-axis runs in a horizontal fore-to-aft direction parallel to the centerline. The z-axis runs in a vertical direction perpendicular to the x-axis and y-axis. The coordinate axes in the perspective view indicate that this rotor assembly12or13is configured for one rotational degree of freedom about the y-axis. FIG.4shows another three views (FIG.4A,FIG.4B,FIG.4C) of a rotor assembly12or13to illustrate a means for tilting the rotor assembly configured for two degrees of rotational freedom. The three views are a perspective view, a side view, and a cross sectional side view taken along Section A-A, as shown, with coordinate axes x, y, and z indicated as inFIG.3. The coordinate axes in the perspective view indicate that this rotor assembly12or13is configured for two rotational degrees of freedom about both the x-axis and the y-axis. The two degrees of rotational freedom are provided by means of steering actuators17in a similar fashion to the single degree of rotational freedom as previously described. The steering actuator17is configured to tilt rotor assembly12or13with respect to the rigid frame about the x and y axes. The lower right figure also shows the trowel blades32of the rotor assembly rotatably connected through a gearbox52to the motor14or15. FIG.5is a block diagram of one embodiment500of a hybrid drive train for a self-propelled power trowel according to the invention. The main components of the hybrid drive train500include an engine18, a 3-phase electric generator20, a DC rectifier22, 3-phase inverters24,26(the “N1” and “N2” inverters), and AC motors14,15for the “N1” and “N2” rotor assemblies. An optional DC battery28may be connected across the input terminals of the inverters24,26. The bulkier components such as the engine18are mounted directly to the rigid frame of the power trowel. Other components may also be mounted to the rigid frame, or may be mounted directly to one of the bulkier components. In this embodiment, the combination of engine18, generator20, and rectifier22serves as the electrical power source10described above. Engine18is the prime mover for the drive train of the power trowel. Engine18is preferably a gasoline or diesel engine, but it may also run on other fuel sources. For example, one embodiment of the power trowel may employ a Ford model MSG 425 2.5-liter gasoline, natural gas, or liquefied petroleum gas engine. Another, lighter duty embodiment of the power trowel may comprise a Ford model TSG-415 1.5-liter engine. Other makes and models of engines may be used as engine18, depending on the scale of the power trowel and the desired fuel source. In the drive train, the engine18is mechanically coupled to the generator20to provide mechanical energy thereto. Generator20comprises a 3-phase AC electrical generator that converts the mechanical energy of the engine18into electrical power. The size of the generator20may be selected according to the power requirements of the drive train. In one embodiment, generator20is a Parker Hannifin model GVM-210-100, permanent magnet liquid-cooled synchronous AC motor, having a peak output torque rating of 168 Nm, and having a maximum peak power rating of 142 kW. Generator20may be coupled to the rectifier22by a resolver cable19for purposes of feedback control. Another embodiment would use an encoder for feedback control. The electrical output of the generator20is transmitted by 3-phase power cable21to the DC rectifier22. The DC rectifier22converts the 3-phase AC power to a DC voltage. An optional battery28may be connected across the terminals of the DC rectifier22, to assist in supplying power to motors14,15during periods of high demand, and to absorb energy in the event of back emf. In one embodiment, the DC rectifier may comprise a Sevcon voltage-matched inverter compatible with GVM series motors and operating in rectifier mode. The DC power output from DC rectifier22is coupled to the input terminals of each of two 3-phase inverters24,26, which correspond to the left (N1) and right (N2) rotor assemblies12,13. The inverters24,26may each comprise a Sevcon voltage-matched inverter compatible with GVM series motors. The power output from each inverter24,26is supplied via 3-phase power cables27to an AC motor14or15, respectively. Each inverter24,26may be coupled to its corresponding AC motor14,15by a resolver or encoder cable25for purposes of feedback control. Motors14,15are preferably identical models. Each motor14,15preferably comprises a 3-phase, brushless, synchronous AC motor that provide the motive force for rotor assemblies12,13. The size of the motors14,15may be selected according to the power requirements of the drive train. In one embodiment, each motor14,15is a Parker Hannifin model GVM-210-075X, permanent magnet liquid-cooled synchronous AC motor, having a peak output torque rating of 82 Nm, and having a peak power rating of 23 kW. FIG.6is a block diagram of an embodiment600of a hybrid drive train for a self-propelled power trowel according to the invention. In this embodiment, the electrical power source10is achieved by means of a DC battery28and battery charger30, which are used in lieu of the engine, generator, and rectifier described in the previous embodiment. Battery charger30is configured to convert AC power, e.g. from a standard 120 or 240 VAC source, into an appropriate DC voltage for charging the battery30. Battery30may be any type known in the art and suitable for this purpose, such as a lithium-ion battery pack or other type used for powering electric vehicles. The power trowel is configured so that the battery charger30may be plugged into an electrical outlet for charging while the power trowel is not in service, and disconnected from power when the battery has sufficient charge to drive the trowel. Inverters24,26and AC motors14,15operate as described in the previous embodiment. FIG.7is a block diagram of a control scheme700for a hybrid drive train for a self-propelled power trowel according to the invention. Central to control scheme700is a Machine Control Unit, or MCU42. The MCU42is a programmable controller having a processor coupled to memory that stores various control algorithms for operating the components of the drive train. In particular, the MCU42is configured for adjusting the electrical input to the motors14,15, via the rectifiers24,26using feedback control and operator input, to allow for safe and effective operation of the power trowel. In one embodiment, MCU42comprises a Parker Hannifin model IQAN-MC4 master controller. The MCU42can be mounted directly to the rigid frame of the power trowel and connected by control cabling to the various instruments and components of the power trowel. The control cabling is indicated in the figure by dashed lines. The arrows indicate the direction of transmission of communication and control signals. MCU42is configured for two-way communication with an Engine Control Unit (ECU)40, the rectifier22, the inverter24, the inverter26, and the User Input Display50. Rectifier22functions as a controller for generator20. Inverters24and26function, respectively, as controllers for motors14and15. These inverter and rectifier modules may be proprietary controllers provided by the OEMs of the engine, generator, and motor. The rectifier22and inverters24and26may configured for receiving control signals representing temperature, speed, current, voltage, and/or torque detected for a corresponding motor or generator, and feeding these signals back to MCU42. Control signals representing a desired current, voltage, speed, or torque (e.g., an output of an MCU42control algorithm) may be transmitted from MCU42to rectifier22or to an inverters24,26for output to the motor or generator14,15, or20. For example, scheme700allows for operation of the motors14,15within a safe temperature range. MCU42, receiving a rising temperature signal from motor14, can, through execution of an appropriate control algorithm, cool the motor by commanding motor controller24to reduce their speed thereby lowering the current in the windings. Many other control algorithms are made possible by scheme700. For example, the rotor assemblies can be operated at constant torque, or at constant speed, by varying the speed of generator20, and the duty cycle of the AC signal output by the motor controllers24,26, etc. Manual control signals may be generated by means of the User Input Display50. The User Input Display50provides a human interface to the MCU42, and allows a human operator to program the MCU42for automatic operation, to effect manual control, and to access system information via graphical user interface. The User Input Display50includes a microprocessor, memory, an operating system, and software configured with human interfacing and non-human interfacing communication protocols. In one embodiment, the User Input Display50comprises a Parker Hannifin model IQAN-MD3 display unit. The User Input Display50may also communicate with and translate manual control signals from pushbutton51, foot pedal52, joystick53, or other digital or analog inputs that allow a human operator to operate the power trowel, and may also provide the operator with a means for programming the manual controls for customized operation. In another embodiment, some of these manual controls may connect directly to the MCU42. Reverse Rotation Self-propelled riding trowels, such as those herein described, operate with the rotors rotating in opposite directions. That is, from the perspective of an operator mounted atop the trowel100, when the operator is facing forward (looking out of the page fromFIG.1) the rotor13rotates clockwise and the rotor12rotates counter-clockwise. This situation is also depicted inFIG.8, which shows a top view of a simplified model of rotors12and13mounted to a rigid frame60for a trowel according to the invention. In operation, this counter-rotational movement tends to draw small bits of concrete and other debris in between the rotor assemblies and force the debris toward the rear of the trowel in the direction61. When finishing concrete near the edges of the pour, that is, at the form edge58, skilled operators will drive the trowel in reverse and back up toward the form edge58. This technique advantageously forces the debris to the form edge58, where it can be more easily collected for eventual disposal. The difficulty with this technique is that it requires the operator to turn his head and look over his shoulder to guide the trowel while operating the trowel in reverse. In this position, the operator's field of vision is limited and less than ideal, not to mention that it causes considerable discomfort in the head and neck region of the operator. The problem is especially challenging for operators who have a neck injury or some other condition that limits their ability to rotate their neck. The present invention provides two solutions to the aforesaid problem of finishing a pour close to the form edge, in which solutions the operator is not required to turn his head. In the first solution, a self-propelled riding trowel according to the invention is configured with special controls that allow an operator to reverse the rotational direction of the rotors12and13. This situation is depicted inFIG.9. When the rotor rotations are so reversed, the debris is forced through the trowel in the direction62, which is opposite direction61, and the operator can approach the form edge58while driving the trowel in a forward direction. Thus, the operator can advantageously look straight ahead with a full field of view and still force debris to the form edge58. Reversing the rotational direction of the rotors, however, will cause the trowel to respond oppositely to the steering controls. That is, a forward command (e.g. from a joystick control) will cause the trowel to move in the reverse direction, and vice versa. To alleviate this problem, self-propelled trowels having reversible rotors according to the present invention may also be configured with a means for inverting the steering controls in response to reversal of rotor rotation. With this feature, the operation of the steering controls required to cause a desired directional movement of the trowel remains the same, regardless of the direction of rotation of the rotatable rotor assemblies. For example, on a hydraulically driven trowel, one method for reversing the rotation of the trowel rotors is illustrated as follows: First and second electrical control inputs may be coupled to the hydraulic pump and configured so that energization of the first control input actuates a first control valve to cause hydraulic fluid to flow through one side of the pump, and so that energization of the second control input actuates a second control valve to cause hydraulic fluid to flow through an opposite side of the pump. The direction of flow of the hydraulic fluid determines the direction of rotation of a swashplate which, in turn, determines the direction of rotation of the trowel rotors. The control inputs may be energized, for example by operator action such as a pushbutton control. Action of the pushbutton control also causes a steering inversion signal to effect inversion of the steering controls. In one example, the steering inversion may be effected by the steering inversion signal causing a set of spool valves to shift from one position to another, thereby changing the direction of fluid flow in hydraulic lines that supply the steering actuator that is coupled to the rotor assembly. In another example, on an electrically driven trowel, the rotational direction (positive or negative) of the rotors may be determined by commanding the rotor drive controls for positive (or negative) torque and for positive (or negative) speed. The command signal may be initiated by actuation of an operator pushbutton or other control configured to cause the desired drive signal. Steering inversion logic may invert the steering controls by simply outputting command signals to different pins, in response to the pushbutton actuation. FIG.10shows one example of inversion logic that may be implemented in a control scheme1000for either a hydraulically driven or electrically driven trowel according to the invention. Input64represents a control signal initiated by an operator pressing a pushbutton, e.g. on a joystick, mounted to a control panel, or displayed on a touch-screen user input display. The state machine65determines which of logic devices66or67receives the signal. For example, each time the button64is pressed, state machine65switches its output from one logic device to the other. For example, when state machine65activates AND gate66, a logical one, or high voltage signal, is output as the CMD signal. On the other hand, when state machine65activates NAND gate67, a logical negative one, or low voltage signal, is output as the CMD signal. In the case of the hydraulically driven trowel, a +1 CMD signal would open a control valve, and a −1 CMD signal would close the same control valve. The control valve would, in turn, control hydraulic fluid flow to another valve that actuates the hydraulic actuator. In the case of the electrically driven trowel, the same logic circuit1000could be used to directly command a valve that feeds the hydraulic actuators. In the second solution, the invention provides a self-propelled riding trowel configured with a back-up camera that outputs a video signal in real time to the user input display50. This allows the operator to approach the form edge in reverse, without reversing the rotational direction of the rotors, while looking forward at the visual display. Another control feature for a self-propelled trowel according to the invention allows an operator to adjust the resolution of the throttle or foot pedal that controls rotor speed. In other words, the invention allows the operator to re-scale the span of speeds that are controlled by the foot pedal. For example, maximum speed can be manually set by the operator by selecting a desired maximum speed using controls available on the user display input. When the desired speed maximum speed is selected, programming logic sets the desired maximum speed to coincide with maximum depression of the foot pedal. In this condition, the span of speeds controllable by the foot pedal ranges from zero (or from some other operator-selected minimum speed) to the desired maximum speed. Thus, if 130 RPM is selected as the maximum speed, the rotors are programmed to achieve 130 RPM when the foot pedal is fully depressed, approximately 65 RPM when the foot pedal is halfway depressed, etc. Higher resolution, or finer control, over rotor speed can thereby be made available to an operator by setting lower maximum speeds. Another control feature for a self-propelled trowel according to the invention allows an operator to set a fixed rotor speed by throttling the foot pedal52to a desired speed, then pressing a cruise control switch88or touch-screen button on the user input display. Pressing the cruise control switch88invokes control logic that maintains power to the rotors at a fixed level to achieve the desired speed regardless of foot pedal position. In one embodiment, pressing the cruise control switch88a second time cancels the cruise control feature and returns the scheme to normal control mode. FIG.11illustrates another embodiment of the invention, in which a self-propelled riding trowel is equipped with a means for storing energy and a means for discharging the stored energy to assist in driving a required load. Drive train1100is a hybrid drive train similar in form and operation to the drive train described above with reference toFIG.5. Drive train1100, however, includes a capacitor bank68couples in parallel with the output of DC rectifier22. A battery bank28may be optionally installed in parallel with the capacitor bank68. Capacitor bank68may consist of one or more capacitors, such as high power ceramic or film capacitors, configured to achieve a desired capacitance. During changing load conditions, the capacitor bank68can smooth a transient voltage condition appearing across the output of DC rectifier22, for example, by discharging to mitigate the effects of back EMF. In a hydraulically driven embodiment of a self-propelled trowel according to the invention, one or more accumulators may be installed in the hydraulic fluid circuit to achieve the analogous effect of discharging stored energy into the drive circuit in the form of pressurized hydraulic fluid to assist the drive train in driving a required load during transient loading conditions. FIGS.12through22show additional features that may be installed on a self-propelled trowel according to the present invention. FIG.12illustrates an embodiment of a joystick control53equipped with three pushbutton controls51. In this example, each of the three pushbuttons corresponds to a unique control feature that allows an operator to either (1) Increase Pitch, (2) Decrease Pitch, or (3) place the trowel in Panning Mode. The joystick53allows the operator to steer the trowel by moving the joystick in a direction representing a desired direction of movement. FIG.13shows another view of a manual control in the form of a joystick53. The joystick53is equipped with a single control button54that can affect three different control features, depending whether control button54is depressed forward, to the center, or to the rear. When control button54is depressed forward, it effects a right pitch of the rotor blades. When control button54is depressed in the center, it effects a twin pitch of the rotor blades. And when control button54is depressed to the rear, it effects a left pitch of the rotor blades. Joystick53may also be equipped with a switch55for controlling an auxiliary function, such as spraying a retardant or other chemical from a nozzle located elsewhere on the trowel frame60. FIG.14shows various other controls and features that can be mounted on a trowel frame60of the present invention. Theses controls and accessories may include one or more of a cup holder and accessory bin56, a retardant tank57, and a foot pedal52that is used as a throttle to control the rotational speed of the rotor blades. FIG.15shows a joystick53configured with a multi-function switch59. The multi-function switch59can function as a Cruise Control Switch which can be activated when the rotor blades are rotating at a desired speed. The same switch59can function as an Engine Speed Adjustment that allows an operator to customize the throttle speed span by setting a maximum speed when the blades are not rotating. The desired speed may be achieved by using one or both of the Increase Max Blade Speed button63and Decrease Max Blade Speed button69to adjust the speed setting up and down until the desired speed is achieved, at which point the Engine Speed Adjustment button can be pressed. User input display50is also shown, along with a Key Switch71that is used when starting the trowel, and an optional Fuel Selection Switch72that allows an operator to select propane or gas as the fuel source for the on-board engine. FIG.16shows the Right Retardant Spray Switch73mounted beneath the handle of a joystick53. When the Right Retardant Spray Switch is depressed, it causes retardant to flow through a spray nozzle mounted elsewhere on the trowel frame60. FIGS.17and18show electrical connectors mounted to the right and left sides, respectively, of the rigid frame60. A Diagnostic Connector74may be provided to allow a diagnostic program to assess the operability of the trowel systems. A Drive Bypass Switch75, also mounted to the rigid frame60, may be provided on both the left and right sides of the trowel, as shown. FIG.19shows a perspective view looking downward at a joystick53, illustrating the location of a Left Retardant Spray Switch76from the operator's perspective. FIG.20shows additional features of a trowel according to the invention. Shown in this figure are mounting locations for a USB Charger77, Cup Holder and Accessory Bin56, Propane Tank Mount78and Propane Hose79, Fuel Tank Fill Port80, Retardant Tank Fill Port81, and foot pedal52(i.e., Blade Speed Control). FIG.21shows a perspective view looking downward at a joystick53and user input display50, from the perspective of an operator. Also shown are mounting locations for a Multifunction Controller82, the Key Switch71, and the Fuel Selection Switch83. FIG.22shows a magnified view of the Multifunction Controller82. The Multifunction Controller provides various additional parametric controls within reach of the operator, including a Pitch System Selection switch84, a Blade Speed Adjustment switch85, a Panning Mode switch86(which must be held for about 3 seconds to activate its feature), and a Multifunction Input wheel87, which may be rotated to increment a selected parameter, and pressed to effect selection at a desired level. The Multifunction Controller82also provides a Cruise Control switch88and a Work Lights switch89. FIGS.23through32show various examples of display screens that can be visually provided to an operator on the user input display50. The user input display50also provides multiple pushbuttons (F1, F2, F3, F4) that allow the operator to toggle among different display screens, or adjust different parameter levels. The screen ofFIG.23shows the operator speedometers90and91for each of the left and right rotors, along with various other symbols that communicate operating conditions of the trowel, including direction of travel92, headlight status93, and temperature94. The screen ofFIG.24in the lower right screen area shows an icon95that indicates to the operator that the trowel has been placed in reverse rotation mode, that is, the rotors are rotating in directions opposite to their normal directions of rotation. The icon95may be displayed responsive to the operator changing the mode of operation to reverse rotation mode. The screens ofFIGS.25,26and27show various Machine operating parameters and conditions. The screens ofFIGS.28and29show various Fault or alarm icons that illuminate when the trowel control system detects a fault in one or more subsystems. The screens ofFIGS.30and31show various conditions of Engine operating parameters. Finally,FIG.32shows a Dual Pitch display96that may indicate the degree of pitch for each rotor assembly. Exemplary embodiments of the invention have been disclosed in an illustrative style. Accordingly, the terminology employed throughout should be read in a non-limiting manner. Although minor modifications to the teachings herein will occur to those well versed in the art, it shall be understood that what is intended to be circumscribed within the scope of the patent warranted hereon are all such embodiments that reasonably fall within the scope of the advancement to the art hereby contributed, and that that scope shall not be restricted, except in light of the appended claims and their equivalents. | 31,970 |
11859396 | DETAILED DESCRIPTION Hereinafter, an example of a reinforcing bar binding machine, which is an embodiment of the binding machine of the present disclosure, will be described with reference to the drawings. Example of Configuration of Reinforcing Bar Binding Machine of Embodiment FIG.1is a view depicting an example of an entire configuration of a reinforcing bar binding machine of an embodiment, as seen from a side, andFIG.2is a view depicting an example of a main configuration of the reinforcing bar binding machine of the embodiment, as seen from a side. A reinforcing bar binding machine1A of an embodiment is configured to feed wire W in a forward direction, which is one direction, to wind the wires around reinforcing bars S, which are an object to be bound, to feed the wire wound around the reinforcing bars S in a reverse direction, which is the other direction, to wind the wire on the reinforcing bars S, and to twist the wire W, thereby binding the reinforcing bars S with the wire W. To this end, the reinforcing bar binding machine1A includes a magazine2A, which is an accommodation unit configured to accommodate therein the wire W, and a wire feeding unit3A configured to feed the wire W. Also, the reinforcing bar binding machine1A includes a first wire guide4A1configured to guide the wire W, which is to be fed into the wire feeding unit3A, and a second wire guide4A2configured to guide the wire W, which is to be delivered from the wire feeding unit3A. Also, the reinforcing bar binding machine1A includes a curl guide unit5A configured to form a path along which the wire W fed by the wire feeding unit3A is to be wound around the reinforcing bars S, and a cutting unit6A configured to cut the wire W wound around the reinforcing bars S. Also, the reinforcing bar binding machine1A includes a binding unit7A configured to twist the wire W wound on the reinforcing bars S. The magazine2A is an example a reel accommodation unit. A reel20on which the long wire W is wound to be reeled out is rotatably and detachably accommodated in the magazine2A. In the reinforcing bar binding machine1A of the embodiment, the two wires W are wound to be reeled out on the reel20so that the reinforcing bars S can be bound with the two wires W. A wire made of a plastically deformable metal wire, a wire having a metal wire covered with a resin, a twisted wire or the like can be used as the wire W. FIGS.3and4depict an example of the wire feeding unit. Subsequently, a configuration of the wire feeding unit3A is described. The wire feeding unit3A includes, a first feeding gear30L and a second feeding gear30R configured to feed the wire W by a rotating operation. The first feeding gear30L and the second feeding gear30R are a pair of feeding members configured to sandwich and feed two wires W aligned in parallel. The first feeding gear30L has a tooth part31L configured to transmit a drive force. In this example, the tooth part31L has a spur gear shape, and is formed on an entire circumference of an outer periphery of the first feeding gear30L. Also, the first feeding gear30L has a groove portion32L into which the wire W enters. In this example, the groove portion32L is a concave portion of which a sectional shape is a substantial V shape, and is formed on the entire circumference of the outer periphery of the first feeding gear30L along a circumferential direction. The second feeding gear30R has a tooth part31R configured to transmit a drive force. In this example, the tooth part31R has a spur gear shape, and is formed on an entire circumference of an outer periphery of the second feeding gear30R. Also, the second feeding gear30R has a groove portion32R into which the wire W enters. In this example, the groove portion32R is a concave portion of which a sectional shape is a substantial V shape, and is formed on the entire circumference of the outer periphery of the second feeding gear30R along a circumferential direction. The first feeding gear30L and the second feeding gear30R are provided with the feeding path of the wire W being interposed therebetween so that the groove portion32L and the groove portion32R are arranged to face each other. The first feeding gear30L and the second feeding gear30R are pressed so that the first feeding gear30L and the second feeding gear30R come close to each other so as to sandwich the wire W therebetween. Thereby, the wire feeding unit3A sandwiches the wire W between the groove portion32L of the first feeding gear30L and the groove portion32R of the second feeding gear30R. Therefore, the wire feeding unit3A includes a displacement member36configured to displace the second feeding gear30R in directions of coming close to and separating from the first feeding gear30L. The second feeding gear30R is rotatably supported to one end portion of the displacement member36by a shaft300R. Also, the other end portion of the displacement member36is supported to a support member301of the wire feeding unit3A so that the displacement member can rotate about a shaft36a, which is a support point. The displacement member36is configured to be pressed by a spring (not shown) and to be displaced in an arrow V1direction by a rotating operation about the shaft36a, which is a support point. Thereby, the second feeding gear30R is pressed toward the first feeding gear30L by a force of a spring38. When the wire W is mounted between the first feeding gear30L and the second feeding gear30R, the wire W is sandwiched by the groove portion32L of the first feeding gear30L and the groove portion32R of the second feeding gear30R. Also, at a state where the wire W is sandwiched between the groove portion32L of the first feeding gear30L and the groove portion32R of the second feeding gear30R, the tooth part31L of the first feeding gear30L and the tooth part31R of the second feeding gear30R are meshed with each other. Thereby, the drive force is transmitted between the first feeding gear30L and the second feeding gear30R by rotation. The wire feeding unit3A includes a feeding motor33, and a drive force transmission mechanism34configured to transmit a drive force of the feeding motor33to the first feeding gear30L. The feeding motor33is an example of the prime mover configured to drive one of the first feeding gear30L and the second feeding gear30R. In this example, the feeding motor33is configured to drive the first feeding gear30L. The drive force transmission mechanism34is an example of a motor drive force transmission part. The drive force transmission mechanism34includes a small gear33amounted to a shaft of the feeding motor33and a large gear33bconfigured to mesh with the small gear33a. Also, the drive force transmission mechanism34includes a feeding small gear34a, which the drive force is transmitted thereto from the large gear33band is configured to mesh with the first feeding gear30L. The small gear33a, the large gear33band the feeding small gear34aare respectively configured by a spur gear. The first feeding gear30L is configured to rotate as a rotating operation of the feeding motor33is transmitted thereto via the drive force transmission mechanism34. The second feeding gear30R is configured to rotate in conjunction with the first feeding gear30L as a rotating operation of the first feeding gear30L is transmitted thereto through engagement between the tooth part31L and the tooth part31R. Thereby, the wire feeding unit3A is configured to feed the wire W sandwiched between the first feeding gear30L and the second feeding gear30R along the extension direction of the wire W. In the configuration of feeding the two wires W, the two wires W are fed with being aligned in parallel by a frictional force that is to be generated between the groove portion32L of the first feeding gear30L and one wire W, a frictional force that is to be generated between the groove portion32R of the second feeding gear30R and the other wire W, and a frictional force that is to be generated between one wire W and the other wire W. The wire feeding unit3A is configured so that the rotation directions of the first feeding gear30L and the second feeding gear30R are switched and the feeding direction of the wire W is switched between the forward and reverse directions by switching the rotation direction of the feeding motor33between the forward and reverse directions. Subsequently, the wire guide configured to guide the feeding of the wire W is described. As shown inFIG.2, the first wire guide4A1is arranged upstream of the first feeding gear30L and the second feeding gear30R with respect to the feeding direction of the wire W to be fed in the forward direction. Also, the second wire guide4A2is arranged downstream of the first feeding gear30L and the second feeding gear30R with respect to the feeding direction of the wire W to be fed in the forward direction. The first wire guide4A1and the second wire guide4A2have a guide hole40A through which the wire W is to pass, respectively. The guide hole40A has a shape for regulating a radial position of the wire W. In the configuration of feeding the two wires W, the first wire guide4A1and the second wire guide4A2are respectively formed with the guide hole40A having a shape through which the two wires W is to pass with being aligned in parallel. The guide hole40A of the first wire guide4A1and the second wire guide4A2is provided on a feeding path L of the wire W to pass between the first feeding gear30L and the second feeding gear30R. The first wire guide4A1is configured to guide the wire W to pass through the guide hole40A to the feeding path L between the first feeding gear30L and the second feeding gear30R. A wire introduction part, which is provided upstream of the guide hole40A with respect to the feeding direction of the wire W to be fed in the forward direction, has a tapered shape of which an opening area is larger at an upstream side than a downstream side, such as a conical shape, a pyramid shape or the like. Thereby, the wire W can be easily introduced into the first wire guide4A1and the second wire guide4A2. Subsequently, the curl guide unit5A configured to form the feeding path of the wire W along which the wire W is to be wound around the reinforcing bars S is described. The curl guide unit5A includes a curl guide50(first guide) configured to curl the wire W, which are being fed by the first feeding gear30L and the second feeding gear30R, and an inductive guide51(second guide) configured to guide the wire W delivered from the first guide50toward the binding unit7A. The first guide50has a guide groove52configuring the feeding path of the wire W, and a first guide pin53aand a second guide pin53bserving as a guide member for curling the wire W in cooperation with the guide groove52. The first guide pin53ais an example of the guide member and is provided at an introduction part-side of the first guide50, to which the wire W being fed by the first feeding gear30L and the second feeding gear30R are introduced, and is arranged at a radially inner side of a loop Ru to be formed by the wire W with respect to the feeding path of the wire W configured by the guide groove52. The first guide pin53ais configured to regulate the feeding path of the wire W so that the wire W being fed along the guide groove52do not enter the radially inner side of the loop Ru to be formed by the wire W. The second guide pin53bis provided at a discharge part-side of the first guide50, from which the wire W being fed by the first feeding gear30L and the second feeding gear30R are discharged, and is arranged at a radially outer side of the loop Ru to be formed by the wire W with respect to the feeding path of the wire W configured by the guide groove52. The curl guide unit5A includes a retraction mechanism53configured to retract the first guide pin53a. The retraction mechanism53is configured to be displaced in conjunction with the operation of the binding unit7A after the wire W is wound around the reinforcing bars S, and to retract the first guide pin53afrom a moving path of the wire W before the wire W is wound on the reinforcing bars S. The second guide51has a third guide part54configured to regulate a radial position of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S, and a fourth guide part55configured to regulate a position along an axial direction Ru1of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S. The third guide part54has a wall surface54athat is provided at a radially outer side of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S, and is configured by a surface extending along the feeding direction of the wire W. When the wire W is wound around the reinforcing bars S, the third guide part54regulates a radial position of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S, by the wall surface54a. The fourth guide part55is provided at an introduction-side of the wire W and has wall surfaces55athat are provided at both sides in the axial direction Ru1of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S, and are configured by surfaces erecting from the wall surface54atoward the radially inner side of the loop Ru. When the wire W is wound around the reinforcing bars S, the fourth guide part55regulates a position along the axial direction Ru1of the loop Ru, which is formed by the wire W to be wound around the reinforcing bars S, by the wall surfaces55a. Thereby, the wire W delivered from the first guide50are guided to the third guide part54by the fourth guide part55while a position of the axial direction Ru1of the loop Ru to be formed around the reinforcing bars S is regulated by the wall surfaces55aof the fourth guide part55. In this example, the second guide51is supported to the third guide part54at a state where the third guide part54is fixed to the main body part10A of the reinforcing bar binding machine1A and the fourth guide part55can rotate about a shaft55b, which is a support point. The fourth guide part55is configured so that an introduction-side, to which the wire W delivered from the first guide50is to be introduced, can be opened and closed in directions of separating from and coming close to the first guide50. Thereby, after binding the reinforcing bars S with the wire W, the fourth guide part55is retracted during an operation of pulling out the reinforcing bar binding machine1A from the reinforcing bars S, so that it possible to easily perform the operation of pulling out the reinforcing bar binding machine1A from the reinforcing bars S. Subsequently, the configuration of curling the wire W is described. The wire W that is fed by the first feeding gear30L and the second feeding gear30R are curled as the radial position of the loop Ru to be formed by the wire W is regulated at least at three points of two points of the radially outer side of the loop Ru formed by the wire W and one point of the radially inner side between the two points. In this example, a radially outer position of the loop Ru to be formed by the wire W is regulated at two points of the second wire guide4A2provided upstream of the first guide pin53aand the second guide pin53bprovided downstream of the first guide pin53awith respect to the feeding direction of the wire W that is fed in the forward direction. Also, a radially inner position of the loop Ru to be formed by the wire W is regulated by the first guide pin53a. FIG.5is a top view depicting an example of a fixed blade part and a moveable blade part of the cutting unit of the embodiment,FIG.6is a partial side sectional view depicting the example of the fixed blade part and the moveable blade part of the cutting unit of the embodiment,FIG.7is an exploded perspective view depicting the example of the cutting unit of the embodiment, andFIG.8is a side view depicting the example of the cutting unit of the embodiment. In the below, the cutting unit6A configured to cut the wire W wound on the reinforcing bars S is described. The cutting unit6A includes a fixed blade part60A, a moveable blade part61A configured to cut the wire W in cooperation with the fixed blade part60A, and a transmission mechanism62A configured to transmit an operation of the binding unit7A to the moveable blade part61A. The fixed blade part60A is an example of the blade part. The fixed blade part60A has a shaft portion600A and an opening601A through which the wire W is to pass. The shaft portion600A has a cylindrical shape. An axial end portion of the shaft portion600A is fixed to a support member650shown inFIGS.7and8. The opening601A is formed to penetrate the shaft portion600A in a direction perpendicular to the axial direction. The opening601A has a shape through which the two wires W can pass with being aligned in parallel. In this example, a sectional shape of the opening601A is a long hole shape in a direction in which the two wires W are aligned in parallel, and a longitudinal direction of the long hole shape is an axial direction of the shaft portion600A. The opening601A has a tapered shape of which an opening area of an introduction-side of the opening601A becomes larger with respect to the feeding of the wire W in a forward direction denoted with an arrow D1, for example. Also, the opening601A has a tapered shape of which an opening area of an introduction-side of the opening601A becomes larger with respect to the feeding of the wire W in a reverse direction denoted with an arrow D2, for example. Thereby, in any case where the wire W having passed through the opening601A of the fixed blade part60A is fed in the forward direction or the reverse direction, a situation where the wire W is pressed to an end portion of the introduction-side of the opening601A and a resistance against the feeding is thus generated is suppressed. The fixed blade part60A is provided downstream of the second wire guide4A2with respect to the feeding direction of the wire W to be fed in the forward direction, and the opening601A configures a third wire guide. The moveable blade part61A is an example of the blade part. The moveable blade part61A has a moveable part main body610A, a hole portion611A in which the shaft portion600A of the fixed blade part60A is inserted, a shaft support portion612A configured to support the moveable part main body610A to the shaft portion600A, and a moveable blade613A configured to cut the wire W to pass through the opening601A of the fixed blade part60A. The hole portion611A is configured as a circular opening conforming to an outer shape of the shaft portion600A of the fixed blade part60A, and is formed to penetrate the moveable part main body610A. The shaft support portion612A and the moveable blade613A protrude from one surface of the moveable part main body610A along the axial direction of the hole portion611A, in conformity to a position of an inner surface of the hole portion611A. In the meantime, at a state where the shaft portion600A of the fixed blade part60A is inserted in the hole portion611A of the moveable blade part61A and the moveable blade part61A is mounted to the fixed blade part60A, the axial direction of the shaft portion600A of the fixed blade part60A and the axial direction of the hole portion611A of the moveable blade part61A are parallel with each other and substantially coincides with each other. When the shaft portion600A of the fixed blade part60A is inserted in the hole portion611A, the moveable blade part61A is mounted to the fixed blade part60A so that it can rotate relative to the fixed blade part60A about the shaft portion600A, which is a support point. At a state where the shaft portion600A of the fixed blade part60A is inserted in the hole portion611A of the moveable blade part61A, the moveable blade613A is provided at a position following an outer peripheral surface of the shaft portion600A of the fixed blade part60A and extends along the axial direction of the shaft portion600A of the fixed blade part60A. The moveable blade613A is configured to circumferentially move along a circumferential surface of the shaft portion600A in directions of opening and closing the opening601A of the fixed blade part60A by the rotating operation of the moveable blade part61A about the shaft portion600A of the fixed blade part60A, which is a support point. The moving direction of the moveable blade613A relative to the opening601A is perpendicular to a longitudinal direction of the opening601A having a long hole shape. The moveable blade613A of the moveable blade part61A is configured to sliding contact one opening end of the opening601A of the fixed blade part60A by the rotating operation about the fixed blade part60A, which is a support point. During a cutting operation of the wire W, the moveable blade63A is moved in an arrow C1direction. When the moveable blade613A is moved in the arrow C1direction, the wire W having passed through the opening601A is put (interposed) between the opening601A of the fixed blade part60A and the moveable blade613A of the moveable blade part61A. The wire W put between the fixed blade part60A and the moveable blade part61A are pressed in the arrow C1direction by the moveable blade613A, and are pressed to an end edge portion601A1, which configures a blade of the fixed blade part60A, of the opening601A. Then, the moveable blade613A of the moveable blade part61A is sliding contacted to the end edge portion601A1of the fixed blade part60A and the moveable blade613A is further moved in the arrow C1direction, so that a force of shearing the wire W is applied and the wire W is thus cut. Subsequently, a configuration of a delay part configured to delay a timing at which the cutting is to start for one wire W of the two wires W as compared to a timing at which the cutting is to start for the other wire W so as to reduce the load is described. The fixed blade part60A has an escape part602A1as a delay part configured to escape one wire W with respect to the moveable blade part61A and to thereby delay a timing of the cutting start during an operation of cutting the two wires W with the moveable blade part61A. The escape part602A1is provided (located) by forming a concave part having a shape, into which a part of one wire W is to enter, at the end edge portion601A1, to which one wire W is to be pressed, of the opening end of the opening601A to which the wire W is to be pressed during the movement of the moveable blade part61A in the arrow C1direction. Subsequently, a configuration of operating the moveable blade part61A is described. The transmission mechanism62A includes a first link620A to which the operation of the binding unit7A is to be transmitted, and a second link621A configured to transmit an operation of the first link620A to the moveable blade part61A. The first link620A is rotatably supported to a shaft622A of the support member650. The first link620A has a pressed part623A, to which the operation of the binding unit7A is to be transmitted, at one end portion with the shaft622A being interposed therebetween. Also, the first link620A has a shaft624A configured to rotatably support the second link621A at the other end portion with the shaft622A being interposed therebetween. The second link621A is coupled at one end portion to the moveable blade part61A to be rotatable about a shaft614A of the moveable blade part61A, which is a support point. The shaft614A of the moveable blade part61A is provided at a position distant from the hole portion611A by a predetermined length, in which the shaft portion600A of the fixed blade part60A, which is a support point of the rotating operation of the moveable blade part61A, is to be inserted. Also, the second link621A is coupled at the other end portion to the first link620A to be rotatable about the shaft624A, which is a support point. The transmission mechanism62A is configured so that the operation of the binding unit7A is transmitted to the first link620A through the transmission member630and the first link620A is thus rotated about the shaft622A, which is a support point. The transmission mechanism62A is configured so that the rotating operation about the shaft622A of the first link620A, which is a support point, is transmitted to the moveable blade part61A through the second link621A and the moveable blade part61A is thus rotated about the fixed blade part60A, which is a support point. Thereby, the wire W having passed through the opening601A of the fixed blade part60A are cut by the moveable blade part61A. FIGS.9and10depict an example of the binding unit. In the below, the binding unit7A configured to bind the reinforcing bars S with the wire W is described. The binding unit7A includes a gripping part70configured to grip the wire W, and a bending part71configured to bend one end portion WS and the other end portion WE of the wire W toward the reinforcing bars S. The gripping part70includes a fixed gripping member70C, a first moveable gripping member70L, and a second moveable gripping member70R. The first moveable gripping member70L and the second moveable gripping member70R are arranged at left and right sides with the fixed gripping member70C being interposed therebetween. Specifically, the first moveable gripping member70L is arranged at one side along the axial direction of the wire W to be wound and the second moveable gripping member70R is arranged at the other side, with respect to the fixed gripping member70C. The first moveable gripping member70L and the fixed gripping member70C are configured so that the wire W is to pass between tip ends of the first moveable gripping member70L and the fixed gripping member70C. Also, the second moveable gripping member70R and the fixed gripping member70C are configured so that the wire W is to pass between tip ends of the second moveable gripping member70R and the fixed gripping member70C. The fixed gripping member70C has a shaft76configured to rotatably support the first moveable gripping member70L and the second moveable gripping member70R. The fixed gripping member70C is configured to support rear ends of the first moveable gripping member70L and the second moveable gripping member70R with the shaft76. Thereby, the first moveable gripping member70L is opened and closed in directions in which the tip end thereof separates from and comes close to the fixed gripping member70C by a rotating operation about the shaft76, which is a support point. Also, the second moveable gripping member70R is opened and closed in directions in which the tip end thereof separates from and comes close to the fixed gripping member70C by a rotating operation about the shaft76, which is a support point. The bending part71has a shape covering a periphery of the gripping part70and is provided to be moveable along an axial direction of the binding unit7A. The bending part71has an opening and closing pin71aconfigured to open and close the first moveable gripping member70L and the second moveable gripping member70R. The first moveable gripping member70L and the second moveable gripping member70R have an opening and closing guide hole77configured to open and close the first moveable gripping member70L and the second moveable gripping member70R by an operation of the opening and closing pin71a, respectively. The opening and closing pin71apasses through an inside of the bending part71and is perpendicular to a moving direction of the bending part71. The opening and closing pin71ais fixed to the bending part71, and is configured to move in conjunction with movement of the bending part71. The opening and closing guide hole77extends in a moving direction of the opening and closing pin71a, and has an opening and closing portion78configured to convert linear movement of the opening and closing pin71ainto an opening and closing operation resulting from the rotation of the second moveable gripping member70R about the shaft76, which is a support point. The opening and closing guide hole77has a first standby portion770extending in the moving direction of the bending part71by a first standby distance, and a second standby portion771extending in the moving direction of the bending part71by a second standby distance. The opening and closing portion78extends with being bent obliquely outward from one end portion of the first standby portion770, and couples to the second standby portion771. Meanwhile, inFIGS.9A and9B, the opening and closing guide hole77provided to the second moveable gripping member70R is shown. However, the first moveable gripping member70L is also provided with the opening and closing guide hole77having a bilaterally symmetric shape. As shown inFIG.9A, as the first moveable gripping member70L and the second moveable gripping member70R move in the directions of getting away from the fixed gripping member70C, the gripping part70is formed with a feeding path through which the wire W is to pass between the first moveable gripping member70L and the fixed gripping member70C and between the second moveable gripping member70R and the fixed gripping member70C. The wire W that is fed by the first feeding gear30L and the second feeding gear30R passes between the fixed gripping member70C and the second moveable gripping member70R and are guided to the curl guide unit5A. The wire W curled by the curl guide unit5A passes between the fixed gripping member70C and the first moveable gripping member70L. A side of the reinforcing bar binding machine1A at which the curl guide unit5A shown inFIG.1is provided is referred to a front side. When the bending part71is moved in a forward direction denoted with an arrow F inFIG.10and the opening and closing pin71athus pushes the opening and closing portion78of the opening and closing guide hole77, the first moveable gripping member70L and the second moveable gripping member70R are moved in the directions of coming close to the fixed gripping member70C by the rotating operation about the shaft76, which is a support point. As shown inFIG.9B, the first moveable gripping member70L is moved in the direction of coming close to the fixed gripping member70C, so that the wire W is gripped between the first moveable gripping member70L and the fixed gripping member70C. Also, the second moveable gripping member70R is moved in the direction of coming close to the fixed gripping member70C, so that a gap in which the wire W can be fed is formed at a portion through which the wire W is to pass between the second moveable gripping member70R and the fixed gripping member70C. The bending part71has a bending portion71b1configured to push one end portion WS of the wire W gripped between the first moveable gripping member70L and the fixed gripping member70C. Also, the bending part71has a bending portion71b2configured to push the other end portion WE of the wire W gripped between the second moveable gripping member70R and the fixed gripping member70C. The bending part71is moved in the forward direction denoted with the arrow F, so that one end portion WS of the wire W gripped by the fixed gripping member70C and the first moveable gripping member70L are pushed by the bending portion71b1and are thus bent toward the reinforcing bars S. Also, the bending part71is moved in the forward direction denoted with the arrow F, so that the other end portion WE of the wire W having passed between the fixed gripping member70C and the second moveable gripping member70R are pushed by the bending portion71b1and are thus bent toward the reinforcing bars S. As shown inFIG.2, the binding unit7A includes a length regulation part74configured to regulate positions of one end portion WS of the wire W. The length regulation part74is configured by providing a member, to which one end portion WS of the wire W is to be butted, on the feeding path of the wire W having passed between the fixed gripping member70C and the first moveable gripping member70L. Also, the binding unit7A includes a rotary shaft82, a moveable member83, which is an operated member configured to be displaced by a rotating operation of the rotary shaft82, and a rotation regulation member84configured to regulate rotation of the moveable member83coupled to the rotating operation of the rotary shaft82. Also, the reinforcing bar binding machine1A includes a drive unit8A configured to drive the binding unit7A. The drive unit8A includes a motor80, and a decelerator81for deceleration and torque amplification. The rotary shaft82is driven by the motor80via the decelerator81. The rotary shaft82and the moveable member83are configured so that the rotating operation of the rotary shaft82is converted into movement in a front and back direction along the rotary shaft82of the moveable member83by a screw part provided to the rotary shaft82and a nut part provided to the moveable member83. The binding unit7A has the bending part71integrated with the moveable member83, so that the movement of the moveable member83in the front and back direction causes the bending part71to move in the front and back direction. In an operation area in which the wire W is gripped by the gripping part70and the wire W is bent by the bending part71, the moveable member83, the bending part71, and the gripping part70supported to the bending part71are engaged with the rotation regulation member84, and are thus moved in the front and back direction with the rotating operation being regulated by the rotation regulation member84. Also, when the moveable member83, the bending part71and the gripping part70are disengaged from the rotation regulation member84, they are rotated by the rotating operation of the rotary shaft82. The gripping part70is configured so that the fixed gripping member70C, the first moveable gripping member70L and the second moveable gripping member70R gripping the wire W is rotated in conjunction with the rotation of the moveable member83and the bending part71. The retraction mechanism53of the first guide pin53ais configured by a link mechanism configured to convert the movement of the moveable member83in the front and back direction into the displacement of the first guide pin53a. Also, the cutting unit6A is configured so that the movement of the moveable member83is transmitted to the transmission mechanism62A by the transmission member630A and the moveable blade part61A is thus rotated. Subsequently, a shape of the reinforcing bar binding machine1A is described. The reinforcing bar binding machine1A is used with being gripped by an operator's hand, and has a main body part10A and a handle part11A. The first guide50and the second guide51of the curl guide unit5A of the reinforcing bar binding machine1A are provided at a front end portion of the main body part10A. Also, the wire feeding unit3A, the cutting unit6A, the binding unit7A, the drive unit8A, and the like of the reinforcing bar binding machine1A are accommodated in the main body part10A. Also, the handle part11A of the reinforcing bar binding machine1A extends in one direction from the main body part10A. Also, the magazine2A of the reinforcing bar binding machine1A is provided in front of the handle part11A. Subsequently, an operation unit of the reinforcing bar binding machine1A is described. The handle part11A of the reinforcing bar binding machine1A is provided at a front side with a trigger12A. In correspondence to a state of a switch13A that is pressed when the trigger12A is operated, a control unit14A controls the feeding motor33and the motor80. Also, a battery15A is detachably mounted to a lower part of the handle part11A. Example of Operation of Reinforcing Bar Binding Machine of Embodiment FIGS.11A to11Dillustrate an example of an operation of gripping and twisting the wires in detail. In the below, an operation of binding the reinforcing bars S with the two wires W by the reinforcing bar binding machine1A of the embodiment is described with reference to each drawing. The reinforcing bar binding machine1A is in a standby state where the wire W are sandwiched between the first feeding gear30L and the second feeding gear30R, and the tip end of the wire W is positioned from the sandwiching position between the first feeding gear30L and the second feeding gear30R to the fixed blade part60of the cutting unit6A. Also, as shown inFIG.9A, when the reinforcing bar binding machine1A is in the standby state, the first moveable gripping member70L opens with respect to the fixed gripping member70C and the second moveable gripping member70R opens with respect to the fixed gripping member70C. When the reinforcing bars S are inserted between the first guide50and the second guide51of the curl guide unit5A and the trigger12A is operated, the feeding motor33is driven in the forward rotation direction, so that the first feeding gear30L is rotated in the forward direction and the second feeding gear30R is also rotated in the forward direction in conjunction with the first feeding gear30L. Thereby, the two wires W sandwiched between the first feeding gear30L and the second feeding gear30R are fed in the forward direction. The first wire guide4A1is provided upstream of the wire feeding unit3A and the second wire guide4A2is provided downstream of the wire feeding unit3A with respect to the feeding direction of the wire W to be fed in the forward direction, so that the two wires W are fed with being aligned in parallel. When the wire W is fed in the forward direction, the wire W passes between the fixed gripping member70C and the second moveable gripping member70R and pass through the guide groove52of the first guide50of the curl guide unit5A. Thereby, the wire W is guided (supported) by the second wire guide4A2, and the wire W is curled to be wound around the reinforcing bars S at two points of the first guide pin53aand the second guide pin53bof the first guide50. The wire W delivered from the first guide50is guided between the fixed gripping member70C and the first moveable gripping member70L by the second guide51. Then, when the tip ends of the wire W is fed to positions at which the tip ends are butted to the length regulation part74, the driving of the feeding motor33is stopped. Thereby, as shown inFIG.11A, the wire W is wound in a loop shape around the reinforcing bars S. After stopping the feeding of the wire W, the motor80is driven in the forward rotation direction, so that the motor80moves the moveable member83in the arrow F direction, which is a forward direction. That is, a rotating operation of the moveable member83coupled to the rotation of the motor80is regulated by the rotation regulation member84, so that the rotation of the motor80is converted into the linear movement. Thereby, the moveable member83is moved forward. In conjunction with the forward movement of the moveable member83, the bending part71is moved forward integrally with the moveable member83, without being rotated. When the bending part71is moved forward, the opening and closing pin71apasses through the opening and closing portion78of the opening and closing guide hole77, as shown inFIG.9B. Thereby, the first moveable gripping member70L is moved in the direction of coming close to the fixed gripping member70C through the rotating operation about the shaft76, which is a support point. Therefore, one end portion WS of the wire W is gripped between the first moveable gripping member70L and the fixed gripping member70C. Also, the second moveable gripping member70R is moved in the direction of coming close to the fixed gripping member70C through the rotating operation about the shaft76, which is a support point. Therefore, a gap in which the wire W can be fed is formed at a portion through which the wire W is to pass between the second moveable gripping member70R and the fixed gripping member70C. Also, when the moveable member83is moved forward, the operation of the moveable member83is transmitted to the retraction mechanism53, so that the first guide pin53ais retracted. After advancing the moveable member83to a position at which the wire W is gripped through the opening and closing operation of the first moveable gripping member70L and the second moveable gripping member70R, the rotation of the motor80is temporarily stopped and the feeding motor33is driven in the reverse rotation direction. Thereby, the first feeding gear30L is reversed, and the second feeding gear30R is also reversed in conjunction with the first feeding gear30L. Therefore, the wires S sandwiched between the first feeding gear30L and the second feeding gear30R are fed in the reverse direction. During the operation of feeding the wire W in the reverse direction, the wire W is wound on the reinforcing bars S with being closely contacted thereto, as shown inFIG.11B. After winding the wire W on the reinforcing bars S and stopping the driving of the feeding motor33in the reverse rotation direction, the motor80is driven in the forward rotation direction, so that the moveable member83is moved forward. The forward moving operation of the moveable member83is transmitted to the cutting unit6A by the transmission mechanism62A, so that the moveable blade part61A is rotated and the other end portion WE of the wire W gripped with the second moveable gripping member70R and the fixed gripping member70C are cut by the operation of the fixed blade part60A and the moveable blade part61A. When binding the reinforcing bars S with the two wires W, like this example, it is possible to secure the strength equivalent to the case where the reinforcing bars S are bound with one wire even when making a diameter of the respective wire W thinner. For this reason, it is possible to easily bend the wire W and to bring the wire W into close contact with the reinforcing bars S with the lower force. Therefore, it is possible to wind the wire W on the reinforcing bars S with the lower force. Also, it is possible to reduce the load when cutting the wire W. Accompanied by this, it is possible to miniaturize each motor and the mechanism part of the reinforcing bar binding machine1A, thereby miniaturizing the entire main body part. Also, the motor is miniaturized and the load is reduced, so that it is possible to reduce the power consumption. After cutting the wire W, the moveable member83is further moved forward, so that the bending part71is moved forward integrally with the moveable member83, as shown inFIG.11C. The bending part71is moved in the direction of coming close to the reinforcing bars S, which is the forward direction denoted with the arrow F, so that one end portion WS of the wire W gripped with the fixed gripping member70C and the first moveable gripping member70L are pressed toward the reinforcing bars S by the bending portion71b1, and are bent toward the reinforcing bars S at the gripping position, which is a support point. The bending part71is further moved forward, so that one end portion WS of the wire W is held with being gripped between the first moveable gripping member70L and the fixed gripping member70C. Also, the bending part71is moved in the direction of coming close to the reinforcing bars S, which is the forward direction denoted with the arrow F, so that the other end portion WE of the wire W gripped with the fixed gripping member70C and the second moveable gripping member70R are pressed toward the reinforcing bars S by the bending portion71b2, and are bent toward the reinforcing bars S at the gripping position, which is a support point. The bending part71is further moved forward, so that one end portion WE of the wire W is supported between the second moveable gripping member70R and the fixed gripping member70C. After bending the end portion of the wire W toward the reinforcing bars S, the motor80is further driven in the forward rotation direction, so that the motor80further moves the moveable member83in the forward direction denoted with the arrow F. The moveable member83is moved to a predetermined position in the arrow F direction, so that the moveable member83is disengaged from the rotation regulation member84and the rotation regulation state of the moveable member83by the rotation regulation member84is released. Thereby, the motor80is further driven in the forward rotation direction, so that the gripping part70gripping the wire W is rotated integrally with the bending part71and twists the wire W, as shown inFIG.11D. After twisting the wire W, the motor80is driven in the reverse rotation direction, so that the motor80moves the moveable member83in a backward direction denoted with an arrow R. That is, the rotating operation of the moveable member83coupled to the rotation of the motor80is regulated by the rotation regulation member84, so that the rotation of the motor80is converted into the linear movement. Thereby, the moveable member83is moved backward. As the moveable member83is moved backward, the first moveable gripping member70L and the second moveable gripping member70R are displaced in the directions of separating from the fixed gripping member70C, so that the gripping part70releases the wire W. FIGS.12and13illustrate the operation of cutting the wires in detail. In the below, the operation of cutting the wire W with the cutting unit6A is described in detail. The cutting unit6A is configured so that the operation of the moveable member83is transmitted to the first link620A of the transmission mechanism62A by the transmission member630, the transmission member630is moved in the arrow F direction, the pressed part623A of the first link620A is pressed and the first link620A is thus rotated about the shaft622A, which is a support point, in an arrow C11direction. The cutting unit6A is configured so that the rotating operation about the shaft622A of the first link620A, which is a support point, is transmitted to the moveable blade part61A through the second link621A and the moveable blade part61A is thus rotated about the fixed blade part60A, which is a support point, in the arrow C1direction. When the moveable blade part61A is rotated in the arrow C1direction, the wire W having passed through the opening601A of the fixed blade part60A is pressed to the opening end of the opening601A by the moveable blade613A. One wire W of the two wires W aligned in parallel enters the escape part602A1of the fixed blade part60A. Thereby, as shown inFIG.13A, the other wire W, which is pressed to the end edge portion601A1of the fixed blade part60A through the operation of the moveable blade613A, is ahead cut. After the cutting of the other wire W starts, when the other wire W is cut to a predetermined position, one wire W is pressed to the end edge portion of the escape part602A1of the fixed blade part60A through the operation of the moveable blade613A. Thereby, as shown inFIG.13B, one wire W starts to be cut. In this example, a shape of the escape part602A1is set so that after the cutting of the other wire W starts, when the other wire W is cut by a radial half or larger, the cutting of one wire W starts. That is, a distance from the opening601A to the end edge portion of the escape part602A1is set to a substantial half of the diameter of the wire W. As shown inFIG.12A, when the moveable blade part61A is further rotated in the arrow C1direction, the cutting of the other wire W for which the cutting has ahead started is completed, as shown inFIG.13C. Then, as shown inFIG.12B, when the moveable blade part61A is further rotated in the arrow C1direction, the cutting of one wire W for which the cutting has started with a delay is completed, as shown inFIG.13D. During an operation of cutting the wire W having a circular section, the load is highest when the blade part reaches a diameter position. Therefore, in the configuration of cutting the two wires W aligned in parallel, a difference is set between the timings at which the cutting of the wire W starts. First, after starting to cut the first wire W, when the wire W is cut to a position of a radial half or larger, the cutting of the second wire W starts. As compared to the cutting of the two wires W aligned in parallel, the load is reduced when one wire W is cut. Thereby, the cutting of one wire W starts ahead, so that the load is reduced. Also, the load is reduced in the case where after the first wire W is cut to a position of the radial half or greater and the position at which the load is highest is passed, the cutting of the second wire W starts, so that the two wires W are cut. Also, the cutting of the second wire W starts earlier than the cutting completion of the first wire W, so that it is possible to suppress an increase in time necessary for the cutting. As described above, since it is possible to reduce the load upon the cutting of the two wires W, it is possible to miniaturize each motor and the mechanism part of the reinforcing bar binding machine1A, thereby miniaturizing the entire main body part. Also, the motor is miniaturized and the load is reduced, so that it is possible to reduce the power consumption. Modified Embodiments of Reinforcing Bar Binding Machine of Embodiment FIGS.14to19are top views depicting other examples of the fixed blade part and the moveable blade part of the cutting unit of the embodiment. In the below, the other embodiments of the fixed blade part and the moveable blade part are described. The cutting unit6A shown inFIG.14has the escape part of the wire W provided at the fixed blade part60A, similarly toFIG.5, and also has an escape part602A2configured to escape the other wire W to the moveable blade part61A. The escape part602A2is provided by forming a concave part having a shape, into which a part of the other wire W is to enter, at the end edge portion601A1, to which the other wire W is to be pressed, of the opening end of the opening601A to which the wire W is to be pressed during the movement of the moveable blade part61A in the arrow C1direction. In the cutting unit6A ofFIG.14, it is possible to delay the timing at which the cutting of the other wire W starts, as compared to the timing at which the cutting of one wire W starts. A cutting unit6B shown inFIGS.15and16includes a fixed blade part60B having an opening601B through which the wire W is to pass, and a moveable blade part61B mounted to be rotatable about the fixed blade part60B, which is a shaft, and having a moveable blade613B. A sectional shape of the opening601B is a long hole shape through which the two wires W can pass with aligned in parallel, for example. The cutting unit6B is not provided with the escape part at the opening601B of the fixed blade part60B. In contrast, the cutting unit6B is provided with the escape part at the moveable blade part61B. An escape part615B1shown inFIG.15is provided by recessing a part of the moveable blade613B located at a position at which one wire W is contacted, as compared to a part located at a position at which the other wire W is contacted, with respect to the moving direction of the moveable blade part61B shown with the arrow C1and thereby forming a crank-shaped step at the moveable blade613B. A recessed amount of the escape part615B1is about a half of the diameter of the wire W, for example. In the cutting unit6B ofFIG.15, it is possible to delay the timing at which the cutting of one wire W starts, as compared to the timing at which the cutting of the other wire W starts. An escape part615B2shown inFIG.16is provided by recessing a part of the moveable blade613B located at a position at which the other wire W is contacted, as compared to a part located at a position at which one wire W is contacted, with respect to the moving direction of the moveable blade part61B shown with the arrow C1and thereby forming a crank-shaped step at the moveable blade613B. A recessed amount of the escape part615B2is about a half of the diameter of the wire W, for example. In the cutting unit6B ofFIG.16, it is possible to delay the timing at which the cutting of the other wire W starts, as compared to the timing at which the cutting of one wire W starts. A cutting unit6C shown inFIG.17includes a fixed blade part60C having an opening601C through which the wire W is to pass, and a moveable blade part61C mounted to be rotatable about the fixed blade part60C, which is a shaft, and having a moveable blade613C. A sectional shape of the opening601C is a long hole shape through which the two wires W can pass with aligned in parallel, for example. The cutting unit6C has a configuration where both the fixed blade part60C and the moveable blade part61C are provided with the escape parts. The fixed blade part60C has an escape part602C configured to escape the other wire W with respect to the moveable blade part61C, for example. The escape part602C is provided by forming a concave part having a shape, into which the other wire W is to enter, at an end edge portion601C1, to which the other wire W is to be pressed, of an opening end of the opening601C to which the wire W is to be pressed during the movement of the moveable blade part61C in the arrow C1direction. Also, an escape part615C provided to the moveable blade part61C is provided by recessing a part of the moveable blade613C located at a position at which the other wire W is contacted, as compared to a part located at a position at which one wire W is contacted, with respect to the moving direction of the moveable blade part61C shown with the arrow C1and thereby forming a crank-shaped step at the moveable blade613C. In the cutting unit6C ofFIG.17, it is possible to delay the timing at which the cutting of the other wire W starts, as compared to the timing at which the cutting of one wire W starts. Meanwhile, in the embodiment ofFIG.17, the fixed blade part60C and the moveable blade part61C may be respectively provided with an escape part at a position at which one wire W is contacted, instead of the position at which the other wire W is contacted. A cutting unit6D shown inFIG.18includes a fixed blade part60D having an opening601D through which the wire W is to pass, and a moveable blade part61D mounted to be rotatable about the fixed blade part60D, which is a shaft, and having a moveable blade613D. A sectional shape of the opening601D is a long hole shape through which the two wires W can pass with aligned in parallel, for example. The moveable blade613D of the moveable blade part61D is obliquely provided with respect to the moving direction of the moveable blade part61D denoted with the arrow C1. A side of the moveable blade613D, to which one wire W is contacted thereto, is inclined in a retraction direction with respect to the moving direction of the moveable blade part61D denoted with the arrow C1, and a position at which one wire W is contacted and a position at which the other wire W is contacted are provided on one line. The fixed blade part60D has an escape part602D1configured to escape one wire W with respect to the moveable blade part61D and an escape part602D2configured to escape the other wire W with respect to the moveable blade part61D. In the cutting unit6D ofFIG.18, it is possible to delay the timing at which the cutting of one wire W starts, as compared to the timing at which the cutting of the other W starts. A cutting unit6E shown inFIG.19includes a fixed blade part60E having an opening601E through which the wire W is to pass, and a moveable blade part61E mounted to be rotatable about the fixed blade part60E, which is a shaft, and having a moveable blade613E. A sectional shape of the opening601D is a long hole shape through which the two wires W can pass with aligned in parallel, for example. The moveable blade part61E is provided with a semicircular concave portion at the moveable blade613E with respect to the moving direction of the moveable blade part61D denoted with the arrow C1. The moveable blade613E is configured by a curved surface on which a position at which one wire W is contacted and a position at which the other wire W is contacted are inclined in opposite directions. A concave shape portion provided to one or both of the fixed blade part and the moveable blade part may be formed to have a rectangular shape, a curved shape or the like, in addition to the semicircular shape. FIG.20is a side view depicting another example of the cutting unit of the embodiment. In the below, another embodiment configured to operate a moveable blade part61F is described. A cutting unit6F includes a fixed blade part60F having an opening601F through which the wire W is to pass, and a moveable blade part61F having a moveable blade613F. One or both of the fixed blade part60F and the moveable blade part61F are provided with the escape part of the wire W. The moveable blade part61F is configured to be displaced by sliding movement, instead of the rotating operation. To this end, a slide guide660configured to guide the sliding movement of the moveable blade part61F is provided. Also, the transmission mechanism62B includes a first link620B1to which the operation of the binding unit7A is to be transmitted, and a second link620B2, a third link620B3and a fourth link620B4configured to transmit an operation of the first link620B1to the moveable blade part61F. The first link620B1is rotatably supported to a shaft622B provided to the support member650. The first link620B1has a pressed part623B, to which the operation of the binding unit7A is to be transmitted, at one end portion with the shaft622B being interposed therebetween. Also, the first link620B1has a shaft624B configured to rotatably support the second link620B2at the other end portion with the shaft622B being interposed therebetween. The second link620B2is coupled at one end portion to the first link620B1to be rotatable about the shaft624B, which is a support point. Also, the second link620B2has a shaft625B1configured to rotatably support the third link620B3at the other end portion. The third link620B3is rotatably supported to a shaft625B2provided to the support member650. The third link620B3is coupled at one end portion to the second link620B2to be rotatable about the shaft625B1, which is a support point, with the shaft625B2being interposed therebetween. Also, the third link620B3has a shaft625B3configured to rotatably support the fourth link620B4at the other end portion. The fourth link620B4is coupled at one end portion to the third link620B3to be rotatable about the shaft625B3, which is a support point. Also, the fourth link620B4is coupled at the other end portion to the moveable blade part61F to be rotatable about the shaft625B3of the moveable blade part61F, which is a support point. The transmission mechanism62B is configured so that the operation of the binding unit7A is transmitted to the first link620B1through the transmission member630, the operation of the first link620B1is transmitted to the moveable blade part61F through the second link620B2, the third link620B3and the fourth link620B4, and the moveable blade part61F is thus slid with being guided by the slide guide660. Thereby, the wire W having passed through the opening601F of the fixed blade part60F are cut by the moveable blade part61F. FIGS.21A and21Bare a sectional view depicting another modified embodiment of the cutting unit of the embodiment. In the below, a configuration of suppressing variation in load is described. The cutting unit6A includes the fixed blade part60A having the opening601A through which the wire W is to pass, and the moveable blade part61A mounted to be rotatable about the shaft portion600A of the fixed blade part60A, which is a support point. InFIG.21A, an inner peripheral surface of the hole portion611A of the moveable blade part61A is formed with a groove portion626A1in which grease is to be introduced. The groove portion626A1is provided on an entire circumference of the hole portion611A, is provided intermittently on the entire circumference or is provided at a part of the circumference. InFIG.21B, an outer peripheral surface of the shaft portion600A of the fixed blade part60A is formed with a groove portion626A2in which grease is to be introduced. The groove portion626A2is provided on an entire circumference of the shaft portion600A, is provided intermittently on the entire circumference or is provided at a part of the circumference. In the cutting unit6A, the slide surface of the fixed blade part60A and the moveable blade part61A is formed with the groove portion in which grease is to be introduced, so that it is possible to enable the grease to remain for a long time. Thereby, it is possible to suppress an increase in load and an operation defect, which may be caused as the grease is consumed. In the respective embodiments, the cutting unit is configured by combining the fixed blade part and the moveable blade part. However, both may be configured as the moveable blade parts. Also, the configuration of cutting the two wires has been exemplified. However, it is possible to accomplish the similar effects even with a configuration of cutting three or more wire W. Also in a configuration of cutting one wire W, the similar effects are accomplished. Also in a configuration of cutting the wire W having a sectional shape different from the circular shape, such as an elliptical shape and a shape having a plurality of continuing circular shapes, the similar effects are accomplished.1A . . . reinforcing bar binding machine,2A . . . magazine,20. . . reel,3A,3B,3C . . . wire feeding unit,30L . . . first feeding gear (feeding member),31L . . . tooth part,32L . . . groove portion,30R . . . second feeding gear (feeding member),31R . . . tooth part,32R . . . groove portion,33. . . feeding motor (motor),33a. . . small gear,33b. . . large gear,34. . . drive force transmission mechanism,34a. . . feeding small gear,36. . . displacement member,4A1. . . first wire guide,4A2. . . second wire guide,5A . . . curl guide unit,50. . . curl guide (first guide),51. . . inductive guide (second guide),53. . . retraction mechanism,53a. . . first guide pin,53b. . . second guide pin,6A . . . cutting unit,60A . . . fixed blade part (blade part),600A . . . shaft portion,601A . . . opening,601A1. . . end edge portion,602A1. . . escape part,61A . . . moveable blade part (blade part),610A . . . moveable part main body,611A . . . hole portion,612A . . . shaft support portion,613A . . . moveable blade,614A . . . shaft,62A . . . transmission mechanism,620A . . . first link,621A . . . second link,622A . . . shaft,623A . . . pressed part,624A . . . shaft,630. . . transmission member,7A . . . binding unit,70. . . gripping part,70C . . . fixed gripping member,70L . . . first moveable gripping member,70R . . . second moveable gripping member,71. . . bending part,71a. . . opening and closing pin,76. . . shaft,8A . . . drive unit,80. . . motor,81. . . decelerator,82. . . rotary shaft,83. . . moveable member, W . . . wire | 63,015 |
11859397 | DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS The described exemplary and alternative embodiments of the invention are best understood by referring to the drawings, like numerals being used for like and corresponding parts of the various drawings. Referring toFIGS.1A,1B,2A,2B,3A,3B,3C,3D,4A,4B,4C,4D and5, an exemplary adjustable toe board10is shown with an exemplary embodiment of a locking mechanism52. The adjustable toe board10is generally comprised of an inner toe board62, an outer toe board60, a plurality of connecting members100and a locking mechanism52. The inner toe board62is at least partially retained along the outer toe board60, wherein the inner toe board62and the outer toe board60are moveable in relation to each other. Each of the inner toe board62and the outer toe board60have at least one connecting member100affixed thereon, wherein the connecting members100connect to either of the other toe boards (adjustable or not) and/or a portion of the scaffold98, such as at the scaffold post102. Referring toFIGS.1A,1B,3A,3B,3C,3D and5, the outer toe board60is an elongated generally L-shaped member having an outer board upright portion66and an outer board base64extending therefrom. The outer board base64may be integrally formed from the same piece of material as the outer board upright portion66and may be permanently affixed to the outer board upright portion66though welding or some other means, or may be removable affixed to the outer board upright portion66through the use of fasteners, such as screws, tabs and slots, rackets, or rivets. The depicted outer toe board60has the outer board base64integrally formed from the same piece of material as the outer board upright portion66. The outer toe board60has an outer board upper edge21extending along its unattached upper edge and an outer board outer edge23extending along its unattached outer edge. More specifically, the outer board upper edge21extends longitudinally along the length of the unattached upper edge of the upright portion66, distal the outer board base64. The outer board outer edge23extends longitudinally along the length of the outer board base64, distal the outer board upright portion66. The outer board upright portion66and the outer board base64share a longitudinally extending outer board combined edge22along the length of the outer toe board60where the outer board upright portion66and the outer board base64meet. The outer toe board60has an outer board first side24and an outer board second side25. The outer board first side24extends the length of the perimeter of the side of the outer toe board60between the outer board upper edge21and outer board outer edge23, with the outer board first side24being inclusive of the portion of the outer board upper edge21and outer board outer edge23adjacent thereto along the subject side of the outer toe board60. The outer board second side25extends the length of the perimeter of the side of the outer toe board60between the outer board upper edge21and the outer board outer edge23, the outer board second side25being distal the outer board first side24, with the outer board second side25being inclusive of the portion of the outer board upper edge21and outer board outer edge23adjacent thereto along the subject side of the outer toe board60. Referring toFIG.3D, the outer toe board60comprises at least two retaining channels14. An upright channel16is formed along at least a portion of the outer board upper edge21extending along at least a portion of the interior surface56of the outer toe board60. The depicted exemplary embodiment shows the upright channel16extending the entire length of the outer board upper edge21and shows the upright channel16extending along the entire length of the interior surface56of the outer toe board60proximate the outer board upper edge21. In alternative embodiments, the upright channel16may be discontinuous along its length and/or may end prior to reaching one or more to the outer toe board's60sides24and25. A base channel18is formed along at least a portion of the outer board outer edge23extending along at least a portion of the outer board interior surface56. The depicted exemplary embodiment shows the base channel18extending the entire length of the outer board outer edge23and shows the base channel18extending along the entire length of the interior surface56of the outer toe board60proximate the outer board outer edge23. In alternative embodiments, the base channel18may be discontinuous along its length and/or may end prior to reaching one or more to the outer toe board's60sides24and25. The upright channel16and/or base channel18may be formed integrally from the same piece of material as the outer toe board60as is shown inFIG.3D. Alternatively, any or all of the retaining channels14may be permanently affixed to the outer toe board60though welding or some other means of fusing the retaining channel(s)14thereto. In a further alternative, the retaining channels14may be removably affixed to the outer toe board60through the use of fasteners, such as screws, tabs and slots, brackets, or rivets. The retaining channels14are utilized to retain the inner toe board62in proximity to the outer toe board60such that the adjustable toe board10may be adjusted in length by movement of the inner toe board62in relation to the outer toe board60along the channels14. In the exemplary embodiment depicted inFIGS.3A,3B,3C, and3D, the outer board upright portion66contains a protrusion68intermediate the outer board upper edge21and the outer board combined edge22. The protrusion68extends inward towards the outer board outer edge23. The exemplary embodiment of the protrusion68extends along the entire length of the outer toe board60. In alternative embodiments, the protrusion68may not extend the entire length of the outer toe board60. In alternative embodiments, the protrusion68extends partially along the length of the outer toe board60proximate its second side25where the connecting member100is coupled to the outer toe board60. The protrusion68provides some space for limited movement of the connecting member100along the connecting member anchor124. Alternatively, the outer toe board60does not have a protrusion68. An access point84is formed as an orifice extending through a section of the outer board upright portion66proximate outer board second side25. The access point84allows access for attachment of the limit hook30onto the inner toe board62when the inner toe board62is installed in the channels14and the adjustable toe board10is in a substantially retracted position. The fastening means, such as screws or bolts, that are used to attach the limit hook30to the inner toe board62may be installed through the access point84to fasten the limit hook30thereon. The limit plate32is fastened proximate the outer board upper edge21and the upright channel16of the outer toe board60. An engagement member50of the limit plate32extends away from the outer board upper edge21and towards the outer board combined edge22. The engagement member50is positioned so that it will engage the limit hook30, that is installed on the inner toe board62, in order to prevent the uncoupling of the outer toe board60and the inner toe board62. The engagement member50prevents the uncoupling by providing a fixed member that the limit hook30can hook onto when the limit plate32and limit hook30are in close proximity to each other, which occurs when the adjustable toe board10is in a substantially expanded position (as shown inFIG.5). The action between the engagement member50of the limit plate32and the limit hook30prevents the inner toe board62from slipping out of the retaining channels14and disengaging from the outer toe board60. A latching member20is affixed to the exterior surface57of the outer toe board60proximate the outer board combined edge22. The latching member20is positioned proximate the first side24of the outer toe board60. A latching member anchor88is connected to the exterior surface57of the outer toe board60proximate the outer board combined edge22. The exemplary latching member anchor88is L-shaped wherein its base portion is attached to the outer toe board60and its upper section is extending upward away from the outer toe board60. The upper section of the latching member anchor88contains an aperture extending therethrough which allows for coupling of the latching member anchor88with the latching member20to retain the latching member20onto the outer toe board60. At least a portion of the latching member20is installed in the latching member anchor88such that the latching member20is effectively affixed to the outer toe board60with the striking plate40of the latching member20facing towards the first side24of the outer toe board60. Alternatively, any now known or later discovered means may be utilized to couple the latching member20to the outer toe board60. A latching member aperture86is formed along a section of the outer board upright portion66proximate the outer board first side24and the outer board combined edge22. The latching member aperture86extends from the first side24of the outer toe board60to a position intermediate the first side24of the outer toe board60and the installation site of the latching member anchor88. The latching member aperture86is formed to allow the locking plate90that is installed on the inner toe board62to interact with the latching member20, wherein during the interaction between the locking plate90and latching member20a portion of the locking plate90may enter the latching member aperture86(as seen inFIGS.1B and2A). Referring toFIGS.1A,1B,4A,4B,4C and4D, the inner toe board62is an elongated generally L-shaped member having an inner board upright portion136and an inner board base138extending therefrom. The inner board base138may be integrally formed from the same piece of material as the inner board upright portion136and may be permanently affixed to the inner board upright portion136though welding or some other means, or may be removable affixed to the inner board upright portion136through the use of fasteners, such as screws, tabs and slots, brackets, or rivets. The depicted inner toe board62has the inner board base138integrally formed from the same piece of material as the inner board upright portion136. The inner toe board62has an inner board upper edge126extending along its unattached upper edge and an inner board outer edge128extending along its unattached outer edge. More specifically, the inner board upper edger126extends longitudinally along the length of the unattached upper edge of the inner board upright portion136, distal the inner board base138. The inner board outer edge128extends longitudinally along the length of the inner board base138, distal the inner board upright portion136. The inner board upright portion136and the inner board base138share a longitudinally extending inner board combined edge127along the length of the inner toe board62where the inner board upright portion136and the inner board base138meet. The inner toe board62has an inner board first side80and an inner board second side82. The inner board first side80extends the length of the perimeter of the side of the inner toe board62between the inner board upper edge126and the inner board outer edge128, with the inner board first side80being inclusive of the portion of the inner board upper edge126and inner board outer edge128adjacent thereto along the subject side of the inner toe board62. The inner board second side82extends the length of the perimeter of the side of the inner toe board62between the inner board upper edge126and the inner board outer edge128, the inner board second side82being distal the inner board first side80, with the inner board second side82being inclusive of the portion of the inner board upper edge126and inner board outer edge128adjacent thereto along the subject side of the inner toe board62. In the exemplary embodiment depicted, the inner board upright portion136contains a protrusion70intermediate the inner board upper edge126and the inner board combined edge127. The inner board protrusion70is shaped to fit around the outer board protrusion68when the inner toe board62and outer toe board60are coupled. The protrusion70extends inward towards the inner board outer edge128. The exemplary embodiment of the protrusion70extends along the entire length of the inner toe board62. In alternative embodiments, the protrusion70may not extend the entire length of the inner toe board62. In alternative embodiments, the protrusion70extends partially along the length of the inner toe board62proximate its first side80where the connecting member100is coupled to the inner toe board62. The protrusion70provides some space for limited movement of the connecting member100along the connecting member anchor125. Alternatively, the inner toe board62does not have a protrusion70. When coupled, the inner board upper edge126is slid into the upright channel16of the outer toe board60and the inner board outer edge128is slid into the base channel18so that the inner toe board62may be installed onto the outer toe board60. The inner toe board62is movable in relation to the outer toe board62along the retaining channels14between a substantially retracted position (shown inFIGS.2and2A) and a substantially extended position (shown inFIGS.3and3A). The adjustable toe board10may be retained in its substantially retracted position, its substantially extended position, or any position therebetween when installed on a scaffold as needed. The limit hook30is fastened proximate the inner board upper edge126and the inner board second side82at the limit hook installation location140. When the inner toe board62is first installed on the adjustable toe board10, once the inner board upper edge126and the inner board outer edge128are aligned with, and placed in, the retaining channels14, and the inner toe board62is positioned in its substantially retracted position in relation to the outer toe board60, then the limit hook30may then be fastened onto the inner toe board62through use of the access point84on the outer toe board60. The limit hook30is shown as installed at the limit hook installation location140inFIGS.2A and3A. The limit hook30has a hooking portion extending from the interior surface58of the inner toe board62for connecting with and hooking onto the engagement member50of the limit plate32. The interaction between the engagement member50of the limit plate32and the limit hook30, as seen inFIG.5, prevents the uncoupling of the inner toe board62from the outer toe board60when the adjustable toe board10is in its substantially extended position. A locking plate90is attached to the inner toe board62proximate the inner board combined edge127and the inner board first side80. The locking plate90is L-shaped wherein its base is attached to the exterior surface59of the inner toe board62, and its upper section is extending upward away from the inner toe board62. The upper section of the locking plate90contains an aperture extending therethrough which allows for coupling of the latching member20with the locking plate90to retain the adjustable toe board10in its substantially retracted position. At least a portion of the locking plate90may extend within the latching member aperture86on the outer toe board60when the adjustable toe board10is in its substantially retracted position. Referring toFIGS.6,6A,6B,6C,7A and7B, an exemplary embodiment of the self-contained latching member20is shown. The latching member20comprises a latching member body28that is generally cylindrical in shape having a retaining end94and an opposite anchoring end96. The latching member body28has a cavity opening36at its retaining end94from which an internal cavity35extends at least partially within the interior of the latching member body28, wherein the cavity35ends at its innermost interior wall112. A threaded surface34extends along at least a portion of the outer surface of the latching member body28proximate its anchoring end96. An internal protrusion46protrudes into the cavity35of the latching member body28intermediate the cavity opening36and the innermost interior wall112. The internal protrusion46may be formed by placing enough pressure on the outside surface of the latching member body28to create a depression44along a portion of its outer surface, which will bend the material thereby causing the internal protrusion46. Alternatively, the internal protrusion46may be formed by any now known or later discovered means. The latching member body28has an aperture38extending along at least a portion of its outer surface. The aperture38extends through a sidewall of the latching member body28thereby creating a passage from the exterior of the latching member body28into its cavity35. The aperture38is positioned opposite the internal protrusion46. The front edge148of the aperture38is disposed proximate the retaining end94of the latching member body28. The front edge148of the aperture38and the cavity sidewall intermediate the front edge148and the cavity opening36define a cavity engagement surface48for engaging portions of the striking plate78and the retaining member134. While a generally cylindrical latching member body28is disclosed in the depicted exemplary embodiments, this shape is not a strict requirement. Alternative exemplary embodiments of the latching member body28may be cuboidal or prismed shaped, or of any other geometry that allows for the retainment of at least a portion of a retaining member134and/or a striking plate78along a side of the latching member body28for releasably retaining the adjustable toe board10in its substantially retracted position. A resilient member42is retained within the cavity35of the latching member body28. The resilient member42shown is a curved strip of resilient material having a space152extending between its opposing arms. The resilient member42is capable of being compressed such that the space152between its opposing arms is reduced yet it is also capable of returning to its original form once the compression of the resilient member42is released, i.e., the resilient member42is capable of being spring loaded. The resilient member42has respective opposing ends on its opposing arms: a stop end120and a retaining member engagement end122. The base118of the resilient member42, from which the opposing arms extend from, is disposed intermediate the stop end120and the retaining member engagement end122. The resilient member42is disposed within the cavity35of the latching member body28, wherein the base118of the resilient member42abuts the innermost interior wall112of the cavity35. The stop end120of the resilient member42abuts the internal protrusion32such that the positioning of the base118against the innermost interior wall112and the positioning of the stop end120against the internal protrusion32act to retain the resilient member42in position within the cavity35, whether the resilient member42is under pressure and in a compressed state or not. The width of the resilient member42is generally equal to the width of the cavity35proximate the internal protrusion32. While the width of the resilient member42is preferably the same as or slightly smaller than the width of the corresponding section of the cavity35, the width of the resilient member42may be uniform along the length of the resilient member42or may vary along the length of the resilient member42. Alternatively, the width of the resilient member42may not be the same or may be slightly smaller than the width of the corresponding section of the cavity35. Alternative embodiments of the resilient member42include coiled springs, barrel type springs, and other now known or later discovered spring or spring-like materials. A purpose of the resilient member42is to cause the retaining member134to extend at least partially through the aperture38of the latching member body28. A further purpose of the resilient member42is to compress in order to allow the retaining member134to be depressed by either a user, the locking plate90, or otherwise (seeFIG.6C). A further purpose of the resilient member42is to return to its un-compressed state once the compressive pressure on the resilient member42is removed and to act on the retaining member134by moving the retaining member134into its uncompressed position (seeFIG.6B). Therefore, the resilient member42may be as depicted in the exemplary embodiment or may be any type of resilient member42with spring-like resiliency that can perform the stated functions. A striking plate78having two opposing ends, a retaining member134and a striking end40, is shown. While the depicted exemplary embodiment combines the retaining member134and striking end40on one single striking plate78, this is not necessary as the retaining member134may be a stand-alone component that is contained within the aperture38of the latching member body28by its engagement with the resilient member42and the cavity engagement surface48, or otherwise, such that the retaining member134will be positioned to perform the function of having a portion of same, the retaining end104, extend through the aperture38yet be movable between a compressed position (as seen inFIG.6C) and a non-compressed position (as seen inFIG.6B) through the compression of the resilient member42or otherwise. The function of the retaining member134is to allow the aperture of the locking plate90place the retaining member134in a compressed state to allow a portion of the latching member20proximate the retaining member134to be inserted through the aperture on the locking plate90. The retaining member134will then move to its uncompressed position once the pressure exerted on it by the locking plate90is released through the completion of the movement of the locking plate90over the retaining member134. The retaining end135of the retaining member134will extend out of the aperture38in the retaining member's134uncompressed position thereby preventing the unintentional dislodgment of the latching member20from the locking plate90until a user unlocks the adjustable toe board10and extends it. To release the locking mechanism and expand the adjustable toe board10, pressure is applied to the striking plate40of the latching member20to press same down thereby compressing the resilient member42and moving the retaining member134from its un-compressed position to its compressed position whereby the retaining end135is lowered into the aperture38. The lowering of the retaining end135at least partially into the aperture38allows enough clearance for the aperture of the locking plate90to slide over the retaining member134thereby allowing the adjustable toe board10to be unlocked and extended as needed. The depicted retaining end135of the retaining member134extends through and outside of the aperture38of the latching member body28. The retaining member engagement end122of the resilient member42is positioned within the cavity35proximate the front edge148of the aperture38. The retaining member134is movable between a compressed position (FIG.6C) and a non-compressed position (FIG.6B). The retaining member134is held in its substantially non-compressed position by the force placed upon it by the retaining member engagement end122of the resilient member42. The retaining member134extends along a portion of the aperture38proximate the retaining member engagement end122of the resilient member42wherein the retaining member engagement end122makes contact with the underside72of the retaining member134thereby exerting pressure on the retaining member134and positioning its cavity engagement surface130close to or against a portion of the striking plate engagement surface48of the aperture38. The retaining end135of the retaining member134extends through and out of the aperture38such that a portion of the retaining member134is extending outside of the interior of the latching member body28. The amount of the retaining member134that extends outside of the latching member body28is dependent on the corresponding aperture of the locking plate90that will be utilized with the latching member20. The retaining end135of the retaining member134extends outside of the latching member body28enough such that the aperture of the locking plate90will fit over the outer diameter of the latching body member28and over the retaining member134when resilient member42is in its compressed state and the retaining member134while it is in its compressed position yet the diameter of the aperture of the locking plate90will be too small to fit over the retaining member134when it is in is un-compressed position such that the retaining member134will retain the adjustable toe board10in its substantially retracted position until the latching member20is purposely unlatched from the locking plate90. The engagement between the striking plate engagement surface48of the latching member body28and the cavity engagement surface130of the retaining member134when the resilient member42is installed and acting on the retaining member134will prevent the striking plate40from sliding out of the cavity35. The engagement of the two surfaces48,130also allows for the pivoting of the striking plate40when the retaining member134is moved to its compressed position and when the pressure on the retaining member134is released and it returns to its uncompressed position. The retaining member engagement end122may be slightly curved upward such that the tip126of the end122may engage at least a part of the underside72of the retaining member134to bias the retaining member134into its uncompressed position. The cavity engagement surface130depicted has four adjacent planes of varying angles that together create two notches70. Of course, it is understood that the cavity engagement surface130may have any number of geometries and/or notches. The purpose of the engagement striking plate engagement surface48and the cavity engagement surface130is to allow for the interaction between the two surfaces48and130in order to maintain the striking plate40and its retaining member134in position within the cavity35. In the exemplary embodiment shown, the width of the striking plate78is generally uniform; however, the striking plate78may have varying widths throughout in alternative embodiments. The striking plate is at least partially retained within a portion of the cavity35by its placement in relation to the striking plate engagement surface48and the resilient member42. The cavity engagement surface130of the striking plate40sits intermediate the retaining member134and the striking end40. The depicted exemplary embodiment of the striking plate78is of a single construction having all three sections: the striking end40, the cavity engagement surface130, and the retaining member134. It is understood that the striking end40, the cavity engagement surface130, and/or the retaining member134may alternatively be independent components retained independently in relation to the quick release latching member20or in any number of varying combinations. Alternatively, the striking end40, cavity engagement surface130, and retaining member134may be in alternative arrangements, such as having a single component comprised of both the retaining member134and the cavity engagement surface130, wherein the striking end40is formed out of the latching member body28itself. In this case the referenced striking plate78would be formed from the retaining member134and the cavity engagement surface130, wherein the striking end40would be formed as part of the latching member body28. Alternatively, the striking end40may be an independent component, while the referenced striking plate78would be formed by the retaining member134and the cavity engagement surface130. A further alternative embodiment may not contain a striking end40. The striking end40has a tip136. The tip136is the leading edge of the striking end40that extends out of the cavity opening36of the latching member body28and away from the cavity35. The tip136is shaped such that it will easily pass through the aperture of the locking plate90. The tip136may form an acute angle. An exemplary acute angle is seventy-four degrees, though other angels may be utilized. While a tip136is not necessary for the present invention, when it is present it will be of a smaller size in relation to the portion of the striking end40proximate the cavity opening36whether the tip136it is shaped at an acute angle108or otherwise. Generally, the preferred embodiment of the tip132has a smaller surface area than at least a portion of the rest of the striking end40. Typically, the tip132will have a smaller surface area than the area of the striking end40adjacent to the tip132. The base142of the striking end40is located proximate the cavity engagement surface130. The base142is positioned outside of the cavity35and at least a portion of the base142is larger than the diameter of the cavity35such that at least a portion of the base142will not fit inside the cavity35. This sizing of the base142prevents full insertion of the striking end40into the cavity35. This sizing will further aid in the construction of the latching members20by allowing for proper positioning of the striking plate78inside the cavity35. An open spacing144is maintained between the base142section of the striking end40proximate the cavity opening36. This open spacing144allows for at least some movement of the striking end40in relation to the cavity opening36. The movement within at least a portion of the open spacing144by the striking end40may be in response to the application of downward pressure on the retaining member134or pressure on the striking plate40. The open spacing144may not be present in the alternative embodiments that utilize a striking end40that is formed from the latching member body28or that is otherwise fixedly connected to the latching member body28, such as by welding or bolting. Further, the open spacing144may not be present in alternative embodiments that contain a striking end40that is not part of the striking plate78, or that is otherwise not coupled to the retaining member134. The retaining member134of the striking plate78is positioned distal the tip136. The retaining member134is sized to at least partially extend through the latching member aperture38of the latching member body28. The latching member aperture38is sized to allow for downward movement of the retaining member134when it is depressed either by a user, the walls of the aperture on the locking plate90, or by any other means. The retaining member134has a front portion133that faces the direction of the cavity opening36, and a back portion135that faces the retaining nut154. Both the front133and back135portions extend out beyond the outer surface of the latching member body28. The front edge148of the aperture38is located proximate the front portion133of the retaining member134. The positioning of the retaining member134against the front edge148of the latching member body aperture38by the resilient member42allows the retaining member back portion135to remain extended away from the outer surface of the latching member body28such that it will act as a barrier preventing the latching member20from releasing from the locking plate90. The barrier created by the retaining member134thereby effectively retains or locks the adjustable toe board90in its substantially retracted position. The retaining member134is positioned at an ascending vertical angel in relation to the axis of the latching member body28such that it is cantilevered at the front edge148of the latching member aperture38and extends backward and upward away from the striking end40and towards the retaining nut154. The positioning of the retaining member134in combination with the latching member body28creates a larger surface area around this area of the latching member body28in relation to the outer surface of the adjacent section of the latching member body28. This larger surface area begins at the location of retaining member134proximate the front edge148of the latching member aperture38and increases along a part of the length of the retaining member134along the aperture38in the direction of the retaining nut154. In alternative embodiments, any type of spring lock or latching mechanism, slam latch, Norfolk latch, Suffolk latch, toggle latch, other latching mechanism, and/or other self-contained retaining mechanism, may be utilized in some or all of the latching members, whether now know or later developed. The latching member is retained on the outer toe board60through attachment to the latching member anchor88. The latching member anchor88contained an aperture extending therethrough. A nut156is threaded onto the threaded end34of the latching member body28. The threaded end34of the latching member body is inserted into the aperture of the latching member anchor88and a retaining nut154is fastened onto the threaded end34of the latching member body that is extending out of the aperture of the latching member anchor88. The latching member20is then removably attached to the latching member anchor88. Alternatively, the latching member may be welded or otherwise permanently attached to the outer toe board60. Alternatively, any now known or later discovered method for retaining the latching member20onto the outer toe board60may be utilized. Referring toFIGS.8A and8B, an alternative embodiment of a latching member20is shown. A spring lock latching member20is shown having an elongated body159attached to the outer board upright portion66along a portion of the exterior surface57of the outer toe board60. The spring lock latching member20has a locking pin157. The locking pin157may be retractable into the spring lock latching member20. The inner board upright portion136has a locking aperture160extending therethrough proximate the inner board combined edge127and the inner board first side80. When the adjustable toe board10is in its fully retracted position, the locking pin157extends through the locking aperture160and locks the adjustable toe board10in the substantially retracted position. To release, the user pulls on the release hook158to remove the locking pin157from the locking aperture160and expand the toe board10. Once the adjustable toe board10is in position, the fork assemblies100are attached to the tubes of the scaffold to hold the toe board in place. Referring toFIGS.9,9A,9B,10,10A and10B, exemplary connecting members100are shown. The exemplary connecting member100is a wedge system200having a wedge pin capable of near universal use with the majority of scaffolds in use, and a fork202. An exemplary fork202is generally U-shaped having two legs extending from opposite sides of its midpoint: a first fork leg206and a second fork leg208. The legs206and208end at respective termination points204. Each leg contains an aperture extending therethrough: a first fork leg aperture210and a second fork leg aperture212. The first fork leg aperture210is wider in width and shorter in length than the second fork leg aperture212. This is shown more clearly inFIG.10B. The wedge pin200is inserted into the fork202at its smaller end214through the second fork leg aperture212. The wedge pin200then has a wedge stop216, such as a rivet, installed at its smaller end214to prevent movement of the wedge pin through the second fork leg aperture212thereby retaining the wedge pin200in the fork202. This aids in keeping the wedge pin200in close proximity to the fork202to make for quick and easy installation when needed on site without the need to search for wedge pins200for use. The wedge stop216is insertable through the second fork leg aperture212due to its increased width in relation to the first fork leg aperture210. Alternatively, the wedge pin200may not have a wedge stop216installed thereon. The wedge pin200is a generally flat member that has a smaller end214and an opposite larger end218, and along its length, an interior side220and an opposite exterior side222. The wedge pin200has a first side219and a second side215. The wedge pin200also has a front surface226and a back surface228. The interior side220of the wedge pin200is referring to its position in the interior of the fork202against the object102, such as a scaffold vertical member, being a post, pole, or the like, that the connecting member100is coupled to. The exterior side222of the wedge pin200is referring to its position facing outward away from the interior of the fork where the object102will be positioned, therefore, being on the exterior of the wedge pin200in relation to the interior side220. The interior side220of the wedge pin200has a general slope inward from a position proximate the larger end218of the wedge pin200along the length to a point proximate the smaller end214. At approximately the midpoint between the larger end218and the smaller end214of the wedge pin200, along the interior side220, the interior side220slopes outward224away from the interior of the wedge pin200. The start point of the outward slope in relation to the first side219of the wedge pin200may be between 65 and 90 mm. Preferably the start point of the outward slope is between 70 mm and 80 mm, and more preferably the start point is between 72 mm and 78 mm. The outward slope224extends for a predetermined length, at which point the remaining length of the interior side220begins to again gradually slope in towards the smaller end214to a point proximate the smaller end214. The outward slope224creates a bump224along the length of the interior side220. The exterior side222of the wedge pin200has seven distinct changes in slope along its length. The differences in slope along the length of the wedge pin200on the exterior side222allow for varying interactions of the exterior side222with the first fork leg aperture210and the second fork leg aperture212to retain a toe board to a variety of sized and shaped objects102. Proximate the larger end218of the wedge pin200, the exterior side222begins to extend toward the smaller end214at a first gradual slope inward231toward the interior of the wedge pin200. At a point between the larger end218and the midpoint along the length of the exterior side222, the slope increases causing a first more pronounced inward slope232along a portion of the exterior side222. The start point of the first more pronounced inward slope232in relation to the first side219of the wedge pin200may be between 25 mm and 50 mm. Preferably the start point of the first more pronounced inward slope232is between 30 mm and 45 mm, and more preferably between and 40 mm. The first more pronounced inward slope232may extend the length of between 9 mm and 20 mm. Preferably the length of the first more pronounced inward slope232is between 10 mm and 15 mm, and more preferably the length is between 10.5 mm and 12 mm. Thereafter, the exterior side222of the wedge pin200returns to a gradual inward slope towards the smaller end214, a second gradual inward slope233. The second gradual inward slope233may extend the length of between 15 mm and 30 mm. Preferably the length of the second gradual inward slope233is between 18 mm and 25 mm, and more preferably the length is between 19 mm and 23 mm. Thereafter, the exterior side222of the wedge pin200begins its first pronounced outward slope234away from the interior of the wedge pin200. In relation to the exterior side222surface proximate to the start point of the first pronounced outward slope234and intermediate the second gradual inward slope233and the first pronounced outward slope234(“measure point”), the first pronounced outward slope234may be between 1 mm to 10 mm away from the measure point at its farthest point outward. Preferably, the first pronounced outward slope's234furthest point outward is between 1.5 mm and 5 mm, more preferably the furthest outward point is between 2.5 mm and 3.5 mm. The first pronounced outward slope234may extend the length of between 3 mm and 15 mm. Preferably the length of the first pronounced outward slope234is between 4.5 mm and 10 mm, and more preferably the length is between 5.5 mm and 8 mm. The start point of the first pronounced outward slope234in relation to the first side219of the wedge pin200may be between 50 mm and 90 mm. Preferably the start point of the first pronounced outward slope234is between 60 mm and 80 mm, and more preferably between 65 mm and 75 mm. Thereafter, the exterior side222of the wedge pin200begins a third gradual inward slope235towards the smaller end214. The start point of the third gradual inward slope235in relation to the first side219of the wedge pin200may be between 50 mm and 90 mm. Preferably the start point of the third gradual inward slope235is between 65 mm and 86 mm, and more preferably between 72 mm and 80 mm. The third gradual inward slope235may extend the length of between 15 mm and 50 mm. Preferably the length of the third gradual inward slope235is between 22 mm and 40 mm, and more preferably the length is between 25 mm and 35 mm. Thereafter, the exterior side222of the wedge pin200begins a second more pronounced inward slope236towards the interior of the wedge pin200in the direction of the smaller side215. The start point of the second more pronounced inward slope236in relation to the first side219of the wedge pin200may be between 85 mm and 115 mm. Preferably the start point of the second more pronounced inward slope236is between 95 mm and 110 mm, and more preferably between 100 mm and 110 mm. The second more pronounced inward slope236may extend the length of between 3 mm and 30 mm. Preferably the length of the second more pronounced inward slope236is between 5 mm and 20 mm, and more preferably the length is between 6 mm and 18 mm. In relation to the exterior side222surface proximate the start point of the second more pronounced inward slope236, the angle of slope for the second more pronounced inward slope236may be between 5 degrees and 25 degrees. Preferably, the angle of the slope of the second more pronounced inward slope236is between 10 degrees and 20 degrees, more preferably the angle of the slope is between 12 degrees and 18 degrees. Thereafter, the exterior side222of the wedge pin200begins a fourth gradual inward slope237towards the smaller end214and the second side215of the wedge pin200. The start point of the fourth gradual inward slope237in relation to the first side219of the wedge pin200may be between 90 mm and 130 mm. Preferably the start point of the fourth gradual inward slope237is between 100 mm and 125 mm, and more preferably between 113 mm and 123 mm. The fourth gradual inward slope237may extend the length of between 10 mm and 40 mm. Preferably the length of the fourth gradual inward slope237is between 15 mm and 35 mm, and more preferably the length is between 23 mm and 33 mm. The various gradual inward slopes referenced above may be insignificant slopes along the set length of the exterior side222of the wedge pin2000whereby the effect is no real slope at all. All ranges disclosed herein are inclusive of the respective outer limits listed. The wedge pin200may include a laterally elongated portion at its larger end218proximate its first side219to aid in handling the wedge pin200by the user, as shown inFIG.9. The smaller end215may be rounded to aid in inserting the wedge pin200into the fork leg apertures210and212. The fork202may have a fork connector230welded or otherwise fixedly attached to the fork202for connection to a toe board10. When installed on an object102, the wedge pin200is removed from the first fork leg aperture210to allow for insertion around an object102. Once inserted on around the object102the wedge pin200is reinserted into the first fork leg aperture210along its smaller end214and the wedge pin200is pushed until pressure is asserted onto the object102and the wedge pin200is wedged into position, seeFIG.12. The various sections along the wedge pin200allow for better placement in relation thereto to allow for retaining the toe board10onto a greater number of objects102. Referring toFIGS.2A,3A,3D,4A,4D and9A, exemplary connecting members100are shown installed on the adjustable toe board10. A generally cylindrical connecting member anchor124and125is provided. The connecting member anchors124and125are connectable to the toe board10at their respective ends. Connecting member anchor124is attached to the outer toe board60proximate the outer board second side25. Connecting member anchor125is attached to the inner toe board62proximate the inner board first side80. An attachment member108is coupled to each of the connecting member anchors124and125and is moveable in relation to the connecting member anchor124or125that is it coupled to. A fork202can then be coupled to each respective connecting member anchor124or125by attachment of the fork connector230with the respective attachment member108. The fork202is moveable in relation to the connecting member anchor124or125along at least a portion of its shaft when installed thereon. The fork202may also be moveable in relation to the attachment member108that it is coupled to. The movement of the fork202in relation to the toe board10will aid in connecting the fork202to the desired object102. Referring toFIGS.11and12, an exemplary platform76of a scaffold is shown having guard rails98and a vertically extending post102. The adjustable toe board10is shown in its installed position with the connecting members installed on the post102. The term spring as used herein refers to any resilient member of any shape that is operable in the invention, and may be made from any suitable material. For example, the spring may be comprised of a compressible fluid. The depicted exemplary embodiments may be altered in a number of ways while retaining the inventive aspect, including ways not specifically disclosed herein. Throughout the description and claims of this specification, the words “comprise” and “contain” and variations of the words, for example “comprising” and “comprises”, means “including but not limited to”, and is not intended to (and does not) exclude other moieties, additives, components, integers or steps. Throughout the description and claims of this specification, the singular encompasses the plural unless the context otherwise requires. In particular, where the indefinite article is used, the specification is to be understood as contemplating plurality as well as singularity, unless the context requires otherwise. Features and characteristics described in conjunction with a particular aspect, embodiment or example of the invention are to be understood to be applicable to any other aspect, embodiment or example described herein unless incompatible therewith. All of the features disclosed in this specification (including any accompanying claims, abstract and drawings), and/or all of the steps of any method or process so disclosed, may be combined in any combination, except combinations where at least some of such features and/or steps are mutually exclusive. In other words, the method steps have not been provided for in any particular sequential order and may be rearranged as needed or desired, with some steps repeated sequentially or at other times, during use. Each feature disclosed in this specification (including any accompanying claims, abstract and drawings), may be replaced by alternative features serving the same, equivalent, or similar purpose, unless expressly stated otherwise. Thus, unless expressly stated otherwise, each feature disclosed is one example only of a generic series of equivalent or similar features. The invention is not restricted to the details of any foregoing embodiments. The invention extends to any novel one, or any novel combination, of the features disclosed in this specification (including any accompanying claims, abstract and drawings), or to any novel one, or any novel combination, of the steps of any method or process so disclosed. | 48,018 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.