patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
045270686 | abstract | The invention is directed to a concrete shielding housing for receiving and storing a fuel element container filled with spent nuclear reactor fuel elements. The container is suitable for transport and storage. The clear interior dimensions of the concrete shielding housing are somewhat larger than the outer dimensions of the fuel element container. The concrete shielding housing includes a pallet-type base and in the lower region of the housing there is provided at least one air inlet opening and in the upper region of the housing there is provided at least one air outlet opening. To prevent an uncontrolled conduction of moisture away from the interior of the housing to the ground or to the floor of a storage area or building, there is provided a collection pan arranged under the base plate of the pallet-like base. At least one axial bore extends clear through the base plate of the pallet-like base. With the arrangement of the collection pan, contaminated moisture is collected and prevented from seeping into the ground or floor. |
044926499 | abstract | In order to remove carbon dioxide from an off-gas stream and immobilize it in solid stable form, the gas is passed through a packed bed of calcium hydroxide maintained at a temperature in the range 10.degree. C.-50.degree. C., the moisture content of the gas being controlled so as to correspond to a relative humidity from 40% to 100% at the bed temperature. |
abstract | A method of suppressing deposition of radionuclides on components of a nuclear power plant comprises forming a ferrite film by contacting a first chemical including iron (II) ions, a second chemical for oxidizing the iron (II) ions to iron (III) ions, and a third chemical for adjusting the pH of a processing solution containing a mixture of the first and second chemicals to be 5.5 to 9.0 with the metal member surface in a time period from a finishing stage in decontamination step of removing contaminants formed on the surface of metal member composing the nuclear power plant, and suppressing deposition of radionuclides on the metal member by the ferrite film. |
|
claims | 1. A particle beam therapy system comprising:a charged particle beam generator for emitting a charged particle beam;a plurality of treatment rooms in each of which an irradiation unit for irradiating the charged particle beam is disposed;a first beam transport system connected to said charged particle beam generator and transporting the charged particle beam emitted from said charged particle beam generator;a plurality of second beam transport systems provided respectively corresponding to said treatment rooms, connected to said first beam transport system, and transporting the charged particle beam transported through said first beam transport system to the corresponding irradiation units mounted to rotating gantries disposed in said treatment rooms;a path switching device disposed at each of junctions between a beam path in said first beam transport system and beam paths in said plurality of second beam transport systems, and switching the beam path in which the charged particle beam is introduced;a plurality of first shutters provided respectively in said plurality of second beam transport systems downstream of said path switching devices in the direction of advance of the charged particle beam, and shutting off the beam path in the corresponding second beam transport system; anda shutter controller for controlling said first shutter to be open, which is provided in the second beam transport system introducing the charged particle beam to selected one of said plurality of treatment rooms;wherein said irradiation units disposed in said plurality of treatment rooms are each provided with a dose detector for detecting radiation dose produced by the charged particle beam, and said shutter controller controls the open first shutter into a closed state when the radiation dose detected by the dose detector provided in the selected treatment room reaches a dose setting value. 2. A particle beam therapy system according to claim 1, wherein said shutter controller does not control said first shutter to be open, which is provided in each of the other second beam transport systems not introducing the charged particle beam than the second beam transport system introducing the charged particle beam to the selected treatment room. 3. A particle beam therapy system according to claim 1, further comprising a selected-treatment-room information output device for outputting selected-treatment-room information representing the selected treatment room to said shutter controller. 4. A particle beam therapy system according to claim 3, wherein said shutter controller executes first control for bringing all said first shutters provided in all said second beam transport systems into a closed state, and second control for bringing the first shutter into an open state, which is provided in the second beam transport system introducing the charged particle beam to the selected treatment room, by using said selected-treatment-room information. 5. A particle beam therapy system according to claim 1, wherein said shutter controller executes first control for bringing all said first shutters provided in all said second beam transport systems into a closed state, and second control for bringing the first shutter into an open state, which is provided in the second beam transport system introducing the charged particle beam to the selected treatment room. 6. A particle beam therapy system according to claim 1, wherein said shutter controller controls the open first shutter into a closed state when irradiation of the charged particle beam by said irradiation unit disposed in the selected treatment room is completed. 7. A particle beam therapy system comprising:a charged particle beam generator for emitting a charged particle beam;a plurality of treatment rooms in each of which an irradiation unit for irradiating the charged particle beam is disposed;a first beam transport system connected to said charged particle beam generator and transporting the charged particle beam emitted from said charged particle beam generator;a plurality of second beam transport systems provided respectively corresponding to said treatment rooms, connected to said first beam transport system, and transporting the charged particle beam transported through said first beam transport system to the corresponding irradiation units mounted to rotating gantries disposed in said treatment rooms;a path switching device disposed at each of junctions between a beam path in said first beam transport system and beam paths in said plurality of second beam transport systems, and switching the beam path in which the charged particle beam is introduced;a plurality of first shutters provided respectively in said plurality of second beam transport systems downstream of said path switching devices in the direction of advance of the charged particle beam, and shutting off the beam path in the corresponding second beam transport system; anda second shutter which is provided in said first beam transport system at a position between said charged particle beam generator and the beam transport system junction closest to said charged particle beam generator, and which shuts off the beam path in said first beam transport system;wherein said second shutter is lighter than said first shutter. 8. A particle beam therapy system according to claim 7, further comprising a shutter controller for controlling the first shutter into an open, state, which is provided in the second beam transport system introducing the charged particle beam to the selected treatment room, and thereafter controlling said second shutter into an open state. 9. A particle beam therapy system according to claim 7, further comprising a shutter controller for controlling the first shutter into an open state, which is provided in the second beam transport system introducing the charged particle beam to selected one of said plurality of treatment rooms, and thereafter controlling said second shutter into an open state. 10. A particle beam therapy system according to claim 1 or 7, wherein said path switching device is a switching electromagnet. 11. A particle beam therapy system comprising:a charged particle beam generator for emitting a charged particle beam;a plurality of irradiation units disposed in a plurality of treatment rooms, respectively, for irradiating the charged particle beam;a charged particle beam transport apparatus having a plurality of beam paths, communicated with said charged particle beam generator, and transporting the charged particle beam emitted from said charged particle beam generator separately to said respective irradiation units in said plurality of treatment rooms;a plurality of element groups;a plurality of shutters provided respectively in said plurality of beam paths for shutting off the respective beam paths;said plurality of element groups being successively arranged in said beam paths in the direction in which the charged particle beam advances through said beam paths, and said element groups including respective elements disposed in said plurality of beam paths;said element groups being each provided with an alternatively selecting device for alternatively selecting the respective elements in said element groups; anda shutter controller for controlling said shutter to be open, which is provided in the beam path associated with the elements selected by said alternatively selecting device, while maintaining the other shutters to be closed, when it is confirmed that the operations of the elements selected by said alternatively selecting device are normal by comparing actual status data of the elements selected by said alternatively selecting device with control command data of said selected elements. 12. A particle beam therapy system according to claim 11, wherein said alternatively selecting device connects the respective elements in said element groups to a common power supply in an alternative manner. 13. A particle beam therapy system according to claim 12, wherein said alternatively selecting device is a mechanical switch. 14. A particle beam therapy system according to claim 12, wherein at least one of said element groups has the plurality of elements arranged along one of said beam paths and electrically connected in series. 15. A particle beam therapy system according to claim 12, wherein said elements are electromagnets. 16. A particle beam therapy system according to claim 12, wherein each element in one of said element groups is a path switching electromagnet for introducing the charged particle beam to corresponding each beam path. 17. A particle beam therapy system according to claim 11, wherein said alternatively selecting device is a mechanical switch. 18. A particle beam therapy system comprising:a charged particle beam generator for emitting a charged particle beam;a plurality of irradiation units disposed in a plurality of treatment rooms, respectively, for irradiating the charged particle beam;a charged particle beam transport apparatus having a plurality of beam paths, communicated with said charged particle beam generator, and transporting the charged particle beam emitted from said charged particle beam generator separately to said respective irradiation units in said plurality of treatment rooms;a plurality of element groups each having a plurality of elements;a plurality of shutters provided respectively in said plurality of beam paths for shutting off the respective beam paths;said element groups being disposed in said plurality of beam paths in a one-to-one relation, and said plurality of elements in each of said element groups being successively arranged in the corresponding beam path in the direction of advance of the charged particle beam;an alternatively selecting device for alternatively selecting any one of said element groups; anda shutter controller for controlling said shutter to be open, which is provided in the beam path associated with the element group selected by said alternatively selecting device, while maintaining the other shutters to be closed, when it is confirmed that the operations of the element group selected by said alternatively selecting device are normal by comparing actual status data of the element group selected by said alternatively selecting device with control command data of said selected element group. 19. A particle beam therapy system according to claim 18, wherein said alternatively selecting device connects the plurality of elements, which are electrically connected in series in each of said element groups, to a common power supply in an alternative manner. 20. A partide beam therapy system according to claim 18, wherein said alternatively selecting device is a mechanical switch. 21. A particle beam therapy system according to claim 11 or 18, wherein said shutter controller controls said shutter to be open, which is provided in the beam path associated with the elements or element group selected by said alternatively selecting device, while maintaining the other shutters to be closed, when it is confirmed that the operations of element group selected by said alternatively selecting device are normal and further it is confirmed that a treatment room number which is the ame as the treatment room number for the beam path associated with the elements or element group selected by said alternative selecting device, is received. 22. A particle beam therapy system according to claim 11 or 18, wherein said shutter is a shutter for physically blocking the beam itself. 23. A particle beam irradiating method comprising the steps of causing a plurality of patients to enter a plurality of treatment rooms, respectively, and selectively introducing a charged particle beam emitted from a charged particle beam generator to irradiation units disposed in said treatment rooms for irradiation to the patients in sequence, the irradiating method comprising the steps of:disposing electromagnet groups in a one-to-one relation to a plurality of beam transport paths extended from said charged particle beam generator to said irradiation units in said plurality of treatment rooms;providing shutters in said plurality of beam transport paths, respectively, for shutting off the respective beam transport paths;forming no beam transport paths and maintaining the associated shutters to be closed when electric power from a power supply is supplied to plural ones of said electromagnet groups; andforming one corresponding beam transport path when electric power from said power supply is supplied to only one of said electromagnet groups, and controlling the associated shutter to be open and irradiating the charged particle beam to the patient by the irradiation unit in the corresponding treatment room through the formed beam transport path. 24. A particle beam irradiating method according to claim 23, wherein each of said shutters is a shutter for physically blocking the beam itself. |
|
description | 1. Field of the Invention The present invention relates to an X-ray inspection apparatus, and specifically, to an X-ray microscopic inspection apparatus capable of providing better resolution than 0.1 μm over a broad range of an accelerating voltage by using an electron source for emitting a high intensity electron flow and a lens system for focusing electrons on the X-ray target. 2. Description of the Related Art As an inspection apparatus utilizing an X-ray, various kinds of industrial inspection apparatuses such as an X-ray microscope, a foreign body inspection apparatus, a fluorescent X-ray analyzing apparatus, and medical X-ray apparatuses such as an X-ray diagnostic apparatus are known. FIG. 1 shows a construction example of a conventional X-ray inspection apparatus. The X-ray inspection apparatus in this example is designed so as to obtain a micro X-ray point source 23a by accelerating electrons Re from an electron source 21b by applying a high voltage between a grid 21a and an anode 21c using a thermionic emission cathode 21b as the electron source, and then focusing the electrons Re on a target 23 formed by a thin plate of high-melting point metal such as tungsten by electron lenses 22. Subsequently, the inside of a sample (object to be inspected) 10 is projected in magnifying mode by using the point-form X-ray Rx generated from the X-ray targets 23a and the microstructure inside of the sample is subjected to non-destructive perspective inspection. In such X-ray inspection apparatus, the electron beam Re impinging on the target 23 is converted into the X-ray Rx thereon, however, its conversion efficiency is as extremely low as equal to or less than 1%, and most of the energy of the electron beam Re is converted into heat on the target 23. By the way, since an X-ray has no electric charge, it can not be bent freely as an electron by using an electron lens. On this account, in order to obtain high magnifying power, it is necessary to bring the sample 10 as near to the X-ray source 23a as possible, to capture the X-ray Rx that is transmitted through the sample 10 and spreads out radially with a two-dimensional detector (X-ray detector) 24 disposed at a distance as far as possible, and to make it into an image (there are various kinds of X-ray detectors 24, and an X-ray is converted into light and subjected to amplification and imaging). Only in theory, the magnifying power is infinitely increased as the distance between the sample 10 and the X-ray detector 24 is taken larger, however, actually, since the X-ray amount per unit area is reduced in inverse proportion to the square of the distance, the upper limit of the magnifying power is determined by the balance between the sensitivity of the X-ray detector 24 and the X-ray amount or X-ray density on the X-ray detector of the magnified image. On the other hand, the resolving power of the X-ray image transmitted through the sample 10 is more improved by making the X-ray source size (focal point size) smaller because the blurring amount is reduced. In the case where the same electron source 21b is used, the X-ray source size can be made smaller by focusing the electron into a small spot by the electron lens 22, however, since the electron beam amount included therein is reduced in reverse proportion to the square of the spot diameter and the X-ray amount is also reduced in response thereto, the final resolving power is determined by the balance between the electron spot diameter in which enough X-ray amount is produced and the sensitivity of the above described X-ray detector 24, and has a certain limit. In the conventional X-ray microscopic inspection apparatus that the applicant has developed and commercialized, a two-stage reduction system using lenses having as small spherical aberration and chromatic aberration as possible for the focusing lens system and a LaB6 (lanthanum hexaboride) cathode having an advantageous character as a thermionic source are adopted, and further, an image intensifier with high sensitivity is used, and thereby the resolving power becomes less than 1 μm and achieves about 0.4 μm. This is the highest value on a global basis as a practical X-ray inspection apparatus at present (the degree of 0.1 μm is the highest value if the exposure time is neglected), and the value may be assumed as the technical limit under the present circumstances. Therefore, the resolving power better than 0.1 μm expected in the invention can not be implemented by the conventional technology (see the following description of the non-patent documents). Hereinafter, the conventional technology concerning the resolving power of the X-ray inspection apparatus will be described. The technology concerning the resolving power is disclosed in Non-patent Document 1, Nixon, “High-resolution X-ray projection microscopy”, 1960, A232: pp. 475-485, Non-patent Document 2, Keiji Yada & Hisashi Ishikawa, “Projection X-ray Shadow Microscopy using SEM”, Bulletin of the Research Institute for Scientific Measurements, Tohoku University, 1980, Vol. 29, No. 1, pp. 25–42, Non-patent Document 3, Keiji Yada & Kunio Shinohara, “Development of Soft X-ray Microscopy”, 1980, Biophysics, Vol. 33, No. 4, pp. 8–16, Non-patent Document 4, Keiji Yada & Shoichi Takahashi, “High-Resolution Projection X-ray Microscopy”, 1994, Chap. 8, pp. 133–150, and Non-patent Document 5, Keiji Yada & Kunio Shinohara, “Development of Projection X-Ray Microscopy and Its Biological Applications” 1996, Bulletin of Aomori Public College, Vol. 1, pp. 2–13, for example. In Non-patent Document 1, there described that, regarding X-ray Shadow Microscopy, the limit of its resolving power has been 0.5 μm conventionally, however, the resolving power of 0.1 μm is achieved by using a high brightness electron emitter and a very thin metal film (0.1 μm in thickness) as the target at this time. In addition, there also described that the exposure time for obtaining a sheet of image is five minutes, and after Non-patent Document 1 is disclosed, studies for shortening the exposure time have been actively performed. Further, Non-patent Document 2 is a research report (bulletin of the research institute for scientific measurements, Tohoku University) on the projection X-ray shadow microscopy utilizing an irradiation system of an electron microscope, and there described that the resolving power of 0.1 μm is achieved. Additionally, theoretical analyses are performed regarding respective factors that affect the resolving power, and there derived the conclusion that the spot size of the X-ray source exerts the greatest effects on the resolving power. Furthermore, there described that, by converting a SEM (scanning electron microscope) to an X-ray microscope, scanning of the electron beam with a deflection coil is utilized for focusing. Moreover, Non-patent Document 3 is for explaining the trend in the X-ray microscopy to the present, and there explained that the soft X-ray microscope of a relatively short wavelength (0.1 to 10 nm) by specifically referring to the observation of biological samples. The contents of Non-patent Document 4 are substantially the same as those of Non-patent Document 2, however, there shown a densitometry profile of an X-ray image having the resolving power better than 0.1 μm (on 146 page in the main body). Non-patent Document 5 is for explaining the X-ray microscope in an easily understandable way, and there described that the image quality becomes better by changing the target in relation to the sample that is difficult to provide contrast as is the case with Non-patent Documents 2, 3, and 4. In order to manufacture an X-ray inspection apparatus having high power resolution never before possible, an electron source with higher brightness (greater current amount per unit area/unit solid angle) and greater emission current amount becomes required. Additionally, an electron lens system for assuring a great electron probe current amount as possible becomes also required. Further, devices for increasing the heat release effect of the target are required so that the target may not melt or evaporate even if the electron probe having such high current density impinges thereon. By the way, the nano-technology extends across information, medical, environmental fields, and, for example, in a micromachine referred to in the medical field, the component constituting the machine becomes less than 1 μm and ready to enter nano order. In addition, the current semiconductor technology is ever being directed to miniaturization, and non-destructive inspection in the class of the resolving power equal to or less than 0.1 μm never before possible using the micro X-ray source becomes a challenge that is required by all means. Especially, in the information field, there is the great challenge of making the line width in the next generation very large scale integrated circuit from 180–130 nm at present to 70–100 nm. Simultaneously, it is often the case where the microstructure consisted principally of a light element become an object to be observed, and, for providing contrast to the image, it becomes an important challenge that the high resolution power is held even in the case of using an X-ray having a long wavelength by the low accelerating voltage of 10 to 20 kV, which has been difficult in the conventional X-ray inspection apparatus. The invention is achieved in the light of the above described circumstances, and an object of the invention is to provide an X-ray microscopic inspection apparatus for solving the above described various challenges, enabling non-destructive inspection with high resolving power equal to or less than 0.1 μm within a very short period, and capable of largely contributing to the nano-technology field. The invention relates to X-ray microscopic inspection apparatus having X-ray generating means for generating X-rays by allowing an electron beam from an electron source to impinge on a target for X-ray generation, for inspecting an object to be inspected by utilizing the X-rays, and the above described object of the invention is achieved by including a magnetic superposition lens whose magnetic lens-field is superposed on an electron generating portion of en electron gun, as a component element of the X-ray generating means. Further, the object is achieved by including a liquid metal electron source using Taylor cone consisting of the liquid metal, as a component element of the X-ray generating means. Furthermore, the object is achieved by including a thermal field emission electron source as the electron source, as a component element of the X-ray generating means. Moreover, the object is achieved by including a target with a backing plate using CVD diamond as the heat sink, as a component element of the X-ray generating means. In addition, the object is achieved even more effectively by including at least one component element of an electron source using liquid metal or a thermal field emission electron source as the electron source, and a target with a CVD diamond plate as the heat sink of the target, as a component element of the X-ray generating means, other than the magnetic superposition lens disposed in the vicinity of the electron generating portion of the electron gun. In an X-ray microscopic inspection apparatus of the invention, the following means are adopted in order to solve the various problems as described in “Description of the Related Art”. First, “thermal field emission cathode” or “liquid metal field emission cathode” with higher brightness compared to the thermionic emission cathode used in the conventional X-ray inspection apparatus is used for the electron source for the first time in the X-ray microscopic inspection apparatus. The characteristics of these electron sources are that the brightness is higher than the LaB6 cathode by two orders of magnitude, and simultaneously, the effective size of the electron source is smaller by three orders of magnitude. On this account, special devices are required for the electron optical system that forms an electron probe. In the conventional X-ray inspection apparatus, as shown in FIG. 1, the electron probe has been reduced totally by two orders of magnitude by accelerating the electrons Re from the electron source 21b and then focusing them by the electron lenses 22. This probe size reduction accompanies the reduction of the electron beam amount as described above. Therefore, secondly, in the X-ray microscopic inspection apparatus of the invention, operating at a magnifying mode of several times totally while reducing the electron beam loss amount by introducing a magnetic superposition electron lens (hereinafter, referred to as “magnetic superposition lens”) for focusing electrons while accelerating them is adopted. Thus, a high intensity X-ray source never before possible is realized by using the electron source (thermal field emission cathode, liquid metal electron source) that has never been used for the X-ray microscope and the magnetic superposition lens that has never been used for the X-ray microscope, either, and an X-ray image with high resolving power of equal to or better than 0.1 μm can be obtained within a very short period. Thirdly, to the target for X-ray generation, a thin plate of diamond formed by CVD (chemical vapor deposition) is introduced as a heat sink. Diamond is a light element and has good X-ray transparency, and has extremely high thermal conductivity (about three times that of pure copper) despite that it is an insulative material and extremely high melting point. Recently, a diamond plate of good thermal conductivity can be obtained by CVD. In the embodiment, by using a target with a diamond heat sink as the target by further depositing a target material on the diamond plate by CVD, the temperature rise of the target due to the electron beam is largely reduced, and the target is made to endure thermal load even in the case where the X-ray converted from the electron beam is largely increased. The surface of diamond plate is kept electrically conductive with a suitably material in use such as thin deposition layer of Be. It is optimum to adopt all of the above described first to third technical matters, however, they can be adopted independently, and any of them can be used for providing an X-ray image with higher resolving power. By the way, it has been known that X-rays having long wavelength is desirable for a sample consisting principally of light elements, however, since the conventional X-ray microscopic inspection apparatus is short of the signal amount, there has been only a method of contrast intensification by image processing. In the X-ray microscopic inspection apparatus of the invention, since the signal amount can be increased largely by adopting the respective technical matters as described above, the light element sample can be inspected with high resolving power using X-rays having long wavelength. For example, the accelerating voltage is lowered to the order of 10 to 20 kV, and Ge (germanium), Cr (chromium), etc. is adopted as a target corresponding thereto to generate a characteristic X-ray having a wavelength of 0.2 to 3 nm, in addition to a continuous X-ray having a wavelength of 0.06 to 0.2 nm. The apparatus can perform significant contrast enhancement to X-ray images of the samples consisting principally of light elements. Hereinafter, preferable embodiments of the invention will be described in detail by referring to the drawings. FIG. 3 shows an example of a construction of a main part of an X-ray microscopic inspection apparatus according to the invention, and X-ray generating means includes an electron gun 1, an objective lens 2, a target 3, etc., and the electron gun 1 is constituted by a Schottky module 1a, an electron source 1b, an anode 1c, etc. In the X-ray microscopic inspection apparatus of the invention, as described above, “liquid metal field emission cathode (liquid metal electron source)” or “thermal field emission cathode (thermal field emission electron source)” is used as the electron source 1b. FIGS. 4A and 4B show an example of a liquid metal field emission cathode by diagrams. The liquid metal field emission cathode 1b has a construction in which a filament of tungsten is provided as a thermionic source a1 and a tungsten having a tip end formed at an acute angle as shown in FIG. 4A is attached to the thermionic source a1, as an electron generating portion a2 as shown in FIG. 4B, and the electron generating portion a2 is coated with liquid metal a3. By such construction, the liquid metal a3 diffuses along the surface and is supplied to the tip end forming very thin tip called Taylor cone as the electron generating portion a2. The effect provided by the liquid metal a3 causes the increase of electron beam brightness about a hundred times. As the material used as the liquid metal, a material having relatively low vapor pressure at a molten state of the metal having low melting point used in a liquid metal ion source is preferable. For example, In (indium) [melting point≈429 K, vapor pressure at melting point: <<10−10 Pa], Ga (gallium) [melting point≈303 K, vapor pressure at melting point: <<10−10 Pa], etc. are suitable. In addition, in the invention, as the construction example in FIG. 3, a construction adopted in which a magnetic superposition lens 1d that has never been used for the X-ray microscope is disposed in the vicinity of the electron generating portion of the electron gun 1 of the X-ray microscopic inspection apparatus, and, by superposing the magnetic field formed by the magnetic superposition lens 1d on the electric field formed by the electron gun at least from the electron generating portion 1a to the anode 1c as a component element of electron accelerating means, the electrons Re are focused while accelerating them by the anode 1c. That is, the loss amount of the focused electron beams is reduced by accelerating the electron Re just after generated from the electron generating portion 1a while focusing them. Then, the focused electron beam (electron probe for X-ray generation) having high current density is impinged on the target 3 so as to increase the X-ray amount generated from the target 3. The so-called magnetic superposition lens has been conventionally used in an electron beam apparatus such as a transmission electron microscope and a scanning electron microscope, however, the lens can not be applied to the X-ray microscopic inspection apparatus because the desired X-ray amount can not be obtained because of the small emission current amount. The reason for that is, in the electron microscope, the small emission current amount is not problematic to some extent because it is enough as the signal. In the X-ray microscopic inspection apparatus, however, different from the electron microscope, the problem that the image is dark and long exposure time is needed with the small amount of the probe current raises. Especially, short exposure time is a required condition for the widespread industrial use. Further, the electron beam apparatus such as an electron microscope has the construction in which a magnetic circuit etc. is incorporated within the electron gun chamber that requires ultra-high vacuum. In the X-ray microscopic inspection apparatus that requires the greater electron flow (probe current), it is difficult to solve the vacuum deterioration due to the magnetic circuit accompanying gas and heat generation and consequently out-gas that is emitted by the electron flow impingement. On this account, there is no example in which the lens used in the electron beam apparatus is applied to the X-ray inspection apparatus. In the invention, the problem is solved by adopting a material that is thought to emit small amount of gas, and by placing the magnetic circuit outside the vacuum chamber with water cooling for the circuit. Hereinafter, the construction of the magnetic superposition lens that is unique to the X-ray inspection apparatus according to the invention will be described by comparison with the lens used in the electron beam apparatus such as a scanning electron microscope. The FE (field emission) electron gun provides electron beams having high brightness and good coherence, and thereby, demonstrates its high performance in a transmission electron microscope, a scanning electron microscope, a scanning transmission electron microscope, an electron beam exposure apparatus, etc. However, this performance is obtained by reducing the crossover of the electron source extremely small. The so-called electron beam probe demonstrates its performance only when the probe is made in a size equal to or less than nanometer (sub-nanometer). However, in order to obtain a probe in which the crossover of the electron source is enlarged from submicron to micron size, it becomes difficult to obtain sufficient probe current due to the large aberration of the magnification lens. This aberration in associated with the distance from the position of the electron source of the electron gun to the first stage of the magnifying lens (single stage or plural stages), and proportional to the third to fourth power of the distance. Therefore, a so-called compound lens in which an electron lens is added to the electron gun part is devised and put into practical use. However, the conventional FE electron gun has a construction in which, as shown in the construction example in FIG. 2, the entire housing of the electron gun chamber is made from a vacuum sealing material 1B such as stainless steel, and a magnetic circuit 1d1 (magnetic body 1d11, excitation coil 1d12, etc.) is incorporated in the electron gun tip end 1A disposed within the ultra-high vacuum thereof. In such construction, there are great difficulties associated with incorporation of the magnetic circuit accompanying heat generation within the FE electron gun chamber A that requires ultra-high vacuum, cooling water, and the magnetic coil, and taking out of lead lines and pipes connected thereto. In addition, the axis alignment mechanism of the electron gun and the electron lens is also extremely difficult. On the contrary, the electron gun for X-ray generation having the magnetic superposition lens (hereinafter, referred to as magnetic superposition electron gun) according to the invention has a construction in which a magnetic field generating portion of the magnetic superposition lens constituted by the magnetic circuit 1d1, etc. is provided in the position in the vicinity of the electron source of the electron gun (electron gun tip end 1A for electron generation) outside the electron gun chamber under vacuum. FIG. 5 shows a first construction example of the magnetic lens superposition electron gun according to the invention corresponding to the construction of the conventional FE electron gun shown in FIG. 2. 1A denotes the electron gun tip end constituted by an emitter, a suppresser, an extractor, etc., 1d1 denotes the magnetic circuit, 1d11 denotes the magnetic body constituting the magnetic circuit, 1d12 denotes the excitation coil for the magnetic circuit 1d1, s denotes the distance between two pole pieces of the electron lens, and b2 (“b” in FIG. 2) denotes the hole diameter of the pole piece, respectively. As shown in FIG. 5, in the embodiment, the construction in which the electron gun chamber itself is incorporated in the magnetic circuit 1d1 constituted by the magnetic body 1d11, etc., is adopted. Specifically, the construction includes an electron gun accommodation part having a rectangular section, for example, as shown in FIG. 5, and a housing covering the magnetic body as the electron gun chamber A, as the component element of the magnetic superposition lens 1d, and the electron gun incorporated in the electron gun accommodation part. That is, the construction includes the parts of the housing (the entire or a part of the housing such as an upper plate, a bottom plate, and an outer cylinder) provided as a part or the entire of the magnetic circuit (magnetic field generating portion) and the electron gun and the electron lens 1d separated under vacuum. In the first construction example, strong excitation is required, since the object surface (crossover of electron source) is disposed rearward than the center of the lens field, though there is an advantage that the aberration coefficient (especially, the spherical aberration) is made significantly small. The reason for that is, generally, when the distance from the object surface (in this case, crossover of electron source) to the lower pole of the electron lens is fixed, the larger the hole diameter and the distance of the pole pieces, the smaller the spherical aberration becomes. Note that, chromatic aberration is not limited to that, the chromatic aberration can be neglected as the subject of the invention. In addition, since the magnetic circuit is separated from the electron gun chamber that requires ultra-high vacuum in construction, there is an advantage that the vacuum seal, the cooling water, and lead lines can be taken out easily. FIG. 6 shows a second construction example of the magnetic lens superposition electron gun according to the invention corresponding to the first construction example shown in FIG. 5. In the embodiment, as shown in FIG. 6, the construction in which the electron gun chamber A in the convex form is provided at the upper portion of the magnetic superposition lens 1d constituted by the magnetic body 1d11 etc. formed so as to have a section in a concaved form, for example, and the electron gun tip end 1A is formed so as to be inserted into the magnetic field from upside of the magnetic superposition lens 1d, so that the electron gun tip end 1A and the magnetic body 1d11 may be more close, is adopted. Since the extremely strong magnetic excitation is needed in the first construction example shown in FIG. 5, the construction is extremely effective to the low accelerated electron beams, however, not necessarily advantageous for the highly accelerated electron beams to some degree. Therefore, the embodiment adopts the construction in which the hole diameter b of the pole pieces (hole diameters b1 and b2 in different sizes between upper and lower holes in this example) and the distance s are made small so that much weaker excitation may be enough, and the electron gun tip end 1A is formed so as to be inserted into its magnetic field. In both of the above described first and second construction examples of the magnetic lens superposition electron gun, the magnetic superposition lens has the construction in which the magnetic field generating point is disposed in the position in the vicinity of the electron generating portion of the electron gun outside the electron gun chamber, and thereby, there are advantages that the electron gun and the electron lens are separated under vacuum (easy to realize ultra-high vacuum including baking out) and the electric field formed by the electron gun and the magnetic field formed by the electron lens are superposed with no difficulty. In addition, in the construction of FIG. 6, as the example thereof is shown, a deflection coil 1e can be easily provided in the vicinity of the electron gun tip end 1A for the electromagnetic axis alignment. As an electron beam focusing, the above described magnetic superposition lens 1d and the electron lens (objective lens) 2 as shown in FIG. 3 are needed. By providing the objective lens 2 to make the focusing of the electron beam by two stages, the freedom of selecting the desired electron probe size and the probe current becomes extremely increased. In addition, since the focal length of the objective lens 2 is longer in the X-ray microscopic inspection apparatus of the invention compared to that in the conventional apparatus (see FIG. 1), the longer working distance (several centimeters) that can be never obtained by the conventional X-ray microscopic inspection apparatus can be realized. On this account, the space between the objective lens 2 and the target 3 can be taken broader, peripheral equipment for the inspection can be provided within the space. Further, it is essentially important that the X-ray amount applied to the sample (object to be inspected) 10 is greater in order to realize an X-ray microscopic inspection apparatus with high resolving power, so as to make greater electron amount to impinge on the target 3 with high intensity and micro focal point size by a high performance lens. The orientation of the axis and the position of the electron beam for X-ray generation are also important. In the embodiment, as illustrated in FIG. 3 and FIG. 6, the apparatus has the construction in which the electron beam axis alignment coil 1e is disposed in the vicinity of the electron generating portion 1a (close by the electron source) for the first time as the X-ray microscopic inspection apparatus, and by shifting the electron beam before acceleration by the anode 1c in X and Y directions to align the electron beam using the axis alignment coil 1e. The axis alignment of the electron beam for the X-ray source can be performed precisely and extremely easily. Further, as the target 3 shown in FIG. 3, a thin diamond plate that has enough transparency to X-ray, has extremely high thermal conductivity despite that it is an insulative material, and has extremely high melting point is used as a heat sink is adopted. The following Table 1 shows properties of Be (beryllium) and diamond. Since diamond has extremely higher thermal conductivity and melting point compared to Be, which has conventionally used, the problem of melting or evaporation of the target does not occur because of the advantageous effect as the heat sink even if the electron probe having high current density is focused by the magnetic superposition lens 1d. TABLE 1BeDiamondMelting point (K)15513873Density (kgm−3)1847.7[293 K]3510[293 K]Thermal conductivity200[300 K]1540[400 K](Wm−1K−1)Electric conductivity2.5 × 107[293 K]3.7 × 10−5[293 K](Ω−1m−1) FIGS. 7A and 7B schematically show an example of the target 3 with a diamond heat sink by the side view and plan view. As shown in FIG. 7A, for example, the target has a construction in which, on the diamond plate 3b formed in the form of a thin plate by CVD, the target material 3a is deposited by CVD. Thus, by making the target with CVD diamond as the heat sink, the temperature rise of the target 3 due to the electron beam is largely reduced, to endure a high intensity X-ray generation. The target 3 is kept in the electrically conductive state to the column at the earth potential with thin conductive layer such as Be to avoid the charging up due to the insulative diamond plate. By the above described construction, the X-ray microscopic inspection apparatus having ultra-high resolving power of 40 nm to 100 nm can be realized, and the apparatus can contribute to non-destructive inspections etc. in various fields such as the inspection of the next generation very large scale integrated circuit, the inspection of the components of the medical micromachine, the inspection of the sample consisted principally of a light element by an X-ray having a long wavelength (0.2 to 3 nm). As described above, according to the invention, an X-ray microscopic inspection apparatus capable of performing non-destructive inspection of the object can be performed with ultra-high resolving power (40 to 100 nm) better than 0.1 μm. Specifically, since the electron beam for X-ray generation having high current density is formed by using the magnetic superposition lens, and greater X-ray amount is generated, the apparatus can be operated as a higher magnification system of several times as a whole, while avoiding the electron beam loss. In addition, by the construction in which liquid metal or thermal field emission cathode is used for the electron source, the electron source with higher brightness and greater emission current amount compared to the conventional electron source using the LaB6 cathode can be obtained, and the X-ray amount applied to the object to be inspected can be largely increased. Further, by the construction in which CVD diamond is used as a heat sink of the target for X-ray generation, the temperature rise when the energy of the electron beam is converted into heat on the target can be largely reduced, and as a result, the target can endure the thermal load even if the X-ray amount applied to the object to be inspected is largely increased. Furthermore, as described in “Description of the Related Art”, the miniaturization of the minimum constitutional unit of the semiconductor component is recently being promoted from the micro-scale to nano-scale. The non-destructive inspection of the microstructure inside such components will be a necessary and indispensable technology in the future. Only an X-ray can be used for non-destructive inspection with high resolving power of such inner structure. Therefore, the invention that enables the non-destructive inspection with ultra-high resolving power of 40 nm to 100 nm can largely contribute to the nano-technology fields. |
|
claims | 1. A shutter-shield system, for reducing potential human risk of cumulative effects from extraneous x-radiation, applied to a collimator including a collimator housing configured with a fixed working aperture and deployed in conjunction with an x-ray tube for a designated inspection purpose conducted totally within an overall shield housing, comprising;a shutter-shield plate configured with a shutter aperture made generally similar to the fixed working aperture in size and shape;a shutter support structure made and arranged to retain said shield shutter plate constrained with ability to shift within a predetermined travel range between (1) an open-shutter condition wherein the shutter aperture is aligned with the fixed working aperture so as to allow x-radiation through a thus combined aperture for the designated inspection purpose and (2) a closed-shutter condition for standby purposes wherein offset displacement of the shutter-shield plate causes the shutter aperture to be similarly displaced offset from the fixed working aperture so as to in effect close the combined aperture and thus substantially contain x-ray radiation within a region of the collimator housing bounded by the shutter-shield plate; anda drive mechanism attached to the collimator and operationally connected to said shutter-shield plate, made and arranged to actuate transition between the two shutter conditions in response to a control signal, said drive mechanism comprising:an electrical solenoid, having a plunger operationally connected to said shutter-shield plate; andspring biasing means, operationally connected to said shutter-shield plate, made and arranged to urge said shutter-shield plate to move to a first end of the travel range whenever said electrical solenoid is not powered,said electrical solenoid being made and arranged to urge said shutter-shield plate to move to a second end of the travel range, opposite the first end, whenever said electrical solenoid is powered. 2. The shutter-shield system as defined in claim 1 wherein said shutter-shield plate is configured with the shutter aperture located in a manner to deploy the open-shutter condition at the first end of the travel range, i.e. whenever the solenoid is not powered, and to deploy the closed-shutter condition at the second end of the travel range, i.e. whenever the solenoid is powered. 3. The shutter-shield system as defined in claim 1 wherein said spring biasing means comprises at least one coil spring having a first end attached to said shutter-shield plate and having a second end, opposite the first end, attached to the collimator housing. 4. The shutter-shield system as defined in claim 1 further comprising:a pair of ball-bearing slide assemblies, each having a first member attached to said shutter-shield plate and a second member attached to the collimator housing, made and arranged to provide said shutter-shield plate with freedom of movement, but only in a predetermined linear direction and within the predetermined travel range. |
|
claims | 1. A TIP monitoring control system for controlling a TIP driving equipment that drives a TIP detector in a LPRM string, the TIP monitoring control system comprising: a process computer, a TIP control panel, and a data transmission unit configured to transmit data between the TIP control panel and the process computer, whereinthe TIP control panel includes:a TIP driving control unit that is programmed to input a TIP scanning signal and to output a driving signal to the TIP driving equipment,a TIP level processing unit that is programmed to input a TIP level signal from the TIP detector,a TIP position processing unit that is programmed to input a TIP position signal from the TIP driving equipment,a TIP level data accumulation unit that is programmed to input the TIP level signal and the TIP position signal and to accumulate the TIP level signal in synchronization with a TIP position signal as TIP level data, anda TIP level data transmitting unit that is programmed to constantly transmit the TIP position signal through the data transmission unit and to collectively transmit the TIP level data through the data transmission unit;the process computer includes:a TIP scanning unit that is programmed to output the TIP scanning signal,a TIP level data receiving unit that is programmed to receive 1) the TIP level data accumulated in the TIP control panel through the data transmission unit, 2) an LPRM level signal from an LPRM detector, and 3) an APRM level signal from an APRM, and receive the TIP position signal constantly,a TIP level data storage unit that is programmed to store the LPRM level signal and the APRM level signal in synchronization with the constantly received TIP position signal, and the TIP level data synchronized with the TIP position signal in the accumulation unit;a calibration current value calculation unit that is programmed to calculate a calibration current value of a TIP detector on the basis of each TIP level data of a common string stored in the TIP level data storage unit,a first calibration current value data storage unit that is programmed to store calibration current value data calculated by the calibration current value calculation unit, anda first calibration current value data transmitting and receiving unit that is programmed to transmit calibration current value data from the process computer to the TIP control panel via the data transmission unit; andthe TIP control panel further includes:a second calibration current value data transmitting and receiving unit that is programmed to receive calibration current value data calculated by the process computer via the data transmission unit, anda calibration current value setting unit that is programmed to adjust gain of the TIP detector in accordance with a calibration current value. 2. The TIP monitoring control system according to claim 1, whereinthe process computer further includes a plant state monitoring unit that is programmed to monitor parameters based upon a plant state signal, a TIP position signal stored in the TIP level data storage unit, and a TIP level signal that is in synchronization with the TIP position signal. 3. The TIP monitoring control system according to claim 1, whereinthe process computer further includes:a second calibration current value data storage unit in which information representing a time when calibration takes place is added to calibration current value data calculated by the calibration current value calculation unit before the calibration current value data are stored; anda TIP detector deterioration diagnosis unit that is programmed to determine a deterioration trend of the TIP detector from the calibration current value data storage unit and outputs the trend. 4. A TIP system comprising: a plurality of TIP detectors, a selection mechanism that sequentially selects one of a plurality of TIP guide tubes for each of the TIP detectors, a shielded container in which the TIP detectors are stored in any time other than a measurement period of the TIP detectors, a TIP driving equipment that drives the insertion and pullout of the TIP detectors into the TIP guide tubes, and a TIP monitoring control system, wherein the TIP monitoring control system has: a process computer, a TIP control panel, and a data transmission unit,the TIP control panel including:a TIP driving control unit that is programmed to input a TIP scanning signal and to output a driving signal to the TIP driving equipment,a TIP level processing unit that is programmed to input a TIP level signal from the TIP detector,a TIP position processing unit that is programmed to input a TIP position signal from the TIP driving equipment,a TIP level data accumulation unit that is programmed to input the TIP level signal and the TIP position signal and to accumulate the TIP level signal in synchronization with a TIP position signal as TIP level data, anda TIP level data transmitting unit that is programmed to constantly transmit the TIP position signal through the data transmission unit and to collectively transmit the TIP level data through the data transmission unit;the process computer including:a TIP scanning unit that is programmed to output the TIP scanning signal,a TIP level data receiving unit that is programmed to receive 1) the TIP level data accumulated in the TIP control panel through the data transmission unit, 2) an LPRM level signal from an LPRM detector, and 3) an APRM level signal from an APRM, and receive the TIP position signal constantly,a TIP level data storage unit that is programmed to store the LPRM level signal and the APRM level signal in synchronization with the constantly received TIP position signal, and the TIP level data synchronized with the TIP position signal in the accumulation unit;a calibration current value calculation unit that is programmed to calculate a calibration current value of a TIP detector on the basis of each TIP level data of a common string stored in the TIP level data storage unit,a first calibration current value data storage unit that is programmed to store calibration current value data calculated by the calibration current value calculation unit, anda first calibration current value data transmitting and receiving unit that is programmed to transmit calibration current value data from the process computer to the TIP control panel via the data transmission unit; andthe TIP control panel further includes:a second calibration current value data transmitting and receiving unit that is programmed to receive calibration current value data calculated by the process computer via the data transmission unit, anda calibration current value setting unit that is programmed to adiust gain of the TIP detector in accordance with a calibration current value. |
|
046438700 | abstract | Disclosed is a nuclear reactor containment adapted to retain and cool core debris in the unlikely event of a core meltdown and subsequent breach in the reactor vessel. The reactor vessel is seated in a cavity which has a thick metal sidewall that is integral with a thick metal basemat at the bottom of the cavity. The basemat extends beyond the perimeter of the cavity sidewall. Underneath the basemat is a porous bed with water pipes and steam pipes running into it. Water is introduced into the bed and converted into steam which is vented to the atmosphere. A plurality of metal pilings in the form of H-beams extends from the metal base plate downwardly and outwardly into the earth. |
description | This application is a continuation-in-part of U.S. patent application Ser. No. 11/819,160, filed Jun. 25, 2007 (that issued as U.S. Pat. No. 7,586,108 on Sep. 8, 2009), the entire content of which is incorporated herein by reference. The present invention relates to a radiation detector having a radiation sensitive surface, a method of manufacturing a radiation detector for detecting radiation, and a lithographic apparatus comprising a radiation detector. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning” direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. In device manufacturing methods using lithographic apparatus, an important factor is the yield, i.e., the percentage of correctly manufactured devices. One factor affecting yield is the accuracy within which layers are printed in relation to layers that have previously been formed. This is known as overlay and the overlay error budget will often be 10 nm or less. To achieve such accuracy, the substrate must be aligned to the reticle pattern to be transferred with great accuracy. A number of sensors are used at substrate level for evaluating and optimizing imaging performance. These may include transmission image sensors (TIS). A TIS is a sensor that is used to measure at substrate level the position of a projected aerial image of a mark pattern at mask (reticle) level. The projected image at substrate level may be a line pattern with a line width comparable to the wavelength of the exposure radiation. The TIS measures the aforementioned mark pattern using a transmission pattern with a photocell, i.e., a radiation detector, underneath it. The sensor data may be used to measure the position of the reticle with respect to the substrate table in six degrees of freedom, i.e., three degrees of freedom related to translation and three degrees of freedom related to rotation. Moreover, magnification and scaling of the projected mark pattern may be measured. At wavelengths between about 10-200 nm, the radiation sensitive surface of the radiation detector of a conventional TIS deteriorates within a limited time frame. As a result, the TIS has a limited lifetime. With the continual desire to image ever smaller patterns to create device with higher component densities, there is pressure to reduce the wavelengths used. In order to maintain or reduce overlay errors, there exists a need for a more robust TIS. It is desirable to provide a radiation detector at substrate level with high sensitivity so that it can be used to detect radiation with wavelengths between about 10-200 nm with an improved lifetime. According to an embodiment of the invention, there is provided a radiation detector having a radiation sensitive surface. The radiation detector comprises a silicon substrate having a surface area at a first surface side with a doping profile. The radiation detector further comprises a dopant layer provided on the first surface side of the silicon substrate, the dopant layer comprising a first layer of dopant material and a second layer, the second layer being a diffusion layer which is in contact with the surface area at the first surface side of the silicon substrate. The radiation detector further comprises a first electrode connected to the dopant layer and a second electrode connected to the silicon substrate. The surface area at the first surface side of the silicon substrate and the second layer are arranged to form the radiation sensitive surface. Additionally, in another embodiment, the invention provides a lithographic exposure apparatus for exposing a substrate with a patterned beam of radiation, comprising a radiation detector. In a further embodiment of the invention, there is provided a method of manufacturing a radiation detector for detecting radiation, including providing a silicon substrate with a first surface side and a second surface side opposite thereto, wherein the silicon substrate has a surface area at the first surface side with a doping profile, depositing a layer of dopant material on top of the first surface of the silicon substrate such that in the silicon substrate a diffusion layer is formed and so that the surface area at the first surface and a second layer are arranged to form a radiation sensitive surface, partly covering the layer of dopant material with a first contact comprising a conducting material such that first regions and second regions are formed, wherein the layer of dopant material is covered with the first contact material in the first regions and remains exposable to the radiation in the second regions and depositing a second contact comprising a conducting material at the second surface side of the silicon substrate. FIG. 1 depicts a lithographic apparatus according to one embodiment of the invention. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation), a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters, a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure supports, i.e., bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system.” As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system. The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section. The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in FIG. 1) can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1 and M2, and substrate alignment marks P1 and P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e., a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 depicts an arrangement of the substrate table WT depicted in the lithographic apparatus of FIG. 1. On the substrate table WT, two fixed marks TIS 1 and TIS 2 are provided. The fixed markers TIS 1 and TIS 2 have integrated into them an image sensor that can be used to determine a location of an aerial image of an object mark on the mask MA by scanning the image sensor through the aerial image. The image sensor is substantially positioned in the substrate plane, i.e., the plane in which substrate W is located if positioned on the substrate table WT. Consequently, the relative position of the image of the object mark on the mask MA and the fixed marks TIS 1 and TIS 2 can be determined. If the substrate table WT is provided with a substrate W comprising substrate marks, e.g., substrate marks P1, P2, P3, and P4 as depicted in FIG. 2, an alignment sensor (not shown) may previously obtain relative positions of the substrate marks P1, P2, P3, and P4. The knowledge of the relative positions of the substrate marks P1, P2, P3, and P4 obtained by the alignment sensor combined with the knowledge of the relative position of the image of the object mark on the mask MA and the fixed marks TIS 1 and TIS 2 measured by the image sensors within TIS 1 and TIS 2, allow the substrate W to be positioned at any desired position relative to the projected image of the mask MA with a high degree of accuracy. FIG. 3 depicts a cross-sectional view of a radiation detector 1, e.g., TIS 1 or TIS 2 in FIG. 2, or at least part thereof, according to an embodiment of the invention. The radiation detector 1 comprises a silicon (Si) substrate 3, hereinafter referred to as Si-substrate 3. In at least a surface area 10 of the Si-substrate 3, the Si-substrate 3 is provided with doping profile of a certain conduction type. That is, the doping profile may be of a conduction type in which the conduction takes place by means of electrons, i.e., n-type conduction, or of a conduction type in which the conduction takes place by means of holes, i.e., p-type conduction. On top of the radiation sensitive surface of the radiation detector, a dopant layer 5 is provided. In case the doping profile within the surface area 10 of the Si-substrate 3 relates to n-type conduction, dopant layer 5 is an acceptor layer. In case the doping profile within the surface area 10 of the Si-substrate 3 relates to p-type conduction, the dopant layer 5 is a donor layer. The radiation detector further comprises two electrodes, i.e., a first electrode 7 and a second electrode 9. The dopant layer 5 may be covered by a protective layer 11 for protecting the radiation detector from the environment. As the radiation needs to pass the protective layer 11, the protective layer is preferably highly transparent to the radiation to be detected. The first electrode 7 is connected to the dopant layer 5. The first electrode 7 may partly cover the dopant layer 5, as depicted in FIG. 3. This partial overlap ensures a proper connection between the first electrode 7 and the dopant layer 5. As the contact between the first electrode 7 and the dopant layer 5 is larger in size due to the overlap, electrical charge may be removed within a shorter period of time, which may increase the speed in which the radiation detector 1 reacts to short radiation pulses. The first electrode 7 may comprise one or more metallic materials or may be formed from metallic stacks including metallic materials like aluminum (Al), titanium nitride (TiN), titanium (Ti), gold (Au), nickel (Ni), and chrome (Cr). The second electrode 9 is connected to the Si-substrate 3. The connection may be made with a second surface side of the Si-substrate 3, the second surface side being opposite to the first surface side as is depicted in FIG. 3. A connection as depicted in FIG. 3 between the second surface side of the Si-substrate 3 and the second electrode 9 ensures a homogeneous influence of the second electrode 9. Also the second electrode 9 may comprise one or more metallic materials or may be formed from a metallic stack including metallic materials like Al, TiN, Ti, Au, Ni, and Cr. The dopant layer 5 comprises two layers: a first layer 5a of dopant material and a second layer 5b which is a diffusion layer. In an embodiment, the dopant material is an acceptor material, like boron (B), gallium (Ga), aluminum (Al), or indium (In). Alternatively, the dopant material may be a donor material like phosphorus (P), arsenic (As), or antimony (Sb). Embodiments of the invention will further be described with reference to boron as acceptor material. In this case, the second layer 5b is a layer of a BxSi1-x compound, i.e., boron (B) encompassed in a silicon structure as suitable under the circumstances, x being a value between zero and one. In an embodiment, the first layer 5a of boron has a thickness of about 1-20 nm. As the transparency of the first layer 5a for radiation with wavelengths between about 10-200 nm is not 100%, the first layer 5a is kept relatively thin to ensure a high sensitivity. In an embodiment especially suitable for radiation with wavelengths between about 150-200 nm, the second layer 5b of a BxSi1-x compound has a thickness of about 1-10 nm. This thickness is sufficient to provide a charge response which, due to aforementioned thickness of the second layer 5b, may be transported without undue delays away via the first electrode. In an embodiment, especially suitable for radiation with wavelengths between about 1-150 nm, the second layer 5b of BxSi1-x has a thickness of about 10-1000 nm. In an embodiment, the Si-substrate 3 comprises an epitaxial layer of crystalline silicon. In this case, the acceptor layer 5 has been provided on a surface of aforementioned epitaxial layer. Due to the n-type semiconductor doping profile in at least the surface area 10 of the Si-substrate 3, deposition of the first layer 5a of boron causes development of a pn-junction between the surface area of the Si-substrate 3 and a developing diffusion layer 5b of a BxSi1-x compound. The n-type semiconductor doping profile in at least the surface area and the diffusion layer are so arranged as to form a radiation sensitive surface of the radiation detector. The radiation sensitive surface is sensitive for radiation with a wavelength between about 10-200 nm. In use, the radiation-sensitive surface, i.e., the surface area of the Si-substrate 3 and the diffusion layer 5b, is at least partly depleted which makes the surface sensitive to aforementioned radiation. The boron layer 5a and BxSi1-x compound layer 5b improve hardness, i.e., the ability to withstand degradation, of the radiation detector 1 while they, when their thickness is sufficiently chosen, are sufficiently transparent with respect to the radiation of interest, i.e., wavelengths between about 10 and 200 nm. In an embodiment, the second layer 5b comprises 2 sub-layers, i.e., a first sub-layer of mono-crystalline BxSi1-x and a second sub-layer of non-monocrystalline BxSi1-x. The first sub-layer of mono-crystalline BxSi1-x is an important layer with respect to transfer of charge from the radiation sensitive surface of the Si-substrate 3 and the first electrode 7. The second sub-layer of non-monocrystalline BxSi1-x is located between the first sub-layer and the first layer of boron 5a. The second sub-layer has high sheet resistance but is conductive. The presence of the first layer and the second sub-layer of non-monocrystalline BxSi1-x suppresses electron injection from Si-substrate 3. Consequently, the transition between the second layer of BxSi1-x 5b and the Si-substrate 3 is junction-like. The second sub-layer of non-monocrystalline BxSi1-x may have a thickness of about 0-2 nm. Thus, aforementioned variation in thickness of second layer 5b of BxSi1-x is, in case aforementioned two sub-layers are present, mainly due to variation in thickness of the first sub-layer of crystalline BxSi1-x. FIG. 4 depicts an elevated side view of the embodiment of the radiation detector depicted in FIG. 3. From FIG. 4, it can be seen that the first electrode 7 may be configured as a conductive grid. A conductive grid as depicted in FIG. 4 enables an increased charge removal velocity. As the boron layer is semi-metallic and the BxSi1-x has high sheet resistance, the read-out speed, which is correlated with the charge removal velocity, becomes slower if the sheet resistance of the BxSi1-x layer increases. The resistance depends on the distance between the electrode and the location in the BxSi1-x layer where an electrical charge is induced. The conductive grid limits the maximum value of aforementioned distance. In order to ensure an efficient charge removal, the conductive grid may be circumvented by a conducting ring-shaped electrode (not shown in FIG. 4), which may be located outside the area covered by the radiation-sensitive surface of the radiation detector 1. The conductive grid forms grid cells. Typical areas of grid cells are 5×5, 10×10 and 20×20 μm2. A typical width of the conductive tracks in the conductive grid is 1.0-1.5 μm. In an embodiment, the conductive grid structure comprises aluminum. Aluminum is a conductive material that may be used in cleanroom environments without additional conditions regarding restricted use. The radiation sensitive surface of radiation detector 1 comprises first regions 12 and second regions 13. In the first regions 12, the first layer 5a, i.e., the layer of Boron, is connected to the first electrode 7. In the second regions 13, the first layer 5a, i.e., the layer of Boron, is covered with a protective layer 11. In an embodiment, the second regions 13 have a cumulative surface area of about 10-25 mm2. The protective layer 11 is optimized to have a high transparency for the radiation to be detected, here electromagnetic radiation with a wavelength between about 10-200 nm. The protective layer 11 is for instance advantageous when using the detector in a lithographic exposure apparatus using EUV radiation. In such a lithographic exposure apparatus, the radiation detector in use becomes contaminated. The contamination is caused by particles comprising carbon released during the exposure of a photoresist layer on a substrate with a patterned beam of EUV radiation. Hydrogen is an effective cleaning material for such contamination. However, hydrogen would interact with materials in the detector causing damage. The protective layer 11 is arranged to shield the detector from the hydrogen and is positioned on the surface of the dopant layer 5 facing away from the surface area 10 and thus the Si-substrate 3. In other words, it is positioned on the side of the detector facing the radiation. At the same time, being positioned on the side facing the radiation, the contamination would be present on the protective layer 11. Thus cleaning the protective layer 11 with hydrogen would provide cleaning of the detector, without causing damage to the detector. The protective layer 11 may comprise silicon-oxide (SiO2) to form an isolation layer, e.g. provided by means of some type of chemical vapor deposition (CVD) like plasma enhanced CVD or low pressure CVD as will be understood by a person skilled in the art. It must be understood that the protective layer 11 is an optional layer. Process flows exist to provide a metallic grid without use of isolation layer, e.g., an oxide layer. FIG. 5 depicts an assembly of radiation detectors according to embodiments of the invention, e.g., the embodiment of a radiation detector 1 as depicted in FIGS. 3 and 4. In the assembly shown in FIG. 5, an embodiment of a radiation detector 1 is used in which the conductive grid 7 is connected with an outer ring-shaped electrode 15 as discussed with reference to FIG. 4. The first electrodes 7 of the respective radiation detectors 1a-d may be controlled by connecting the first electrodes of the respective radiation detectors 1a-d with a corresponding bond pad, e.g., one of bond pads 19. In an embodiment, metal tracks from the first electrodes towards a corresponding bond pad are used for this purpose. The second electrode of the respective detectors 1a-d is common and may be connected by directly contacting directly the second electrode 9. The assembly of radiation detectors, in FIG. 5 four radiation detectors 1a-d arranged in a symmetric order, is suitable for measuring radiation provided at different illumination settings, e.g., annular illumination, dipole illumination, and quadrupole illumination. FIG. 6 is a flow diagram of a method of manufacturing an embodiment of a radiation detector according to the invention. First, in step 61, a silicon (Si) substrate is provided. The Si substrate has a first surface and a second surface opposite thereto. Subsequently, in step 63, a layer of boron (B) is deposited on top of the first surface. Deposition is performed such that in the Si substrate a layer of BxSi1-x is formed. Optimal formation takes place at locations where no oxide is present. In order to ensure an oxide-free surface, etching of an oxide layer to the Si-substrate surface before deposition may be performed. Subsequently, in step 65, the layer of B is partly covered with a first contact layer comprising a metal, e.g., an electrode 7 or conductive grid as depicted in FIGS. 3 and 4. As a result of the partial covering, first regions and second regions are formed. The layer of B is covered with the first contact layer in the first regions, e.g., the regions where the conductive grid as depicted in FIGS. 4 and 5 is located. The layer of B remains exposed in the second regions, e.g., in the grid cells discussed with reference to FIG. 4. Then, in step 67, the layer of B in the second regions is covered with a protective layer which is arranged to be highly transparent for the radiation to be detected. The protective layer may comprise silicon-oxide (SiO2) for forming a insulating layer. The layer may be provided by means of some type of chemical vapor deposition (CVD) like plasma enhanced CVD or low pressure CVD as will be understood by a person skilled in the art. Finally, step 69, a second contact layer comprising a metal is deposited on top of the second surface of the Si-substrate. Embodiments of the radiation detector may be used in many applications. Possible applications include use as an energy sensor, a spot sensor, and a slit sensor of a high volume EUV lithographic apparatus. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion,” respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. Embodiments of the invention may be used to provide a radiation detector for detecting the dose of electromagnetic radiation applied for curing the resist. The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g., having a wavelength of or about 365, 355, 248, 193, 157, or 126 μm) and extreme ultra-violet (EUV) radiation (e.g., having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. The embodiment of the radiation detector according to the invention as for instance described above in detail may also be used to detect low-energy charged particles such as electrons or plasma particles such as ions. The electrons may have an energy of around 200 eV to around 40 keV. The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic, and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. |
|
summary | ||
061480541 | abstract | A support rod 14 carrying one or more separation devices 12, e.g., swirlers, is releasably secured in a fuel bundle. The support rod and separation devices are sized to pass through openings in the spacers S otherwise containing full length fuel rods. The lower end of the support rod is releasably connected to the upper end of a part-length fuel rod PLR which in turn is releasably connected to the lower tie plate. The support rod, separation devices and part-length rod may be installed and removed relative to the fuel bundle as an integral assembly with the part-length rod and separation devices passing through the openings in the spacers. When installed, the separation devices lie above upper spacers in the vent volumes to flow liquid on and into the interstices of the surrounding fuel rods. |
claims | 1. A spectral purity filter, comprising:a base having a thickness defined by two opposing major surfaces with a first array of apertures formed therein, the first array of apertures extending through the thickness of the base and defining a sidewall within each aperture; anda coating provided on the sidewalls of the first array of apertures, wherein the first array of apertures are configured to diffract a first wavelength of radiation and to allow at least a portion of a second wavelength of radiation to be transmitted, the second wavelength of radiation being shorter than the first wavelength of radiation,wherein the base further comprises a second array of apertures, each aperture of the second array of apertures having a diameter less than the first wavelength. 2. The spectral purity filter of claim 1, wherein the coating is arranged to absorb at least a portion of the first wavelength of radiation. 3. The spectral purity filter of claim 1, wherein the coating is arranged to inhibit reflection of at least a portion of the first wavelength of radiation. 4. The spectral purity filter of claim 1, wherein the coating is arranged to promote reflection of at least a portion of the second wavelength. 5. The spectral purity filter of claim 1, wherein the coating is arranged to inhibit degradation or environmental damage to the first array of apertures. 6. The spectral purity filter of claim 1, wherein the first array of apertures each have a diameter greater than 20 μm. 7. The spectral purity filter of claim 1, wherein the diameter is less than half of the first wavelength. 8. The spectral purity filter of claim 7, wherein the diameter is greater than the second wavelength. 9. The spectral purity filter of claim 1, wherein the first array of apertures have a periodicity such that the first diffraction order of the first wavelength of radiation is substantially separated from the zero diffraction order. 10. The spectral purity filter of claim 1, wherein the base comprises at least one of a plate, a mesh, and a wire grid. 11. The spectral purity filter of claim 1, wherein the first array of apertures or the second array of apertures are circular apertures arranged in a periodic array. 12. The spectral purity filter of claim 11, wherein the periodic array comprises a hexagonal pattern array. 13. The spectral purity filter of claim 1, wherein the first array of apertures are elongated slots arranged in a linear array. |
|
059321784 | abstract | An FDG synthesizer, which comprises: a labeling reaction resin column comprising a column filled with a polymer-supported phase-transfer catalyst resin for trapping an .sup.18 F! fluoride ion contained in a target water, and performing a labeling reaction between the thus trapped .sup.18 F! fluoride ion and triflate, on the one hand, and a hydrolysis reaction vessel for receiving a reaction intermediate product obtained from the labeling reaction, and performing a hydrolysis reaction by adding a strong acidic aqueous solution or a strong alkaline aqueous solution thereto, on the other hand. The above-mentioned hydrolysis reaction vessel may be replaced with a cation-exchange resin column having a heating device and a flow rate control device of the reaction intermediate product. |
description | This application is a 371 of PCT/EP02/14256 filed on Dec. 13, 2002, published on Aug. 21, 2003 under publication number WO 03/069634 and which claims priority benefits from German patent application number DE 102 05 949.7 filed Feb. 12, 2002. The present invention relates to a method and to a device for controlling a beam extraction irradiation device for heavy ions or protons operating according to the raster scan technique, wherein the beam energy, beam focusing and beam intensity are adjusted for every accelerator cycle. Such a method and such a device are already known from the prior art, for example, from EP 1 045 399 A1, which is concerned with the increase in the geometrical precision of the dose application and with independence from variations in beam position. As a result, it was possible to achieve a dose distribution resulting from the total irradiation that differed on average by less than 5% from the planned dose distribution. A basic explanation of the intensity-controlled raster scan technique is given in the article “Magnetic scanning system for heavy ion therapy” by Th. Haberer, W. Becher, D. Schardt and G. Kraft, Nuclear Instruments and Methods in Physics Research A330, pages 296–305, 1993. Using the raster scan technique, the therapeutically highly effective ions can be concentrated to the treatment volume defined by the doctor, which permits an optimum therapy result. The raster scan technique consists here of a combination of transversal diversion of a focussed particle beam in rapid dipole magnets with variation of the beam energy in the accelerator to determine the particle range. The virtual segmentation of the treatment volume within the limits of a radiation treatment plan is illustrated in FIG. 3. A series of slices of constant particle energy (isoenergy slices IES) is prepared. The isoenergy slices are in turn segmented into a raster of beam positions and for each individual beam position a particle density is optimised. To irradiate the individual isoenergy slices of a radiation treatment plan, at present an accelerator cycle is determined with the three adjustable parameters beam energy, beam focusing and beam intensity. The cycle duration and hence the duration of the beam extraction is set to a fixed value. This procedure involves disadvantages, since isoenergy slices cannot necessarily be processed in this way with one accelerator cycle, on the contrary, a beam extraction time longer by up to a factor 10 would be needed. The acceleration and deceleration times associated with the use of several accelerator cycles, as well as the times required for accelerator preparation, create an additional time outlay, which is of the order of magnitude of the extraction time. Further time losses result from the need for so-called conditioning cycles, that is, accelerator cycles without beam extraction, which have to run in order to create defined field conditions in the magnets of the accelerator and the beam guides, without an irradiation being able to be carried out. Further irradiation dead times arise as a result of the fact that albeit when the irradiation target (all irradiation positions could be irradiated within an isoenergy slice) or a so-called interlock situation is reached, the irradiation, that is, the beam extraction and the beam transport, is interrupted, according to the methods currently in use this nevertheless does not lead to interruption of the accelerator cycle, that is, this continues without beam extraction. Another need to interrupt the irradiation arises whenever the tissue to be irradiated has a complex geometry, is inhomogeneous in density and thus gives rise to radiation treatment plans with non-contiguous areas that nevertheless have to be irradiated with the same beam parameters. Other radiation treatment plans with non-contiguous areas in turn have to be irradiated with the same beam energy but not with the same settings for focusing and intensity. According to the current state of the art, however, interruption of the beam leads to loss of the extraction facility within the ongoing accelerator cycle, that is, a new accelerator cycle has to be requested. Also, it is not possible to re-establish the beam extraction within an accelerator cycle, nor to alter the focusing state and/or the beam intensity within an accelerator cycle. The invention is based on the problem of configuring a control means of an irradiation device operating according to the raster scan technique in such a way that a high efficiency factor combined with reduced irradiation duration is achieved. That problem is solved in accordance with the invention in the case of a method and a device by the features of claims 1 and 8 respectively. Advantageous developments are the subject matter of the subsidiary claims. According to the invention a method is therefore proposed for controlling a beam extraction irradiation device for heavy ions or protons operating according to the raster scan technique, in which the beam energy, beam focusing and beam intensity are adjusted for every accelerator cycle. At the same time, the beam extraction is also determined for every accelerator cycle. The invention is thus applied in accelerators that run in cycles. Advantageously, in the method according to the invention the duration of the beam extraction is adjusted for every accelerator cycle. The variable beam extraction duration (flat-top time) enables the irradiation dead times arising as a consequence of new accelerator cycle requests to be appreciably reduced, thus producing substantial savings of time and a markedly higher patient turnover. If the inventive method is to be used with a DC (direct current) machine, for instance with a cyclotron, creation of the time structure of the beam (ON/OFF) and the necessary flexibility of the beam parameters (intensity, focus) have to be effected in a different way. A further measure for more flexible control of the irradiation procedure arises from by the fact that the particle charge can also be varied from accelerator cycle to accelerator cycle. It is therefore possible to achieve a more effective protection against radiation. According to an advantageous variant of the method according to the invention, the beam extraction can be interrupted and reestablished again during an accelerator cycle. This variant is especially suitable for irradiation of non-contiguous areas with a constant beam energy, possibly also constant beam focusing and beam intensity. A flexible irradiation treatment is provided when the beam focusing and/or the beam intensity is altered during an accelerator cycle. In this way, within an accelerator cycle it is possible to operate, for example, with the same beam energy but with a modified therapy beam. The measures listed above for determining the beam extraction, such as variable and interruptible beam extraction, alterable focus and intensity, produce highly flexible beam request mechanisms. The irradiation duration can thus be dramatically reduced. The measure of a field control of the accelerator magnet supply and beam guidance enables the conditioning cycles mentioned in the introduction to be largely or completely omitted, since the extracted treatment beam can be made available in a stable and precise form. Application of the above-mentioned measures according to the invention, alone or in combination, enables the irradiation duration to be considerably reduced. A calculation of the anticipated reduction in irradiation time in the case of a combination of all measures has, for radiation treatment plans already carried out, produced a reduction in the mean irradiation duration to about a third of the time previously required. A device according to the invention for controlling a beam extraction irradiation device for heavy ions operating according to the raster scan technique, especially for carrying out the method according to the invention, comprises an adjusting device for the beam extraction duration of each accelerator cycle. Preferably, it comprises a device for interrupting and re-establishing the extraction beam within an accelerator cycle. For that purpose, according to a preferred exemplary embodiment of the invention extraction and/or injection kickers, that is, fast magnets, are provided. Alternatively, a device for KO-extraction of the extraction beam can be provided. According to another embodiment of the inventive device, an adjusting device is provided for modifying the focusing and/or the intensity of the extraction beam during an accelerator cycle. The radiation treatment plans illustrated in FIGS. 1 and 2 show in the upper part the synchrotron dipole field, time being plotted on the abscissa and energy on the ordinate. The lower diagram in each case shows the intensity of the extraction beam, intensity again being plotted against the treatment time. Whereas according to the conventional method shown in FIG. 2 different particle pulses (spills) occur, this leads to a longer time duration Te, during which isolated isoenergy slices are to be irradiated, that is, per area a separate particle pulse (spill) occurs for an extraction duration. The illustration of FIG. 1 shows clearly the time gain that can be achieved by the invention. It was possible to reduce the particle pulses by being able with one beam extraction to achieve masking of different areas by beam interruption. In the present example, instead of three particle pulses a single particle pulse therefore occurs, with fewer dead times. The illustration of FIG. 1 is not an empirically obtained illustration but is based on the analysis of 46 radiation treatment plans performed in the year 2000. The decrease in the mean irradiation duration produced a reduction from 251 to 87 seconds, virtually one third of the time. |
|
summary | ||
056087675 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIGS. 2A and 2B, the source assembly comprises a metallic collector 26 in the form of a hollow flat disk of metal containing the .beta.-emitting isotope material 28, which is electrically isolated from the metallic collector 26 by ceramic stand-off 30 and ceramic feed-through 32. Preferably, the .beta.-emitting isotope material 28 is centrally arranged inside the collector 26. A first electrical lead 34 is connected to the .beta.-emitting material 28 and penetrates the ceramic stand-off 30. A second electrical lead 36 has an end connected to the metallic collector 26. In accordance with one preferred embodiment, the .beta.-emitting isotope material 28 is formed as a solid thin disk. Alternatively, the .beta.-emitting isotope material 28 is deposited on a substrate formed as a solid thin disk, which substrate may be made of material which is not a .beta.-emitter. Also, the ceramic material may be replaced by any other suitable electrically insulating material able to withstand the thermal and radiological conditions of the reactor environment. In accordance with the preferred embodiment of the invention, the .beta.-emitting radioisotope is derived from neutron-activated dysprosium, Dy.sup.164. The neutron-activated partial decay scheme of pure Dy.sup.164 is as follows: ##STR1## The notation used above is as follows: n.sub.th, thermal neutron; n.sub.f, epithermal neutron at the central energy of an absorption resonance; b, barns; h, hours; d, days; m, months; and y, years. All reactions subsequent to the decay of Ho.sup.166 have been neglected, although Er.sup.166 can be neutron-activated to produce some weak .beta.-emission. This chain displays promising properties, such as substantial activation cross sections and resonance integrals, moderate decay constants, energetic .beta.-particles and high .beta.-yields. There are four .beta.-particles emitted in the chain of each Dy.sup.164 nucleus, another favorable property. Dy.sup.164 is a naturally occurring, stable isotope of the rare-earth element dysprosium, found in the ratio of 28.1%. The pure metal is soft and malleable with density 8.55 gm/cc and melting point 1412.degree. C. It is stable in air at room temperature and non-toxic. These properties make it easily fabricatable as thin foils and ideal for use in devices contained in nuclear reactors. The .beta.-battery of the present invention is intended for use inside the reactor pressure vessel of a nuclear reactor, on the periphery or just outside the nuclear fuel core, where exposure to substantial neutron flux will activate the .beta.-emitting material to produce electrons. In accordance with an alternative preferred embodiment of the present invention, the cell shown in FIGS. 2A and 2B may be replicated many times and connected together ("sandwiched") to provide adequate current for conversion to voltage in practical applications. FIG. 3 is a schematic representation of this configuration for five unit cells, although 20-30 is a more typical number. In each cell, a thin emitter foil 28, whose thickness is typically 1.5 mm, is electrically isolated by the thin ceramic disks 30 (thickness typically 0.025 mm). In the example shown, each cell has a thickness of about 1.8 mm. Therefore, a 30-cell battery is about 5.4 cm thick, with an outside diameter of roughly 8 cm. The feed-through ceramics 32 and leads 34, 36 can be deposited by electrodeposition during fabrication. The plurality of cells composing a battery (see FIG. 3) are energized by the nuclear decay electrons that traverse the thin ceramic spacers and reach the collector electrodes. The output current from the common collectors is transmitted by mineral-insulated cable to a small circuit board used to convert variable current to constant voltage. For example, portions of the circuitry 20 shown in FIG. 1 could be placed on a circuit board for current-to-voltage conversion. The source current I.sub.s arising from the collection of nuclear decay electrons from all the emitters produces a voltage across a source resistance R.sub.s (see FIG. 1), which is a slowly decreasing function of time (because of the emitter decay). It should be understood that the source resistance R.sub.s represents the sum of the internal resistance of the current generator 22 and the resistance of a separate resistor. The Zener diode 24 and load resistor R.sub.L stabilize and limit the output voltage B.sub.+ to an appropriate design level, determined by the resistors. The conversion voltage is regulated, since large changes in diode current produce small changes in diode voltage. The resulting voltage across the load resistor is insensitive to the .beta.-emitter decay and can be used to power the active circuit components inside the reactor pressure vessel, without the necessity of external power. The electronic components are fabricated from radiation-hardened semiconductors (e.g., SiC semiconductor devices) capable of withstanding relatively high .gamma.-radiation fields encountered inside the reactor pressure vessel, but outside the core region. The circuit device is not intended for use in the core, where neutron fluxes are sufficiently high to destroy the electronic components. In accordance with the preferred embodiment of the present invention, the source of electrons is the decay of radioactive isotopes produced by neutron activation of Dy.sup.164, which is formed as a thin foil held in place between adjacent ceramic members that are very thin. The emitter foil is electrically isolated from the metallic collector by these ceramic insulators. The collector material could be nickel, or a nickel-base alloy, and the ceramics could be alumina to thermally match the metal. These are typical materials, but other possible combinations exist which would allow the device to operate reliably at reactor temperature. This low-voltage DC power supply has a finite lifetime, since the Dy.sup.164 eventually "burns up" to such a low level that it cannot produce a supply voltage sufficient to power the circuit connected to it. This lifetime is determined by the type of application, such as the design requirements of the operational amplifiers in a control circuit. Typically, it is about 3 years at full power in the reactor, if the lowest permissible current is 1 mA. The amount of current density j generated can be estimated from the following formula, which takes account of source decay and self-absorption: EQU j=N(.rho..zeta./A.tau..mu.)e.sup.-.mu..tau. [1-e.sup.-.mu.l ]amp/cm.sup.2 where l is the emitter thickness; .rho. is the emitter density; A is the emitter mass number; .tau. is the emitter mean-life (1.44 t.sub.1/2); .zeta. is the Faraday constant (96487 coulomb/gm-mole); .mu. is the electron absorption coefficient of the emitter/insulator; and N is the number of cells. For a .beta.-battery using dysprosium, the current generated, as a function of time after being placed in reactor service and taking account of source burn-up and self-absorption, is shown for a typical case in FIG. 4. This graph is the algebraic sum of the four separate .beta.-emitters in the decay chain of (n+Dy.sup.164). FIGS. 5-8 show the currents due to each constituent isotope, including the isomeric state of dysprosium, Dy.sup.165m. It is noteworthy that the early currents are due to Dy.sup.165, whereas the late currents are due to the eventual build-up and decay of the isotope Ho.sup.166. The contribution of Dy.sup.165 is negligible, since its .beta.-emission is heavily self-absorbed and its generation rate is low. The rise-time of the total current is very short (not resolved on the scale of the graphs). It turns out to take about a minute to activate the Dy.sup.165 output to a level of 1 mA. Therefore, for all practical purposes, the battery is prompt upon exposure to low levels of neutrons. The voltage produced by the collected .beta.-current is dependent on the resistance through which the current flows. This voltage varies with time in the same way the current varies, for a constant resistor. Using a typical resistance of 4 k.OMEGA., the voltage characteristic of a 30-cell battery is shown in FIG. 9. When the generated voltage is fed through a voltage regulation circuit, the constant voltage shown in the graph is produced. The level of the regulated voltage is determined by design; the values shown are for illustration only. As seen in FIG. 9, battery life for this example is about 3 full-power-years, using an end-of-life criterion of 1 mA output current, which corresponds to 4 volts. The rise of Ho.sup.166 (FIG. 8) peaks at about 32 full-power-months, which is the life extension mechanism. As the voltage sags below 4 volts, the voltage regulator becomes inoperative. The voltage gradually drops as the Ho.sup.166 current decays. FIGS. 5-7 show that all precursors have decayed to insignificant levels of current production when the Ho.sup.166 peak occurs. When reactor Outages occur, or power is reduced, battery life is extended. Battery life is clearly a variable subject to design, within broad limits. The limiting factor in life of this source is the current demand. Effective lifetime and/or peak current capability can be addressed in the emitter design by combining more than one isotope in the proper proportions to give the desired current-time characteristic. In accordance with an alternative preferred embodiment of the invention, the .beta.-battery has a very thin layer of low-density ceramic electroplated on every emitter surface, which is used as a substrate. Then, the ceramic surfaces are metallized and then electroplated with a metal having suitable electrical conductivity. The metal electroplated cells are then bonded together to form a multi-cell array, an example of which is seen in FIG. 3. In this array, the metallic collectors 26 separate each unit cell and form a bus to which electrical lead 36 is connected. The electrical leads 34 are connected to a bus 38. The feed-through ceramics and leads are also deposited by electrodeposition. Processes and techniques similar to those used in semiconductor device fabrication are available for manufacture of the device. The present invention can be used to power radiation-hardened circuitry located inside the primary pressure boundary of nuclear plants, without the necessity of electrical penetrations. The neutron-activated current generator is expected to be especially useful in operating plants where local protection of sensitized stainless steel components against intergranular stress corrosion cracking requires a long-lived, low-power, freestanding electrical source. The invention also has application in other reactor contexts, such as crud deposition suppression and monitoring of electrochemical corrosion potential. The preferred embodiments have been disclosed for the purpose of illustration only. Variations and modifications of those embodiments will be readily apparent to persons skilled in the art of battery design. For example, it will be appreciated that the .beta.-emitter 28 in FIG. 2A need not be electrically connected and that electrical conductor 34 can be eliminated, in which case the Zener diode 24 will not be connected to the current source via conductor 34, as shown in FIG. 1. Although the electrical conductor 34 prevents the build-up of a space charge which could suppress the ability of electrons to flow out of the emitter, this is not essential to the present invention. All such variations and modifications are intended to be encompassed by the claims appended hereto. |
041397789 | claims | 1. A nuclear fuel storage rack comprising: upper support means for vertically supporting a fuel assembly from said fuel assembly's upper end; and swivel base means having a plurality of members capable of relative motion disposed below said fuel assembly for supporting the lower end of said fuel assembly; said members moving relative to each other to thereby relieve stresses in said fuel assembly. a first member disposed below said fuel assembly for engaging the lower end of said fuel assembly and for supporting said fuel assembly; a second member disposed below said first member for supporting said first member; and base means disposed between said first member and said second member for allowing said first member to move relative to said second member under force from said fuel assembly while supporting said fuel assembly thereby relieving stresses in said fuel assembly. a plate-like first member having a first notch in its underside and being disposed below said lower end of said fuel assembly for engaging and supporting said lower end of said fuel assembly; a plate-like second member having a second notch in its upper side corresponding to said first notch for supporting said first member; and a pivoting member disposed in said first and second notches and supporting said first member from said second member while separating said first member from said second member allowing said first member to pivot relative to said second member under the force of said fuel assembly thereby relieving stresses in said fuel assembly. a flexible member disposed between said first and second members for preventing foreign material from becoming lodged between said first and second members. a plate-like third member disposed on said first member for preventing contact between said lower end of said fuel assembly and said first member thereby preventing corrosion of said fuel assembly. a round pin attached to said first member for engaging said lower end of said fuel assembly; and a diamond pin attached to said first member and located across the center of said first member from said round pin for engaging said lower end of said fuel assembly, said round pin and said diamond pin together forming a means for attaching said fuel assembly to said swivel base means without allowing rotation of said fuel assembly relative to said first member. 2. The nuclear fuel storage rack recited in claim 1 wherein said swivel base means comprises: 3. The nuclear fuel storage rack recited in claim 1 wherein said swivel base means comprises: 4. The nuclear fuel storage rack recited in claim 3 wherein said swivel base means further comprises: 5. The nuclear fuel storage rack recited in claim 4 wherein said swivel base means further comprises: 6. The nuclear fuel storage rack recited in claim 5 wherein said swivel base means further comprises: 7. The nuclear fuel storage rack recited in claim 6 wherein said flexible member is a sheet of foam rubber. 8. The nuclear fuel storage rack recited in claim 7 wherein said third member is a nylon plate. 9. The nuclear fuel storage rack recited in claim 7 wherein said third member is a stainless steel plate. |
description | 1. Field of the Invention The present invention relates to a method of annealing a semiconductor film by using a laser beam (hereinafter referred to as laser annealing). The invention also relates to a semiconductor device fabricating method which includes the laser annealing method as one step. Incidentally, the term “semiconductor device” used herein generally denotes devices which can function by using semiconductor characteristics, and encompasses electrooptical devices such as liquid crystal display devices and luminescent devices as well as electronic equipment including the electrooptical devices as constituent parts. 2. Background Art In recent years, a wide range of researches have been made as to the art of applying laser annealing to a semiconductor film formed on an insulating substrate such as a glass substrate to crystallize the semiconductor film or to improve the crystallinity thereof. Silicon is widely used for such a semiconductor film. In the present specification, means for crystallizing a semiconductor film by a laser beam to obtain a crystalline semiconductor film is referred to as laser crystallization. As compared with synthetic quartz glass substrates which have heretofore widely been used, glass substrates have the advantages of being inexpensive and rich in workability and of facilitating fabrication of large-area substrates. This is the reason why a wide range of researches have been made. The reason why lasers are preferentially used for crystallization is that the melting points of glass substrates are low. Lasers can give high energy to semiconductor films without increasing the temperatures of substrates to a great extent. In addition, lasers are remarkably high in throughput compared to heating means using electric heating furnaces. A crystalline semiconductor is made of multiple crystal grains, and is also called a polycrystalline semiconductor film. Since a crystalline semiconductor film formed by the application of laser annealing has high mobility, the crystalline silicon film is used to form thin film transistors (TFTs). The thin film transistors are widely used in a monolithic type of liquid crystal electrooptical device in which TFTs for pixel driving and TFTs for driver circuits are fabricated on one glass substrate. A method of effecting laser annealing by forming a high power pulsed laser beam such as an excimer laser beam, by an optical system, into a laser beam which becomes a spot of several cm square or a linear shape of length 10 cm or more at an irradiation plane, and scanning the laser beam (or relatively moving a position irradiated with the laser beam with respect to an irradiation plane) has preferentially been used because the method is high in productivity and superior in industrial terms. Particularly when a linear laser beam is used, high productivity can be realized because the entire irradiation plane can be irradiated with the linear laser beam by scanning in only directions perpendicular to the lengthwise direction of the linear laser beam, unlike the case where a spot-shaped laser beam is used which needs to be scanned in forward, rearward, rightward and leftward directions. The reason why the linear laser beam is scanned in the lengthwise direction is that the lengthwise direction is the direction of the most efficient scanning. Because of this high productivity, in the laser annealing method the use of a linear laser beam into which a pulse oscillation excimer laser beam is formed by an appropriate optical system is presently becoming one of leading manufacturing techniques for semiconductor devices which are represented by liquid crystal devices using TFTs. Although there are various kinds of lasers, it is general practice to use laser crystallization due to a laser beam which uses a pulse oscillation type of excimer laser as its light source (hereinafter referred to as an excimer laser beam). The excimer laser has high power and hence the advantage of enabling irradiation repeated at high frequencies, and further has the advantage of exhibiting a high absorption coefficient against silicon film. To form the excimer laser beam, KrF (of wavelength 248 nm) and XeCl (of wavelength 308 nm) are used as exciting gases. However, gases such as Kr (krypton) and Xe (xenon) are very expensive and encounter the problem that as the frequency of gas replacement becomes higher, a greater increase in manufacturing cost is incurred. Attachments such as a laser tube for effecting laser oscillation and a gas purifier for removing unnecessary compounds generated in an oscillation process need to be replaced every two or three years. Many of these attachments are expensive, resulting in a similar problem of an increase in manufacturing cost. As described above, a laser irradiation apparatus using an excimer laser beam surely has high performance, but needs extremely complicated maintenance and also has the disadvantage that if the laser irradiation apparatus is used as a production-purpose laser irradiation apparatus, its running costs (which mean costs occurring during operation) become too high. There is a method which uses a solid-state laser (a laser which outputs a laser beam by means of a crystal rod formed as a resonance cavity), to realize a laser irradiation apparatus which is low in running cost compared to excimer lasers as well as a laser annealing method using such a laser irradiation apparatus. A semiconductor film was irradiated by using a YAG laser which was one of representative solid-state lasers. The output from the YAG laser was modulated into the second harmonic by a non-linear optical element, and the resulting laser beam (of wavelength 532 nm) was formed into a linear laser beam which became a linear shape at an irradiation plane. The semiconductor film was an amorphous silicon film of thickness 55 nm which was formed on a #1737 glass substrate made by Corning Incorporated, by a plasma CVD method. However, a concentric-circle pattern such as that shown in FIG. 2 was formed on the crystalline silicon film obtained by effecting laser annealing on the amorphous silicon film. This pattern indicates that the in-plane properties of the crystalline silicon film is non-uniform. Accordingly, if a TFT is fabricated from a crystalline silicon film on which a concentric-circle pattern is formed, the electrical characteristics of the TFT is adversely affected. Incidentally, in the present specification, a pattern such as that shown in FIG. 2 is called a concentric-circle pattern. The invention generally provides a laser annealing method using a laser irradiation apparatus which is low in running cost compared to related arts, and specifically provides a laser annealing method which does not form or can reduce a concentric-circle pattern, as well as a semiconductor device fabricating method which includes the laser annealing method as one step. First of all, consideration is given to a cause which forms a concentric-circle pattern such as that shown in FIG. 2. The laser beam irradiated onto the amorphous silicon film was a linear laser beam which became a linear shape at the irradiation plane. For this reason, even if any pattern is formed on the crystalline silicon film obtained after irradiation with the laser beam, the pattern should become a pattern parallel or perpendicular to the linear laser beam as long as the semiconductor film, the substrate and a substrate stage are completely flat. However, since the pattern observed in FIG. 2 has the shape of a concentric circle, it may be considered that the pattern is not due to the linear laser beam. In other words, it can be determined that the cause of the occurrence of the concentric-circle pattern lies in the distortion of any one or plural ones of the semiconductor film, the substrate and the substrate stage. The concentric-circle pattern observed in FIG. 2 is similar to Newton's rings. Newton's rings are a fringe pattern which is formed when lights reflected from plural reflection surfaces interfere with one another. From this fact, it can be inferred that the concentric-circle pattern is similarly due to the interference of lights reflected from plural reflection surfaces. Experiments for identifying the plural reflection surfaces were performed. FIGS. 3A and 3B respectively show the reflectivity and the transmissivity of an amorphous silicon film (of thickness 55 nm) against wavelengths. The amorphous silicon film is formed on the 1737 substrate by a plasma CVD method. It can be seen from FIGS. 3A and 3B that the reflectivity and the transmissivity are, respectively, 26% and 38% with respect to the second harmonic (of wavelength 532 nm) of the YAG laser. In other words, it can be considered that since the reflectivity and the transmissivity of the amorphous silicon film are high, an interference occurs between a beam reflected from the surface of the amorphous silicon film and a reflected beam which occurs when a laser beam transmitted through the amorphous silicon film is reflected at a certain surface. The number of surfaces (reflection surfaces) at which the second harmonic of the YAG laser transmitted through the amorphous silicon film can be reflected can be considered to be three as follows: (A) the substrate stage, (B) the back surface of the substrate, and (C) the interface between the amorphous silicon film and the substrate. In order to identify which of these reflection surfaces is the cause of the concentric-circle pattern, the first and second experiments of eliminating the influence of each of the reflected beams were performed and a theoretical expression is obtained from the results of the first and second experiments. In each of the first and second experiments, a 55-nm-thick amorphous silicon film which was formed on a 1737 glass substrate 5 inches square and 0.7 mm thick was used as a semiconductor film. Incidentally, in the specification, the surface of the substrate is defined as a surface on which the film is deposited, while the back surface of the substrate is defined as a surface which is opposite to the surface on which the film is deposited. First, the experiment of eliminating the influence of a beam reflected from a substrate stage 41 was performed as the first experiment. The first experiment will be described below with reference to FIG. 4. As shown in FIG. 4, a silicon wafer 43 was obliquely disposed between the substrate stage 41 and a substrate 10 on which a semiconductor film 11 was deposited, so that a beam reflected from the substrate stage 41 was prevented from interfering with a reflected beam 45 from a surface of the semiconductor film 11, and in this state, laser annealing was performed. The reference numeral 44 is an incident beam, and the reference numeral 46 is a reflected beam from a surface of the silicon wafer 43. In addition, a similar experiment was performed with the silicon wafer 43 omitted, in order to discriminate between a phenomenon due to the fact that the substrate stage 41 and the substrate 10 are not in contact with each other and a phenomenon due to the fact that the silicon wafer 43 is obliquely disposed between the substrate stage 41 and the substrate 10. FIGS. 5A and 5B are views showing one example of the results of the first experiment. FIG. 5A shows different crystalline silicon films, one of which was obtained when laser annealing was performed with the silicon wafer 43 being obliquely disposed 4 cm apart from the substrate stage 41 and the other of which was obtained when laser annealing was performed with the silicon wafer 43 being not disposed. FIG. 5B is a schematic view of FIG. 5A. From FIGS. 5A and 5B, it can be seen that the concentric-circle patterns appear irrespective of the presence or absence of the silicon wafer 43. From this fact, it can be seen that the concentric-circle patterns are independent of the beam reflected from the substrate stage 41. Then, the experiment of eliminating the influence of a beam reflected from the back surface of the substrate 10 was performed as the second experiment. The second experiment will be described below with reference to FIG. 6. As shown in FIG. 6, the substrate 10 was inclined with respect to an incident beam 64 so that a reflected beam 66 from the back surface of the substrate stage 41 and a reflected beam 65 from the surface of the semiconductor film 11 do not interfere with each other, and laser annealing was performed in this state. Incidentally, a support 42 was disposed on the substrate stage 41, and the substrate 10 was inclined in the state of being set against the support 42. The angle of the incident beam 64 was changed by changing the height of the support 42. FIGS. 7A and 7B are views showing the result of the second experiment. FIG. 7A shows different crystalline silicon films which were respectively obtained when laser annealing was performed with supports 5 mm, 10 mm and 15 mm high being disposed, and FIG. 7B is a schematic view of FIG. 7A. From FIGS. 7A and 7B, it can be seen that a concentric-circle pattern was observed when one side of the substrate 10 was set against the support 5 mm high, whereas a concentric-circle pattern vanished when one side of the substrate 10 was set against the support 10 mm high. In other words, it is seen that if the incident laser beam is inclined at an angle, the concentric-circle pattern disappears when the angle of inclination is greater than or equal to a certain angle. The interference between a beam reflected from the surface of the semiconductor film and a beam reflected from the interface of the semiconductor film and the substrate will be considered below with reference to FIG. 8. The amorphous silicon film is assumed to be a plain parallel plate having a refractive index n. A laser beam 84 incident on the amorphous silicon film at an angle θ1 is refracted and travels at an angle θ2 in the plain parallel plate. It is assumed here that the respective refractive indices of the amorphous silicon film and the substrate are 4 and 1.5 against the second harmonic (of wavelength 532 nm) of the YAG laser. Owing to the difference between both refractive indices, a phase deviation does not occur at the surface of the amorphous silicon film, but a relative phase deviation of π occurs at the interface between the amorphous silicon film and the substrate. Taking this fact into account, a minimum condition for a reflected beam A 85 and a reflected beam B 86 is found as follows:2nd×cos θ2=mλ, (m is an integer). (1)In Expression (1), λ represents the wavelength the incident beam, n represents the refractive index of the amorphous silicon film at the wavelength λ, and d represents the thickness of the amorphous silicon film. The following specific values are substituted into Expression (1): n=4, d=55 [nm], and λ=532 [nm]. Substituting these values, the following expression is obtained: cos θ 2 = m × 532 / ( 2 × 4 × 55 ) = m × 532 / 440. ( 2 ) From Expression (2), it is seen that since m can only take on θ, θ2 can only take on one value to minimize the interference between the reflected beam A 85 and the reflected beam B 86. From the fact that interference fringes occur in the case where m can take on plural values, it is seen that there is no possibility that a fringe pattern made of alternate dark and bright fringes is formed from the beam reflected at the interface between the amorphous silicon film and the substrate. From the above-described experiment results and theoretical expression, it can be determined that the cause of the formation of the concentric-circle pattern is the interference between the beam reflected from the surface of the amorphous silicon film and the beam reflected from the back surface of the substrate. The cause that the concentric-circle pattern was formed can be considered to be that the substrate was warped not in only one direction but in two different directions. If the substrate is distorted in only one direction like a cylindrical lens, a concentric-circle pattern will not appear, and a parallel fringe pattern will be formed. FIGS. 10A and 10B are views showing the result obtained when the distortion of a 1737 glass substrate was measured after having been heat-treated at a temperature of 640° C. for five hours. In FIG. 10A, the horizontal axis represents the x direction, whereas in FIG. 10B, the horizontal axis represents the y direction, and the vertical axis of each FIGS. 10A and 10B represents distortion. The x direction and the y direction represented by the respective horizontal axes are determined for convenience's sake so that the substrate is positioned as shown in FIG. 9 with a cut called “orientation flat” being located on the top right of the substrate. From FIGS. 10A and 10B, it is apparent that the substrate is warped in both of the x and y directions. At present, this distortion may have an influence on laser annealing, but the extent of the distortion does not become a problem in any other step of fabricating semiconductor devices such as TFTs. On the basis of the fact that, in the second experiment, no concentric-circle pattern appeared when laser annealing was performed with the substrate inclined, the invention provides the art of irradiating a laser beam onto a substrate at an angle. In accordance with the invention, it is possible to remove or reduce the non-uniformity of the properties of individual semiconductor films due to the interference of laser beams. By fabricating a TFT by using such a crystalline semiconductor film, it is possible to obtain a TFT having good electrical characteristics. It is desirable that the laser beam used in the invention be irradiated in the state of being linearly formed by an optical system. Incidentally, linearly forming the laser beam means that the laser beam is formed so that it becomes linear in shape at an irradiation plane. In addition, the term “linear” used herein does not mean “a line” in the strict sense, and means a rectangle having a large aspect ratio (or an ellipse). For example, the term “linear” indicates a shape having an aspect ratio of 10 or more (preferably, 100-10,000). The solid-state laser may use a generally known type of laser such as a YAG laser (ordinarily, an Nd:YAG laser), an Nd:YLF laser, an Nd:YVO4 laser, an Nd:YAIO3 laser, a ruby laser, an alexandrite laser or a Ti:sapphire laser. In particular, YVO4 and YAG lasers which are superior in coherence and pulse energy are preferable. However, the laser must be of a wavelength which can be transmitted through the semiconductor film, because the beam reflected from the back surface of the substrate interferes with the beam reflected at the surface of the semiconductor film. FIG. 3B shows the transmissivity of an amorphous silicon film of thickness 55 nm against wavelengths. From FIG. 3B, it is seen that the laser beam must have a wavelength of 350 nm or more (preferably, 400 nm or more) so that it can be transmitted through the amorphous silicon film of thickness 55 nm. However, in the invention, the material of the semiconductor film is not particularly limited, and not only silicon but a compound semiconductor film having an amorphous structure made of a silicon germanium (SiGe) alloy or the like may also be applied to the invention. The wavelength may be appropriately determined by an operator because wavelengths which can be transmitted through semiconductor films differ according to the kinds, the thicknesses or the like of individual semiconductor films. For example, if the YAG laser is to be used, since the basic wave of the YAG laser has a long wavelength of 1064 nm, it is preferable to use the second harmonic (of wavelength 532 nm). The first harmonic can be modulated into the second harmonic, the third harmonic or the fourth harmonic by a wavelength modulator including non-linear elements. The formation of each of the harmonics may conform to known arts. Incidentally, it is herein assumed that a “laser beam which uses a solid-state laser as its light source” contains not only the first harmonic but other harmonics which are wavelength-modulated halfway on an optical path. Otherwise, a Q-switching method (Q-modulation switching method) which is widely used in YAG-lasers may also be used. The Q-switching method is a method of outputting a pulsed laser having a very high energy level and steep pulse edges by keeping the Q of a laser resonator at a fully low value and suddenly increasing the Q to a high value. The Q-switching method is a known art. Any of the solid-state lasers used in the invention is capable of outputting a laser beam by basically using a solid-state crystal, a resonance mirror and a light source for exciting the solid-state crystal, so that the solid-state lasers do not need extremely complicated maintenance unlike excimer lasers. In other words, the solid-state lasers are very low in running cost compared to excimer lasers, and make it possible to greatly reduce the manufacturing costs of semiconductor devices. In addition, as the number of times of maintenance cycles decreases, the operation rate of production lines increases, so that the overall throughput of manufacturing processes increases, thus greatly contributing to a reduction in the manufacturing costs of semiconductor devices. Moreover, since the areas occupied by the solid-state lasers are small compared to excimer lasers, the solid-state lasers are advantageous to designing of manufacturing lines. The incident angle of a laser beam will be described below with reference to FIG. 1 in connection with preferred embodiments of the invention which will be described later. A laser beam with a beam width w1 is made incident on a semiconductor film (a target to be irradiated). The incident angle at this time is assumed to be θ. In general, the semiconductor film is deposited to a thickness of 25-80 nm, and since the semiconductor film is very thin compared to a thickness D (0.7 mm) of a glass substrate, the deviation of the optical path of the laser beam due to the semiconductor film can be ignored. Accordingly, the laser beam transmitted through the semiconductor film travels nearly rectilinearly toward the back surface of the substrate, and is reflected at the back surface of the substrate. The laser beam (reflected beam) reflected by the back surface of the substrate reaches the semiconductor film and exits from the substrate. During this time, if the incident beam and the reflected beam do not at all traverse each other on the semiconductor film, the interference of the beams does not occur in the semiconductor film. In other words, a concentric-circle pattern is not formed. The condition under which the concentric-circle pattern does not occur is expressed from FIG. 1 as follows:D×tan θ≧w/2, (3)∴≧arctan(w/(2×D)) (3)where w=(w1+w2)/2. However, the result of the second experiment shows that even if the incident beam and the reflected beam are not completely separated from each other, the concentric-circle pattern can be reduced. Therefore, assuming that D=0.7 [mm], tan θ=5/126 and w1=w2=w=0.4 [mm], the condition under which the concentric-circle pattern can be reduced is calculated as follows:0.7×5/126≧0.4/x, (x is an integer)∴x≧14.4.However, x is a denominator and can only take on an integer, so thatx≧14.Accordingly, the condition under which the concentric-circle pattern does not occur, which condition is obtained from the experiment, becomes:D×tan θ≧w/14, (4)∴θ≧arctan(w/(14×D)). (4)′ If the semiconductor film is annealed with the laser beam made incident at the angle θ which satisfies this condition, the concentric-circle pattern which would have so far been formed on the semiconductor film can be reduced, whereby a good crystalline semiconductor film can be formed. A TFT which is fabricated by using this crystalline semiconductor film has good electrical characteristics. Incidentally, in the specification, the angle θ indicates a deviation from a direction perpendicular to the substrate. Embodiment 1 of the invention will be described below with reference to FIGS. 11 and 13A. First of all, as a substrate 300, a substrate having transparency is prepared which is made of glass such as barium boro-silicate glass or alumina boro-silicate glass represented by the #7059 glass or the #1737 glass of Corning Incorporated. Incidentally, as the substrate 300, a quartz substrate or a silicon substrate may also be used. Otherwise, a plastic substrate which has heat resistance to the treatment temperature used in Embodiment 1 may also be used. In Embodiment 1, a glass substrate was prepared which was made of the #1737 glass of Corning Incorporated and was 126 mm square and 0.7 mm thick. Then, a base film 301 made of an insulating film such as a silicon oxide film, a silicon nitride film or a silicon nitride oxide film is formed on the substrate 300. In Embodiment 1, the base film 301 may use a two-layer structure, but may also use a single-layer film made of any one of the insulating films or a structure in which two or more of the insulating films are stacked. As the first layer of the base film 301, a silicon nitride oxide film 301a deposited by a plasma CVD method using SiH4, NH3 and N2O as reaction gases is formed to a thickness of 10-200 nm (preferably, 50-100 nm). In Embodiment 1, the silicon nitride oxide film 301a of thickness 50 nm was formed (composition ratio: Si=32%, O=27%, N=24% and H=17%). Then, as the second layer of the base film 301, a silicon nitride oxide film of thickness 50-200 nm (preferably, 100-150 nm) is formed to be stacked on the first layer, by a plasma CVD method using SiH4 and N2O as reaction gases. In Embodiment 1, a silicon nitride oxide film 401b of thickness 100 nm was formed (composition ratio: Si=32%, O=59%, N=7% and H=2%). Then, a semiconductor film 302 is formed over the substrate 300. As the semiconductor film 302, a semiconductor film having an amorphous structure is formed to a thickness of 25-80 nm (preferably, 30-60 nm) by a known method (a sputtering method, an LPCVD method or a plasma CVD method). Although the material of the semiconductor film is not particularly limited, it is preferable to form the semiconductor film from silicon, a silicon germanium (SiGe) alloy or the like. In Embodiment 1, an amorphous silicon film of thickness 55 nm was deposited by using a plasma CVD method. Incidentally, in Embodiment 1, after the base insulating film such as a silicon nitride film or a silicon nitride oxide film has been formed on the substrate, the semiconductor film is formed. In the case where the semiconductor film is formed after the base insulating film has been formed on the substrate, the number of surfaces by which the laser beam is to be reflected increases. However, since the respective refractive indices of the substrate and the base insulating film are nearly the same as each other, a variation in refractive index at the interface between the base insulating film and the substrate can be ignored. Then, crystallization of the semiconductor film is performed. Crystallization using a laser annealing method is applied to the crystallization of the semiconductor film. As methods of crystallizing the semiconductor film, there are a thermal crystallization method and a thermal crystallization method using a catalyst such as nickel, in addition to crystallization using a laser annealing method. Otherwise, any one of these crystallization methods and a laser annealing method may be combined. The invention is applied to and embodied in laser crystallization. In the crystallization using a laser annealing method, it is desirable that hydrogen contained in the amorphous semiconductor film be discharged in advance. Specifically, it is preferable to reduce the hydrogen content to 5 atom % or less by exposing the amorphous semiconductor film to a nitrogen atmosphere at 400-500° C. for approximately one hour. In this manner, the laser resistance of the film is remarkably improved. An optical system for the laser beam will be described below with reference to FIG. 11. As a laser oscillator 201, it is desirable to use a high-power, continuous- or pulse-oscillation solid-state laser (a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite laser, a Ti:sapphire laser or the like). Of course, a gas laser, a glass laser or the like may also be used as long as it has high power. The laser light generated from the laser oscillator 201 is formed into a linear beam whose irradiation plane has a linear shape, by using the optical system. The optical system uses, for example, a long focal length cylindrical lens 205 for enlarging a laser beam into a long beam, and a cylindrical lens 206 for converging a laser beam into a thin beam. By using such long focal length cylindrical lenses, it is possible to obtain a laser beam which is reduced in aberration and is uniform in energy distribution at or near the irradiation plane. In addition, the long focal length cylindrical lenses are effective in restraining a remarkable difference from occurring between the beam width of a beam incident on the semiconductor film and the beam width of a beam reflected from the back surface of the substrate. Experiments of the present inventor showed that when a cylindrical lens having a focal length of 500 mm or more was used, the influence of aberration was able to be drastically reduced. A reflecting mirror 207 is provided in front of the cylindrical lens 206 so that the traveling direction of the laser beam can be changed. The angle at which the laser beam is made incident on the irradiation plane can be adjusted to the desired angle θ by the reflecting mirror 207. If the angle of the cylindrical lens 206 is changed according to the angle of the reflecting mirror 207, a laser beam having far higher symmetry can be formed on the irradiation plane. In addition, when linear beams are to be irradiated onto a semiconductor film, the linear beams may also be irradiated with an overlap percentage of 50-98% or without overlap. Since optimum conditions differ according to the states of semiconductor films or the delay periods of laser beams, it is preferable that an operator appropriately determine the optimum conditions. In Embodiment 1, a YAG laser was used as the laser oscillator 201. The output from the YAG laser was modulated into the second harmonic by a non-linear optical element 202 and was then formed into a linear beam of length 130 mm and width 0.4 mm by using the optical system, and the linear beam was irradiated onto the semiconductor film. At this time, the linear beam was irradiated with an angular deviation of 5 degrees from the direction perpendicular to the substrate. Since the cylindrical lens 206 having a long focal length was used, w1=w2=w=0.4 [mm] may be used. If the irradiation condition of Embodiment 1 is applied to Expression (4), the left-hand side becomes:0.7×tan 5=0.0612,and the right-hand side becomes:0.4/8=0.0500.Accordingly, Expression (4) is satisfied, and a concentric-circle pattern was not observed on the crystalline semiconductor film obtained by the laser annealing. A TFT which is fabricated by using this crystalline semiconductor film has good electrical characteristics. Embodiment 2 which differs from Embodiment 1 will be described below with reference to FIG. 12. A substrate and a semiconductor film were fabricated in accordance with the process of Embodiment 1. In Embodiment 2 as well, a #1737 glass substrate made by Corning Incorporated was used, and an amorphous silicon film (of thickness 55 nm) was formed over the glass substrate by a CVD method. The optical system of Embodiment 2 will be described below with reference to FIG. 12. In FIG. 12, the same reference numerals are used to denote parts corresponding to those used in the optical system shown in FIG. 11. In Embodiment 2, the reflecting mirror 207 is fixed at 45 degrees with respect to the laser beam, and a substrate stage 203 is inclined by an angle θ from the horizontal direction. In Embodiment 2, a YAG laser was used as the laser oscillator 201. The output from the YAG laser was modulated into the second harmonic by the non-linear optical element 202 and was then formed into a linear beam of length 130 mm and width 0.4 mm by using the optical system, and the linear beam was irradiated onto the semiconductor film. At this time, the linear beam was irradiated with an angular deviation of 10 degrees from the direction perpendicular to the substrate. Since the cylindrical lens 206 having a long focal length was used, w1=w2=w=0.4 [mm] may be used. If the irradiation condition of Embodiment 1 is applied to Expression (4), the left-hand side becomes:0.7×tan 10=0.1234and the right-hand side becomes:0.4/8=0.0500.Accordingly, Expression (4) is satisfied, and a concentric-circle pattern was not observed on the crystalline semiconductor film obtained by the laser annealing. A TFT which is fabricated by using this crystalline semiconductor film has good electrical characteristics. In this embodiment, the manufacturing method of the active matrix substrate is explained using FIGS. 13 to 21. First, in this embodiment, a substrate 300 is used, which is made of glass such as barium borosilicate glass or aluminum borosilicate, represented by such as Corning #7059 glass and #1737 glass. Note that, as the substrate 300, a quartz substrate, a silicon substrate, a metallic substrate or a stainless substrate on which is formed an insulating film. A plastic substrate with heat resistance to a process temperature of this embodiment may also be used. Then, a base film 301 formed of an insulating film such as a silicon oxide film, a silicon nitride film or a silicon oxynitride film is formed on the substrate 300. In this embodiment, a two-layer structure is used as the base film 301. However, a single-layer film or a lamination structure consisting of two or more layers of the insulating film may be used. As a first layer of the base film 301, a silicon oxynitride film 301a is formed with a thickness of 10 to 200 nm (preferably 50 to 100 nm) with a plasma CVD method using SiH4, NH3, and N2O as reaction gas. In this embodiment, the silicon oxynitride film 301a (composition ratio Si=32%, O=27%, N=24% and H=17%) with a film thickness of 50 nm is formed. Then, as a second layer of the base film 301, a silicon oxynitride film 301b is formed and laminated into a thickness of 50 to 200 nm (preferably 100 to 150 nm) with a plasma CVD method using SiH4 and N2O as reaction gas. In this embodiment, the silicon oxynitride film 401b (composition ratio Si=32%, O=59%, N=7% and H=2%) with a film thickness of 100 nm is formed. Subsequently, semiconductor layer 302 are formed on the base film. The semiconductor layer 302 are formed from a semiconductor film with an amorphous structure which is formed by a known method (such as a sputtering method, an LPCVD method, or a plasma CVD method) into the thickness of from 25 to 80 nm (preferably 30 to 60 nm). The material of the semiconductor film is not particularly limited, but it is preferable to be formed of silicon, a silicon germanium (SiGe) alloy, or the like. In this embodiment, 55 nm thick amorphous silicon film is formed by a plasma CVD method. Next, the crystallization of the semiconductor film is conducted. The laser crystallization is applied to the crystallization of the semiconductor film. Further, other than laser crystallization, thermal crystallization or thermal crystallization using nickel as a catalyst are applicable for a crystallization of the semiconductor film. The crystallization of the semiconductor film is subjected by a method of combination in which laser crystallization and one of these crystallization methods above. The laser crystallization is implemented by applying the present invention. For example, the laser light, by which a solid laser (YAG laser, YVO4 laser, YLF laser, YaIO3 laser, ruby laser, alexandrite laser, Ti:sapphire laser, glass laser or the like) is set as a light source, is processed in to a linear beam. The laser light is irradiated to the semiconductor film by using a method shown in FIG. 11 or 12. In this embodiment, after the substrate is exposed in the nitrogen atmosphere of 500° C. temperature for 1 hour, the crystallization of the semiconductor film is conducted by the laser annealing shown in FIG. 11, whereby the crystalline silicon film having the crystal grains of large grain size is formed. Here, the YAG laser is used for the laser oscillator. The laser light modulated into the second harmonic by nonlinear optical element is processed into the linear beam by an optical system and irradiated to the semiconductor film. When the linear beam is irradiated to the semiconductor film, although the overlap ratio can be set from 50 to 98%, the ratio may be set suitably by the operator because the optimum conditions are different according to the state of the semiconductor film and the wavelength of the laser light. Thus formed the crystalline semiconductor film is patterned into the desired shape to form the semiconductor layers 402 to 406. In this embodiment, the crystalline silicon film is patterned by using the photolithography to form the semiconductor layers 402 to 406. Further, after the formation of the semiconductor layers 402 to 406, a minute amount of impurity element (boron or phosphorus) may be doped to control a threshold value of the TFT. A gate insulating film 407 is then formed for covering the semiconductor layers 402 to 406. The gate insulating film 407 is formed of an insulating film containing silicon by a plasma CVD method or a sputtering method into a film thickness of from 40 to 150 nm. In this embodiment, the gate insulating film 407 is formed of a silicon oxynitride film into a thickness of 110 nm by a plasma CVD method (composition ratio Si=32%, O=59%, N=7%, and H=2%). Of course, the gate insulating film is not limited to the silicon oxynitride film, and an other insulating film containing silicon may be used as a single layer or a lamination structure. Besides, when the silicon oxide film is used, it can be possible to be formed by a plasma CVD method in which TEOS (tetraethyl orthosilicate) and O2 are mixed and discharged at a high frequency (13.56 MHz) power density of 0.5 to 0.8 W/cm2 with a reaction pressure of 40 Pa and a substrate temperature of 300 to 400° C. Good characteristics as the gate insulating film can be obtained in the manufactured silicon oxide film thus by subsequent thermal annealing at 400 to 500° C. Then, as shown in FIG. 13B, on the gate insulating film 407, a first conductive film 408 with a thickness of 20 to 100 nm and a second conductive film 409 with a thickness of 100 to 400 nm are formed and laminated. In this embodiment, the first conductive film 408 of TaN film with a film thickness of 30 nm and the second conductive film 409 of a W film with a film thickness of 370 nm are formed into lamination. The TaN film is formed by sputtering with a Ta target under a nitrogen containing atmosphere. Besides, the W film is formed by the sputtering method with a W target. The W film may be formed by a thermal CVD method using tungsten hexafluoride (WF6). Whichever method is used, it is necessary to make the material have low resistance for use as the gate electrode, and it is preferred that the resistivity of the W film is set to less than or equal to 20 μΩcm. By making the crystal grains large, it is possible to make the W film have lower resistivity. However, in the case where many impurity elements such as oxygen are contained within the W film, crystallization is inhibited and the resistance becomes higher. Therefore, in this embodiment, by forming the W film by a sputtering method using a W target with a high purity of 99.9999% and in addition, by taking sufficient consideration to prevent impurities within the gas phase from mixing therein during the film formation, a resistivity of from 9 to 20 μΩcm can be realized. Note that, in this embodiment, the first conductive film 408 is made of TaN, and the second conductive film 409 is made of W, but the material is not particularly limited thereto, and either film may be formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, Cu, Cr, and Nd, or an alloy material or a compound material containing the above element as its main constituent. Besides, a semiconductor film, typified by a polycrystalline silicon film doped with an impurity element such as phosphorus, may be used. Further, an AgPdCu alloy may be used. Besides, any combination may be employed such as a combination in which the first conductive film is formed of tantalum (Ta) and the second conductive film is formed of W, a combination in which the first conductive film is formed of titanium nitride (TiN) and the second conductive film is formed of W, a combination in which the first conductive film is formed of tantalum nitride (TaN) and the second conductive film is formed of Al, or a combination in which the first conductive film is formed of tantalum nitride (TaN) and the second conductive film is formed of Cu. Next, masks 410 to 415 made of resist are formed using a photolithography method, and a first etching process is performed in order to form electrodes and wirings. This first etching process is performed with the first and second etching conditions. In this embodiment, as the first etching conditions, an ICP (inductively coupled plasma) etching method is used, a gas mixture of CF4, Cl2 and O2 is used as an etching gas, the gas flow rate is set to 25/25/10 sccm, and plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode under 1 Pa. A dry etching device with ICP (Model E645-□ICP) produced by Matsushita Electric Industrial Co. Ltd. is used here. A 150 W RF (13.56 MHz) power is also applied to the substrate side (test piece stage) to effectively apply a negative self-bias voltage. The W film is etched with the first etching conditions, and the end portion of the first conductive layer is formed into a tapered shape. Thereafter, the first etching conditions are changed into the second etching conditions without removing the masks 410 to 415 made of resist, a mixed gas of CF4 and Cl2 is used as an etching gas, the gas flow rate is set to 30/30 sccm, and plasma is generated by applying a 500 W RF (13.36 MHz) power to a coil shape electrode under 1 Pa to thereby perform etching for about 30 seconds. A 20 W RF (13.56 MHz) power is also applied to the substrate side (test piece stage) to effectively a negative self-bias voltage. The W film and the TaN film are both etched on the same order with the second etching conditions in which CF4 and Cl2 are mixed. Note that, the etching time may be increased by approximately 10 to 20% in order to perform etching without any residue on the gate insulating film. In the first etching process, the end portions of the first and second conductive layers are formed to have a tapered shape due to the effect of the bias voltage applied to the substrate side by adopting masks of resist with a suitable shape. The angle of the tapered portions may be set to 15° to 45°. Thus, first shape conductive layers 417 to 422 (first conductive layers 417a to 422a and second conductive layers 417b to 422b) constituted of the first conductive layers and the second conductive layers are formed by the first etching process. Reference numeral 416 denotes a gate insulating film, and regions of the gate insulating film which are not covered by the first shape conductive layers 417 to 422 are made thinner by approximately 20 to 50 nm by etching. Then, a first doping process is performed to add an impurity element for imparting an n-type conductivity to the semiconductor layer without removing the mask made of resist (FIG. 14A). Doping may be carried out by an ion doping method or an ion injection method. The condition of the ion doping method is that a dosage is 1×1013 to 5×1015/cm2, and an acceleration voltage is 60 to 100 keV. In this embodiment, the dosage is 1.5×1015/cm2 and the acceleration voltage is 80 keV. As the impurity element for imparting the n-type conductivity, an element which belongs to group 15 of the periodic table, typically phosphorus (P) or arsenic (As) is used, and phosphorus is used here. In this case, the conductive layers 417 to 422 become masks to the impurity element for imparting the n-type conductivity, and high concentration impurity regions 306 to 310 are formed in a self-aliening manner. The impurity element for imparting the n-type conductivity is added to the high concentration impurity regions 306 to 310 in the concentration range of 1×1020 to 1×1021/cm3. Thereafter, a second etching process is performed without removing the masks made of resist. A mixed gas of CF4, Cl2 and O2 may be used as etching gas and the W film is selectively etched. The second conductive layers 428b to 433b are formed by a second etching process. On the other hand, the first conductive layers 417a to 422a are hardly etched, and the second conductive layers 428 to 433 are formed. Next, a second doping process is performed as shown in FIG. 14B without removing the masks from resists. The impurity elements which imparts n-type conductivity is doped under the condition that the dose amount is lower than that of a first doping process with an acceleration voltage 70 to 120 keV. In this embodiment, the dosage is 1.5×1014/cm2, and the acceleration voltage is 90 keV. The second doping process is using a second shaped conductive layers 428 to 433 as masks, and the impurity elements is doped with a semiconductor layer at the below of the second conductive layers 428b to 433b. The second high concentration impurity regions 423a to 427a and low concentration impurity region 423b to 427b are newly formed. Next, after the masks are removed, masks 434a and 434b from resists are newly formed, and the third etching process is performed as shown in FIG. 14C. A mixed gas of SF6, and Cl2 is used as an etching gas, the gas flow rate is set to 50/10 sccm, and plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode under 1.3 Pa to thereby perform etching for about 30 seconds. A 10 W RF (13.56 MHz) power is also applied to the substrate side (test piece stage) to effectively applied to a negative self-bias voltage. Thus the third shape conductive layers 435 to 438 are formed by etching a TaN film of the p-channel type TFT and the TFT of the pixel portion (pixel TFT) using above-mentioned third etching process. Next, after removing the masks from resists, the insulating layers 439 to 444 are formed, removing selectively the gate insulating film 416 and using the second shape conductive layer 428, 430 and the second shape conductive layers 435 to 438 as a mask. (FIG. 15A) Successively, there is carried out a third doping processing by newly forming masks 445a to 445c comprising resists. By the third doping processing, there are formed impurity regions 446, 447 added with an impurity element for providing a conductive type reverse to the above-described one conductive type at semiconductor layers constituting activation layers of p-channel type TFTs. The impurity regions are formed self-adjustingly by adding the impurity element providing p-type by using the second conductive layers 435a, 438a as masks against the impurity element. In this embodiment, the impurity regions 446 and 447 are formed by an ion doping process using diborane (B2H6). (FIG. 15B) In the third doping processing, the semiconductor layers forming n-channel type TFTs are covered by the masks 445a to 445c comprising resists. Although the impurity regions 446, 447 are added with phosphorus at concentrations different from each other by the first doping processing and the second doping process, in any of the regions, by carrying out the doping processing such that the concentration of the impurity element for providing p-type falls in a range of 2×1020 through 2×1021/cm3, the impurity regions function as source regions and drain regions of p-channel type TFTs and accordingly, no problem is posed. In this embodiment, portions of the semiconductor layers constituting activation layers of p-channel type TFTs are exposed and accordingly, there is achieved an advantage that the impurity element (boron) is easy to add thereto. The impurity regions are formed at the respective semiconductor layers by the above-described steps. Next, a first interlayer insulating film 461 is formed by removing the masks 445a to 445c comprising resists. The first interlayer insulating film 461 is formed by an insulating film including silicon and having a thickness of 100 through 200 nm by using a plasma CVD process or a sputtering process. In this embodiment, a silicon oxynitride film having a film thickness of 150 nm is formed by a plasma CVD process. Naturally, the first interlayer insulating film 461 is not limited to the silicon oxynitride film but other insulating film including silicon may be used as a single layer or a laminated structure. Next, as shown by FIG. 15C, there is carried out a step of activating the impurity elements added to the respective semiconductor layers. The activating step is carried out by a thermal annealing process using a furnace annealing furnace. The thermal annealing process may be carried out in a nitrogen atmosphere having an oxygen concentration equal to or smaller than 1 ppm, preferably, equal to or smaller than 0.1 ppm at 400 through 700° C. representatively, 500 through 550° C. and in this embodiment, the activation is carried out by a heat treatment at 550° C. for 4 hours. Further, other than the thermal annealing process, a laser annealing process or a rapid thermal annealing process (RTA process) is applicable. Further, when the thermal crystallization is also applied, which is using nickel or the like as a catalyst in the crystallizing step, the impurity regions 423a, 425a, 426a, 446a and 447a in which the material elements include a high concentration of phosphorus are crystallized simultaneously with the activation. Therefore above-mentioned metal elements are gettered by the above mentioned impurity regions and a metal element concentration in the semiconductor layer mainly constituting a channel-forming region is reduced. According to TFT having the channel forming region fabricated in this way, the off current value is reduced, crystalline performance is excellent and therefore, there is provided high field effect mobility and excellent electric properties can be achieved. Further, the heat treatment may be carried out prior to forming the first interlayer insulating film. However, when a wiring material used is weak at heat, it is preferable to carry out the activation after forming the interlayer insulating film (insulating film whose major component is silicon, for example, silicon nitride film) for protecting wirings as in this embodiment. Further, there is carried out a step of hydrogenating the semiconductor layer by carrying out a heat treatment in an atmosphere including 3 to 100% of hydrogen at 300 to 550° C. for 1 through 12 hours. In this embodiment, there is carried out a heat treatment in a nitrogen atmosphere including about 3% of hydrogen at 410° C. for 1 hour. The step is a step of terminating dangling bond of the semiconductor layer by hydrogen included in the interlayer insulating film. As other means of hydrogenation, there may be carried out plasma hydrogenation (using hydrogen excited by plasma). Further, when a laser annealing is used as an activation, it is preferable to irradiate laser beam of YAG laser or the like after carrying out the hydrogenation. Next, there is formed a second interlayer insulating film 462 comprising an inorganic insulating material or an organic insulating material above the first interlayer insulating film 461. In this embodiment, there is formed a acrylic resin film having film thickness of 1.6 μm and there is used a film having a viscosity of 10 to 1000 cp, preferably, 40 through 200 cp and formed with projections and recesses at a surface thereof. In this embodiment, in order to prevent the mirror reflection, projection and recess portions are formed on the surfaces of the pixel electrodes by forming the second interlayer insulating film with projection and recess portions on the surface. Also, in order to attain light scattering characteristics by forming the projection and recess portions on the surfaces of the pixel electrodes, projection portions may be formed in regions below the pixel electrodes. In this case, since the same photomask is used in the formation of the TFTs, the projection portions can be formed without increasing the number of processes. Note that the projection portion may be suitably provided in the pixel portion region except for the wirings and the TFT portion on the substrate. Thus, the projection and recess portions are formed on the surfaces of the pixel electrodes along the projection and recess portions formed on the surface of the insulating film covering the projection portion. Also, a film with the leveled surface may be used as the second interlayer insulating film 462. In this case, the following is preferred. That is, after the formation of the pixel electrodes, projection and recess portions are formed on the surface with a process using a known method such as a sandblast method or an etching method. Thus, since the mirror reflection is prevented and reflection light is scattered, whiteness is preferably increased. Then, in a driver circuit 506, wirings 463 to 467 electrically connected with the respective impurity regions are formed. Note that those wirings are formed by patterning a lamination film of a Ti film with a film thickness of 50 nm and an alloy film (alloy film of Al and Ti) with a film thickness of 500 nm. Also, in a pixel portion 507, a pixel electrode 470, a gate wiring 469, and a connection electrode 468 are formed (FIG. 16). By this connection electrode 468, an electrical connection between a source wiring (lamination layer of the impurity region 443b and the first conductive layer 449) and the pixel TFT is formed. Also, an electrical connection between the gate wiring 469 and the gate electrode of the pixel TFT is formed. With respect to the pixel electrode 470, an electrical connection with the drain region 442 of the pixel TFT and an electrical connection with the semiconductor layer 458 which functions as one of electrodes for forming a storage capacitor are formed. It is desired that a material having a high reflectivity, such as a film containing Al or Ag as its main constituent, or a lamination film thereof, is used for the pixel electrode 470. Thus, the driver circuit 506 having a CMOS circuit formed by an n-channel TFT 501 and a p-channel TFT 502 and an n-channel type TFT 503, and the pixel portion 507 having a pixel TFT 504 and a retaining capacitor 505 can be formed on the same substrate. As a result, the active matrix substrate is completed. The n-channel type TFT 501 of the driver circuit 506 has a channel forming region 423c, a low concentration impurity region (GOLD region) 423b overlapping with the first conductive layer 428a constituting a portion of the gate electrode, and a high concentration impurity region 423a which functions as the source region or the drain region. The p-channel type TFT 502 forming the CMOS circuit by connecting with the n-channel type TFT 501 through an electrode 466 has a channel forming region 446d, an impurity region 446b, 446c formed outside the gate electrode, and a high concentration impurity region 446a which functions as the source region or the drain region. The n-channel type TFT 503 has a channel forming region 425c, a low concentration impurity region 425b (GOLD region) overlapping with the first conductive layer 430a comprising a part of the gate electrode, and a high concentration impurity region 425a which functions as the source region or the drain region. The pixel TFT 504 of the pixel portion includes a channel forming region 426c, a low concentration impurity region 426b (LDD region) formed outside the gate electrode, and the high concentration impurity region 426a functioning as a source region or a drain region. Besides, impurity elements imparting p-type conductivity are added to the respective semiconductor layers 447a, 447b functioning as one of the electrodes of the storage capacitor 505. The storage capacitor 505 is formed from the electrode (a lamination of 438a and 438b) and the semiconductor layers 447a to 447c using the insulating film 444 as a dielectric member. Further, in the pixel structure of this embodiment, an end portion of the pixel electrode is formed by arranging it so as to overlap with the source wiring so that the gap between the pixel electrodes is shielded from light without using a black matrix. A top view of the pixel portion of the active matrix substrate manufactured in this embodiment is shown in FIG. 17. Note that, the same reference numerals are used to indicate parts corresponding FIGS. 13 to 16. A dash line A-A′ in FIG. 16 corresponds to a sectional view taken along the line A-A′ in FIG. 17. Also, a dash line B-B′ in FIG. 16 corresponds to a sectional view taken along the line B-B′ in FIG. 17. Thus formed active matrix substrate has a TFT which is formed by using the semiconductor film conducted homogeneous annealing. Therefore, enough operating characteristics and reliability of the active matrix substrate can be obtained. This embodiment can be performed by freely combining with Embodiments 1 to 2. In this embodiment, a manufacturing process of a reflection type liquid crystal display device from the active matrix substrate manufactured in accordance with Embodiment 3 will be described hereinbelow. FIG. 18 is used for an explanation thereof. First, in accordance with Embodiment 3, an active matrix substrate in a state shown in FIG. 17 is obtained, and thereafter, an alignment film 567 is formed on the active matrix substrate of FIG. 17, at least on the pixel electrode 470, and is subjected to a rubbing process. Note that, in this embodiment, before the formation of the alignment film 567, a spacer 572 for maintaining a gap between the substrates is formed at a desired position by patterning an organic film such as an acrylic resin film. Further, spherical spacers may be scattered on the entire surface of the substrate in place of the columnar like spacer. Next, an opposing substrate 569 is prepared. The colored layers 570, 571 and a leveling film 573 are formed on the opposing substrate 569. The red-colored layer 570 and the blue-colored layer 572 are partially overlapped with each other, thereby forming a light shielding portion. Note that, the red-colored layer and a green-colored layer are partially overlapped with each other, thereby forming a light shielding portion. In this embodiment, the substrate shown in Embodiment 3 is used. Accordingly, in FIG. 17 showing a top view of the pixel portion in accordance with Embodiment 3, light shielding must be performed at least gaps between the gate wiring 469 and the pixel electrodes 470, a gap between the gate wiring 469 and the connection electrode 468, and a gap between the connection electrode 468 and the pixel electrode 470. In this embodiment, the opposing substrate and the active matrix substrate are stuck so that the light shielding portions from laminated layer of colored layer each other overlap with the positions which need to be shielded from light. Like this, without using a black mask, the gaps between the respective pixels are shielded from light by the light shielding portion. As a result, the reduction of the manufacturing steps can be attained. Next, the opposing electrode 576 from transparent conductive film is formed on the leveling film 573, at least on the pixel portion. The alignment film 574 on the entire surface of the opposing substrate and the rubbing process is performed. Then, an active matrix substrate on which a pixel portion and a driver circuit are formed is stuck with the opposing substrate by a sealing agent 568. In the sealing agent 568, a filler is mixed, and the two substrates are stuck with each other while keeping a uniform gap by the effect of this filler and the columnar spacer. Thereafter, a liquid crystal material 575 is injected between both the substrates to encapsulate the substrates completely by an encapsulant (not illustrated). A known liquid crystal material may be used as the liquid crystal material 575. Thus, the reflection type liquid crystal display device shown in FIG. 18 is completed. Then, if necessary, the active matrix substrate or the opposing substrate may be parted into desired shapes. Further, a polarizing plate is adhered to only the opposing substrate (not illustrated). Then, an FPC is adhered using a known technique. Thus formed liquid crystal display device have a TFT which is formed by using the semiconductor film conducted homogeneous annealing. Therefore, enough operating characteristics and reliability of the above-mentioned liquid crystal display device can be obtained. Such liquid crystal display device can be used as a display portion of various electronic device. This embodiment can be combined with Embodiments 1 and 3 freely. In this embodiment, the example of manufacturing the light-emitting device by using manufacturing method of TFT when forming the active matrix substrate shown in Embodiment 3 is described. In this specification, the light-emitting device is a generic name which is a display panel enclosing the light-emitting element between the substrate and the cover material and the display module mounted mounting an IC on the display panel. The light-emitting element has a light-emitting layer containing an organic compound material which can obtain the electro luminescence generated by adding the electric field, the anode layer and the cathode layer. Further, in the luminescence in an organic compound, the luminescence (fluorescence light) when returning from the state of singlet exciton to the basic state and the luminescence (phosphorus light) when returning from the state of triplet exciton to the basic state. Either or both luminescence are contained. Further, in this embodiment, the organic light-emitting layer is defined all layers formed between the anode and the cathode. The organic light-emitting layer is specifically including the light-emitting layer, the hole injection layer, the electron injection layer, the hole transport layer and the electron transport layer. Basically, the light-emitting element have a structure which is constructed by the anode layer, the light-emitting layer and the cathode layer sequentially. Additionally, the light-emitting layer may have following two structures. The first structure is constructed sequentially by the anode layer, the hole injection layer, the light-emitting layer and the cathode layer. The second structure is sequentially constructed by the anode layer, the hole injection layer, the light-emitting layer, the electron injection layer and the cathode layer. FIG. 19 is a cross-sectional view of the light-emitting device of the present invention. In FIG. 19, a switching TFT 603 provided on a substrate 700 is formed using the n-channel type TFT 503 of FIG. 19. Thus, this structure may be referred to the description of the n-channel type TFT 503. Note that, in this embodiment, a double gate structure in which two channel forming regions are formed is used. However, a single gate structure in which one channel forming region is formed, or a triple gate structure in which three channel forming regions are formed may be used. A driver circuit provided on the substrate 700 is formed using the CMOS circuit of FIG. 19. Thus, this structure may be referred to the descriptions of the n-channel type TFT 501 and the p-channel type TFT 502. Note that, in this embodiment, the single gate structure is used. However, the double gate structure or the triple gate structure may also be used. Also, wirings 701 and 703 function as a source wiring of the CMOS circuit, a wiring 702 functions as a drain wiring thereof. A wiring 704 functions as a wiring for electrically connecting a source wiring 708 with a source region of the switching TFT. A wiring 705 functions as a wiring for electrically connecting a drain wiring 709 with a drain region of the switching TFT. Note that, a current-controlled TFT 604 is formed using the p-channel type TFT 502 of FIG. 19. Thus, this structure may be referred to the descriptions of the p-channel type TFT 502. Note that, in this embodiment, the single gate structure is used. However, the double gate structure or the triple gate structure may be used. Also, a wiring 706 is a source wiring (corresponding to a current supply line) of the current-controlled TFT. Reference numeral 707 denotes an electrode which is electrically connected with a pixel electrode 710 by overlapping with the pixel electrode 710 of the current-controlled TFT. Note that, reference numeral 710 denotes the pixel electrode (anode of a light-emitting element) made from a transparent conductive film. As the transparent conductive film, a compound of indium oxide and tin oxide, a compound of indium oxide and zinc oxide, zinc oxide, tin oxide, or indium oxide can be used. Also, the transparent conductive film to which gallium is added may be used. The pixel electrode 710 is formed on a level interlayer insulating film 711 before the formation of the above wirings. In this embodiment, it is very important to level a step in the TFT using the leveling film 711 made of resin. Since a light-emitting layer formed later is extremely thin, there is the case where insufficient light-emitting occurs due to the step. Thus, in order to form the light-emitting layer as level as possible, it is desired that the step is leveled before the formation of the pixel electrode 710. After the wirings 701 to 707 are formed, a bank 712 is formed as shown in FIG. 19. The bank 712 may be formed by patterning an insulating film with a thickness of 100 to 400 nm containing silicon or an organic resin film. Note that, since the bank 712 is an insulating film, it is necessary to pay attention to a dielectric breakdown of an element in the film formation. In this embodiment, a carbon particle or a metal particle is added to the insulating film which is a material of the bank 712 to reduce a resistivity. Thus, an electrostatic occurrence is suppressed. Here, an additional amount of the carbon particle or the metal particle may be controlled such that the resistivity is 1×106 to 1×1012 Ωm (preferably, 1×108 to 1×1010 Ωm). An EL layer 713 is formed on the pixel electrode 710. Note that, only one pixel is shown in FIG. 19. However, in this embodiment, the light-emitting layers are formed corresponding to respective colors of R (red), G (green), and B (blue). Also, in this embodiment, a low molecular organic light-emitting material is formed by an evaporation method. Concretely, copper phthalocyanine (CuPc) film with a thickness of 20 nm is provided as a hole injection layer, and a tris-8-quinolinolate aluminum complex (Alq3) film with a thickness of 70 nm is provided thereon as a light-emitting layer. Thus, a lamination structure of those films is formed. A light-emitting color can be controlled by adding a fluorochrome such as quinacridon, perylene, or DCM1 to Alq3. Note that, the above example is one example of the organic light-emitting material which can be used as the light-emitting layer, and it is unnecessary to be limited to this example. The light-emitting layer (layer for causing light to emit and a carrier to move for the emitting of light) may be formed by freely combining the light-emitting layer and a charge transport layer or a charge injection layer. For example, in this embodiment, although the example that the low molecular organic light-emitting material is used as the light-emitting layer is shown, a polymer organic light-emitting material may be also used. Also, an inorganic material such as silicon carbide can be used as the charge transport layer or the charge injection layer. A known material can be used as the organic light-emitting material and the inorganic material. Next, a cathode 714 made from a conductive film is provided on the light-emitting layer 713. In the case of this embodiment, an alloy film of aluminum and lithium is used as the conductive film. Of course, a known MgAg film (alloy film of magnesium and silver) may be used. As a cathode material, the conductive film made of an element which belongs to group 1 or group 2 of the periodic table, or the conductive film to which those elements are added may be used. When this cathode 714 is formed, a light-emitting element 715 is completed. Note that, the light-emitting element 715 completed here represents a diode formed by the pixel electrode (anode) 710, the light-emitting layer 713, and the cathode 714. It is effective to provide a passivation film 716 so as to completely cover the light-emitting element 715. As the passivation film 716, a single layer of an insulating film containing a carbon film, a silicon nitride film, or silicon oxynitride film, or a lamination layer of a combination with the insulating film is used. Here, it is preferred that a film with a good coverage is used as the passivation film, and it is effective to use the carbon film, in particular a DLC (diamond like carbon) film. Since the DLC film can be formed in a range of a room temperature to 100° C., it can be easily formed over the light-emitting layer 713 with a low heat-resistance. Also, since the DLC film has a high blocking effect against oxygen, the oxidation of the light-emitting layer 713 can be suppressed. Thus, the oxidation of the light-emitting layer 713 during the following sealing process can be prevented. Further, a sealing member 717 is provided on the passivation film 716, and then a cover member 718 is adhered to the sealing member 717. Ultraviolet light cured resin may be used as the sealing member 717, and it is effective to provide a material having a hygroscopic effect or a material having an oxidation inhibition effect inside. Also, in this embodiment, a member in which a carbon film (preferably, a diamond carbon like film) is formed on both surfaces of, a glass substrate, a quartz substrate, or a plastic substrate (including a plastic film) is used as the cover member 718. Thus, a light-emitting device of the structure as shown in FIG. 19 is completed. Note that, after the formation of the bank 712, it is effective to successively perform the processes until the formation of the passivation film 716 using a film formation apparatus of a multi chamber system (or an inline system) without exposing to air. Further, processes until the adhesion of the cover member 718 can be successively performed without exposing to air. Thus, n-channel TFTs 601 and 602, a switching TFT (n-channel TFT) 603, and a current control TFT (n-channel TFT) 604 are formed on the insulator 501 in which a plastic substrate is formed as a base. The number of masks required in the manufacturing process until now is less than that required in a general active matrix light-emitting device. That is, the manufacturing process of the TFTs is largely simplified, and thus the improvement of yield and the reduction of a manufacturing cost can be realized. Further, as described using FIG. 19, when the impurity regions overlapped with the gate electrode through the insulating film are provided, the n-channel type TFT having a high resistant against the deterioration due to a hot carrier effect can be formed. Thus, the light-emitting device with high reliability can be realized. In this embodiment, only the structures of the pixel portion and the driver circuit are shown. However, according to the manufacturing process of this embodiment, logic circuits such as a signal separation circuit, a D/A converter, an operational amplifier, and a γ-correction circuit can be further formed on the same insulator. A memory and a microprocessor can be also formed. A light-emitting device of this embodiment after the sealing (filling) process for protecting the light-emitting element will be described using FIGS. 20A and 20B. Note that, if necessary, reference symbols used in FIG. 19 are referred to. FIG. 20A is a top view representing the state after the sealing of the EL element, and FIG. 20B is a cross sectional view along a line A-A′ of FIG. 20A. Reference numeral 801 shown by a dotted line denotes a source side driver circuit, reference numeral 806 denotes a pixel portion, and reference numeral 807 denotes a gate side driver circuit. Also, reference numeral 901 denotes a cover member, reference numeral 902 denotes a first sealing member, and reference numeral 903 denotes a second sealing member. A sealing member 907 is provided in the inside surrounded by the first sealing member 902. Note that, reference numeral 904 denotes a wiring for transmitting signals inputted to the source side driver circuit 801 and the gate side driver circuit 807. The wiring 904 receives a video signal and a clock signal from an FPC (flexible printed circuit) 905 as an external input terminal. In FIG. 20A, although only the FPC is shown, a printed wiring board (PWB) may be attached to the FPC. The light-emitting device in this specification includes not only the main body of the light-emitting device but also the light-emitting device to which the FPC or the PWB is attached. Next, the cross-sectional structure will be described using FIG. 20B. The pixel portion 806 and the gate side driver circuit 807 are formed over a substrate 700. The pixel portion 806 is formed by a plurality of pixels each having a current control TFT 604 and a pixel electrode 710 electrically connected with the drain region thereof. Also, the gate side driver circuit 807 is formed using the CMOS circuit in which an n-channel type TFT 601 and a p-channel type TFT 602 are combined with each other (see FIG. 14). The pixel electrode 710 functions as an anode of the light-emitting element. Also, banks 712 are formed in both ends of the pixel electrode 710. A light-emitting layer 713 and a cathode 714 of the light-emitting element are formed on the pixel electrode 710. The cathode 714 also functions as a wiring common to all pixels, and is electrically connected with the FPC 905 through the connection wiring 904. Further, all elements which are included in the pixel portion 806 and the gate side driver circuit 807 are covered with the cathode 714 and a passivation film 716. Also, the cover member 901 is adhered to the resultant substrate 700 by the first sealing member 902. Note that, in order to keep an interval between the cover member 901 and the light-emitting element, a spacer made of a resin film may be provided. Then, the inside of the first sealing member 902 is filled with a sealing member 907. Note that, it is preferred that epoxy resin is used as the first sealing member 902 and the sealing member 907. Also, it is desired that the first sealing member 902 is a material to which moisture and oxygen are not penetrated as much as possible. Further, a material having a hygroscopic effect or a material having an oxidation inhibition effect may be contained in the sealing member 907. The sealing member 907 provided to cover the light-emitting element also functions as an adhesive for adhering the cover member 901 to the resultant substrate 700. Also, in this embodiment, FRP (fiberglass-reinforced plastics), PVF (polyvinylfluoride), Mylar, polyester, or acrylic can be used as a material of a plastic substrate 901a composing the cover member 901. Also, after the adhering of the cover member 901 using the sealing member 907, the second sealing member 903 is provided to cover side surfaces (exposed surfaces) of the sealing member 907. In the second sealing member 903, the same material as that of the first sealing member 902 can be used. By sealing the light-emitting element with the sealing member 907 with the above structure, the light-emitting element can be completely shielded from the outside, and penetration of a substance (such as moisture or oxygen) which prompts deterioration due to oxidation of the light-emitting layer, from the outside, can be prevented. Thus, the light-emitting display with high reliability is obtained. Thus formed light-emitting device have a TFT which is formed by using the semiconductor film conducted homogeneous annealing. Therefore, enough operating characteristics and reliability of the above-mentioned light-emitting device can be obtained. Such light-emitting device can be used as a display portion of various electronic devices. This embodiment can be performed by freely combining with Embodiments 1 to 3. Various semiconductor devices (active matrix type liquid crystal display device, active matrix type light-emitting device or active matrix type EC display device) can be formed by applying the present invention. Specifically, the present invention can be embodied in electronic equipment of any type in which such an electrooptical device is incorporated in a display portion. Such electronic equipment is a video camera, a digital camera, a projector, a head-mounted display (goggle type display), a car navigation system, a car stereo, a personal computer, or a mobile information terminal (such as a mobile computer, a mobile telephone or an electronic book). FIGS. 21A-21F, 22A-22D, and 23A-23C show one of its examples. FIG. 21A shows a personal computer which includes a body 2001, an image input portion 2002, a display portion 2003, a keyboard 2004 and the like. The invention can be applied to the display portion 2003. FIG. 21B shows a video camera which includes a body 2101, a display portion 2102, a sound input portion 2103, operating switches 2104, a battery 2105, an image receiving portion 2106 and the like. The invention can be applied to the display portion 2102. FIG. 21C shows a mobile computer which includes a body 2201, a camera portion 2202, an image receiving portion 2203, an operating switch 2204, a display portion 2205 and the like. The invention can be applied to the display portion 2205. FIG. 21D shows a goggle type display which includes a body 2301, a display portion 2302, arm portions 2303 and the like. The invention can be applied to the display portion 2302. FIG. 21E shows a player using a recording medium on which a program is recorded (hereinafter referred to as the recording medium), and the player includes a body 2401, a display portion 2402, speaker portions 2403, a recording medium 2404, operating switches 2405 and the like. This player uses a DVD (Digital Versatile Disc), a CD and the like as the recording medium, and enables a user to enjoy music, movies, games and the Internet. The invention can be applied to the display portion 2402. FIG. 21F shows a digital camera which includes a body 2501, a display portion 2502, an eyepiece portion 2503, operating switches 2504, an image receiving portion (not shown) and the like. The invention can be applied to the display portion 2502. FIG. 22A shows a front type projector which includes a projection device 2601, a screen 2602 and the like. The invention can be applied to a liquid crystal display device 2808 which constitutes a part of the projection device 2601 as well as other driver circuits. FIG. 22B shows a rear type projector which includes a body 2701, a projection device 2702, a mirror 2703, a screen 2704 and the like. The invention can be applied to the liquid crystal display device 2808 which constitutes a part of the projection device 2702 as well as other driver circuits. FIG. 22C shows one example of the structure of each of the projection devices 2601 and 2702 which are respectively shown in FIGS. 22A and 22B. Each of the projection devices 2601 and 2702 is made of a light source optical system 2801, minors 2802 and 2804-2806, a dichroic mirror 2803, a prism 2807, a liquid crystal display device 2808, a phase difference plate 2809 and a projection optical system 2810. The projection optical system 2810 is made of an optical system including a projection lens. Embodiment 6 is an example of a three-plate type, but it is not limited to this example and may also be of a single-plate type. In addition, those who embody the invention may appropriately dispose an optical system such as an optical lens, a film having a polarization function, a film for adjusting phase difference or an IR film in the path indicated by arrows in FIG. 22C. FIG. 22D is a view showing one example of the structure of the light source optical system 2801 shown in FIG. 22C. In Embodiment 6, the light source optical system 2801 is made of a reflector 2811, a light source 2812, lens arrays 2813 and 2814, a polarizing conversion element 2815 and a condenser lens 2816. Incidentally, the light source optical system shown in FIG. 22D is one example, and the invention is not particularly limited to the shown construction. For example, those whose embody the invention may appropriately dispose an optical system such as an optical lens, a film having a polarization function, a film for adjusting phase difference or an IR film. The projector shown in FIGS. 22A to 22D is of the type using a transparent type of electrooptical device, but there is not shown an example in which the invention is applied to a reflection type of electrooptical device and a light-emitting device. FIG. 23A shows a mobile telephone which includes a body 2901, a sound output portion 2902, a sound input portion 2903, a display portion 2904, operating switches 2905, an antenna 2906 and the like. The invention can be applied to the display portion 2904. FIG. 23B shows a mobile book (electronic book) which includes body 3001, display portions 3002 and 3003, a storage medium 3004, operating switches 3005, an antenna 3006 and the like. The invention can be applied to the display portions 3002 and 3003. FIG. 23C shows a display which includes a body 3101, a support base 3102, a display portion 3103 and the like. The invention can be applied to the display portion 3103. The invention is particularly advantageous to a large-screen display, and is advantageous to a display having a diagonal size of 10 inches or more (particularly, 30 inches or more). As is apparent from the foregoing description, the range of applications of the invention is extremely wide, and the invention can be applied to any category of electronic apparatus. Electronic apparatus according to the invention can be realized by using a construction made of a combination of arbitrary ones of Embodiments 1 to 5. According to the invention, by forming a laser beam into a linear shape during laser annealing, it is possible to improve the throughput of laser annealing, and in addition, by using a solid-state laser which enables easy maintenance, it is possible to achieve a greater improvement in throughput than can be attained with laser annealing using an existing excimer laser. Furthermore, it is possible to reduce the manufacturing costs of semiconductor devices such as TFTs or liquid crystal display devices formed of TFTs. Moreover, by obliquely irradiating a laser beam onto a semiconductor film, it is possible to remove or reduce a concentric-circle pattern which is formed on the semiconductor film, whereby the properties of the semiconductor films after laser annealing can be made uniform. By fabricating the semiconductor device by using such a semiconductor film, it is possible to improve the performance of the semiconductor device to a great extent. |
|
054266750 | summary | FIELD OF THE INVENTION This invention relates to means used in maintenance service of a type of coolant water circulating pumps in boiling water, nuclear fission reactor plants. The invention specifically comprises a self-aligning seal system used when repairing or replacing components associated with a given design of coolant water circulating pumps located in the bottom of the pressure vessel of a nuclear fission reactor plant. BACKGROUND OF THE INVENTION Some categories of water cooled and moderated nuclear fission reactor plants used for producing steam to generate electrical power, such as commercial boiling water reactors, utilize coolant water circulating pumps having drive motors located in casings outside of the reactor pressure vessel connected with pump impellers located within the reactor pressure vessel by means of drive shafts passing through the wall of the reactor pressure vessel. Thus, in nuclear fission reactor plants of this type, a number of internal impeller pumps driven by external electrical or other motors are positioned in the lower portion, or bottom of the reactor pressure vessel with each having a drive shaft extending through the wall of the coolant water containing reactor pressure vessel. This arrangement necessitates the requirement of a multiplicity of bore openings passing through the curved bottom portion of the reactor pressure vessel which contains a large volume, or head of circulating coolant water under high temperatures and pressure for submerging the fuel core and transferring heat away therefrom in producing steam for work. The bore openings in the reactor pressure vessel for the entry of pump drive shafts are each formed with the vessel having a short, generally vertical tube-like section or hollow cylinder stub projecting vertically upward around the bore openings. In one design a hollow cylindrical housing supports the external drive motor casing and surrounds the drive shaft extending from the motor, and passing through the reactor pressure vessel wall with the drive shaft extending therefrom to the pump impeller for circulating coolant water with the pressure vessel. This hollow cylinder housing extends concentrically within the bore up into the pressure vessel tube section or hollow cylinder stub, and is thus secured such as by welding the upper annular end of the housing to the surrounding inside area of the bore opening tube section or hollow cylinder stub. Due to the routine mechanical movement of such driven impeller pumps, including high speed rotation and inherent vibrations, which over a period of time can result in wear and metal stress and fatigue, components of this circulating system are periodically serviced and may be replaced to insure effective and safe operation of the nuclear reactor plants. Maintenance service of this nature may require replacement or repair welding of the hollow cylindrical housing unit which supports the drive motor housing and surrounds the pump drive shaft, and extends a distance concentrically into the pressure vessel bore opening tube section or stub. Maintenance service of this circulating system, including either replacement or simply repair welding of portions such as the upper annular end of the hollow cylindrical housing unit and the encircling pressure vessel bore opening tube section or the weld between the two, must be carried out under the most cumbersome, and difficult circumstances. Namely, this maintenance undertaking need be performed within the lower portion of the reactor pressure vessel while retaining therein a substantial body of radioactive contaminated coolant water for covering and cooling the heat producing fissionable fuel core. Thus, the maintenance service, including repair welding of the upper annular end of the hollow cylindrical housing unit and the pressure vessel bore opening tube section or the weld between the two, must be carried out beneath a high head of water which is contaminated with radiation and wherein the reactor vessel coolant water cannot be permitted to escape through the pressure vessel bore opening tube section that provides entry up into the reactor pressure vessel and through which the coolant water circulating pump assembly is installed. Service operations under such stringent circumstances requires very complex procedures and special equipment and tools. SUMMARY OF THE INVENTION This invention comprises a unique self-aligning seal system for performing maintenance service on coolant water circulating pump motor casings attached to the bottom of a pressure vessel of a nuclear fission reactor plant, such as replacement or reconditioning of pump motor casing components or related parts. The self-aligning seal system provides means for closing off the pump penetration in the reactor pressure vessel from any flow or leakage of overhead coolant water contained within the reactor pressure vessel. OBJECTS OF THE INVENTION It is a primary object of this invention to provide a unique means for servicing coolant water circulating pump motor casing assemblies located in the lower most portion of a nuclear reactor pressure vessel. It is also an object of this invention to provide a sealing means for use in maintenance service of components associated with coolant water circulating pump motor casing assemblies for nuclear reactors. It is a further object of this invention to provide an improved self-aligning sealing system for use in servicing nuclear reactor pressure vessel coolant water circulating pump motor casings. It is a still further object of this invention to provide a self-aligning seal system for maintenance service of coolant water circulating pump motor casing located external to the reactor pressure vessel with a drive shaft passing through the reactor pressure vessel to an internal pump impeller. It is another object of this invention to provide a unique self-aligning seal system and means for closing off a tube-like section of a bore opening in the lower portion of a coolant water containing reactor pressure vessel for servicing components associated with a coolant water circulating pump assembly. |
claims | 1. A water-blocking filler mainly comprising sodium type bentonite, wherein more than 1 to 3% by weight or less of silica fume as a pozzolan substance in terms of a percentage by weight relative to a total amount of said sodium type bentonite is mixed with said sodium type bentonite. 2. A method for making an engineered multi-barrier in a radioactive waste disposal facility using the water-blocking filler according to claim 1, comprising:providing a low diffusion layer configured to be proximate to the radioactive waste, said low diffusion layer having a proximate and a distal side; andproviding a layer of said water-blocking filler adjacent to said low diffusion layer distal side. 3. A method for making an engineered multi-barrier in a radioactive waste disposal facility using the water-blocking filler according to claim 1, comprising:providing a carbon steel container for the waste; andsurrounding said container with a layer of said water-blocking filler. |
|
058617019 | description | DETAILED DESCRIPTION Structural components of a charged-particle powered battery are schematically illustrated in the drawing to show a representative path of a charged particle B from a primary energy source 20. The energy source 20 (shown for illustration purposes as a side elevation or edge-on cross-sectional view of a plate) preferably predominantly produces one type of the primary charged particles (nuclear or non-nuclear energetic particles) described above. For example, the illustrated energy source 20, producing primarily beta particles B, may comprise strontium 90 or carbon 14. Note that although energy source 20 is schematically illustrated as a structure spaced apart from collector and emitter plates, preferred embodiments of the improved battery may incorporate an energy source 20 within one or more secondary emitter plates 30,30'. Physically, a secondary emitter plate in the latter configuration may comprise, for example, a carbon film substrate which itself comprises carbon 14 and which has a magnesium oxide coating. A beta particle B leaving source 20 preferably impinges on a proximate (thin) secondary emitter plate 30 (illustrated in edge-on cross-sectional view), resulting in emission of a plurality of secondary electrons e and possibly one or more relatively energetic secondary electrons e'. While passing through the secondary emitter plate 30 on its way to (thin) collector plate 40 (illustrated in edge-on cross-sectional view), the beta particle B pathway is deviated and the particle itself has incrementally-reduced kinetic energy, at least a portion of which has been converted to the (relatively lower) kinetic energy of (relatively many) emitted secondary electrons. Analogously, while passing through the (thin) collector plate 40 on its way to secondary emitter plate 30', the pathway of the relatively energetic secondary electron e' may be deviated and the electron itself experience an incremental reduction in kinetic energy, at least a portion of which has been converted to the (relatively lower) kinetic energy of (relatively few) secondary electrons emitted from collector plate 40. A further portion of the kinetic energy of electron e' is then shown being converted to the (relatively lower) kinetic energy of (relatively many) secondary electrons emitted from emitter plate 30' (two of which are schematically illustrated as being captured by collector plate 40'). In addition to beta particle B and relatively energetic secondary electron e' shown in the drawing as moving toward collector 40, a portion of the remaining secondary electrons emitted from plate 30 is also moving toward collector 40 (two secondary electrons e are shown being captured by collector 40). Note that secondary electron emission by plate 30 and subsequent capture and retention by collector 40 of these secondary electrons will preferably be enhanced by appropriate choice of material work functions and Fermi energy levels in the emitter and collector plates as described herein. As in the case of relatively energetic electrons e', beta particle B (schematically illustrated impinging on collector plate 40) causes release of relatively fewer secondary electrons e than would be expected to be released from adjacent secondary emitter plates. Again, beta particle B changes its course (during passage through collector plate 40) on its way to another (thin) secondary emitter plate 30'. A plurality of secondary electrons e is emitted from secondary emitter plate 30', a portion of which is then captured by (thin) collector plate 40' (two such electrons are schematically illustrated as being captured by collector plate 40'). Beta particle B may continue through collector plate 40' (causing the emission of relatively few secondary electrons) but its kinetic energy will again have been incrementally reduced. Improved batteries may have many cells and will preferably be designed to transform substantially all of the kinetic energy of the primary charged particles to the kinetic energy of secondary electrons. Preferably, relatively little kinetic energy is transformed to heat in the emitter or collector plates or in shielding 50 (such as lead or stainless steel sheet) which will preferably be present to prevent primary charged particles or other potentially harmful radiation from escaping from the battery. Accumulation of collected (that is, captured) secondary electrons e as schematically illustrated on collector plates 40,40' gives these plates (shown connected in parallel in the drawing) a negative charge with respect to secondary emitter plates 30,30' (shown connected in parallel in the drawing). Thus, emitter plate 30 and collector plate 40 comprise a first cell, while emitter plate 30' and collector plate 40' comprise a second cell, the first and second cells being electrically connected in parallel and to the terminals of the improved battery. Note that whenever the energy source 20 is present, a cell potential will exist and will tend to increase. Space charge, for example, and other effects such as internal leakage currents will tend to limit any rise in cell potential, but preferred embodiments of the improved battery may also comprise maintenance circuits to manage load on the battery cells for optimal energy conversion and battery life and/or minimum heating. Note that specification of various improved battery design parameters such as emitter and collector plate materials and geometry, preferred cell potential, the number and location of primary energy sources as well as their composition, plate spacing, dielectric constants of any insulators present between cells and/or between plates of individual cells, the number of cells and the manner of interconnecting them, the type of shielding and heat dissipation capability desired, the preferred temperature rise, and related parameters is a multifactorial design problem. The design approach will depend strongly on the intended application(s) for the improved battery. All improved batteries, however, are characterized by relatively efficient incremental conversion of relatively high kinetic energies of relatively few primary charged particles to relatively low kinetic energies of relatively many secondary electrons, resulting in preferred cell potentials not exceeding about 50 volts and even more preferred cell voltages not exceeding about 3 volts to about 10 volts. The incremental nature of the above energy conversions is reflected in at least a portion of primary charged particles' impinging on (and kinetic-energy-converting interaction with) at least two secondary emitter plates. The resulting substantially stepwise (incremental) reduction in the relatively high kinetic energy of each participating primary charged particle tends to reduce the likelihood of relatively wastful (that is, heat-generating) interactions of the primary charged particle with other structures of the improved battery, thus increasing its efficiency while simultaneously providing relatively low kinetic energy secondary electrons to maintain the relatively low cell potentials so useful in microelectronic and sensor applications. |
description | Continuation in part of application Ser. No. 12/692,472, filed on Jan. 22, 2010, which is a continuation in part of application Ser. No. 12/201,741, filed on Aug. 29, 2008, issued as U.S. Pat. No. 8,155,273, which is a continuation in part of application Ser. No. 11/355,692, filed on Feb. 16, 2006, now abandoned, all of which are incorporated herein in their entirety. Provisional application No. 61/801,215, filed on Mar. 15, 2013. This invention relates in general to the field of radiation sources in which x-rays are produced by accelerated impact on metal anodes and more particularly to an x-ray source having superior conversion efficiency of electrons into x-rays and increased x-ray flux output, as well as to parallel beam x-ray sources formed of arrays of such individual x-ray sources. This invention provides a source of x-ray flux in which x-rays are produced by e-beams impacting the inner walls of holes or channels formed in a metal anode such that most of the electrons reaching the channel impact an upper portion of said channel. A small portion of the electrons will produce x-rays from this primary impact but most of them will be scattered, mostly in the forward direction of the e-beam trajectory, with the scattered electrons again impacting the walls of the channel and either generating x-rays or scattering, the scattered electrons then repeating the process until most of the electron beam has generated x-rays. A small portion of the beam will not generate x-rays at the channel walls through either primary or secondary (scattered) impact. This portion can impact a thin film of metal disposed across the diameter of the end of the channel, where it will either generate more x-rays or be drained away. The x-rays generated at the channel walls, and those few generated at the exit of the channel exit the channel out an anode window provided at the end of the channel. This anode window may support the thin metal film at the end of the channel. Since the anode surface which generates x-rays in this source is many times greater than the corresponding surface of either the reflective or a transmission anodes of prior art x-ray sources, which are power limited by the generation of heat from e-beam impact, the disclosed source can also accommodate much higher electron beam current and therefore generate much higher x-ray flux from a given x-ray spot size. The disclosed source has the further advantage of pre-collimation of the exiting x-ray flux by the shape of the channel walls. It has a yet further advantage of hardening the beam, since some of the lower energy x-rays generated at the walls will be absorbed by the walls and higher energy x-rays will exit the channel. A single channel x-ray source with high conversion efficiency and high power can be made with the disclosed forward flux channel (FFC) x-ray source architecture. This single channel source can be advantageously used in many applications, especially those now served by microfocus x-ray tubes, which commonly use a transmission x-ray target. In another embodiment, an FFC array source can be made with multiple channels in a broad anode plate, each channel receiving an e-beam from a cathode in a cathode array provided opposite the anode plate across the vacuum space of the source. FFC array sources, in linear or X-Y arrays, may be made as flat panels, as curved arrays or in other formats. They may be advantageously used in many other applications, including stationary computed tomography (CT) systems, parallel x-ray beam imaging systems and as wide sources of parallel x-ray pencil beams in phase contrast imaging (PCI) systems, coded aperture imaging systems or dynamically addressed coded source systems. In a further embodiment, the channels may be formed as long slits in the anode, to provide a fan beam of high power x-ray flux. There is a continuing need for x-ray sources with higher flux levels and power efficiency. Particularly in x-ray imaging systems, an increase in flux power translates directly to a decrease in image acquisition time, to the limit of the detector. In x-ray analytical systems, the speed and scope of the systems is often limited by the flux available from the x-ray source used. Prior art x-ray tubes with an angled reflective anode target are limited in their power output and efficiency by the fact that when the e-beam hits the anode surface only a small part of it penetrates the target material to generate x-rays; nearly half of the e-beam is scattered off the target back towards the cathode and loses power to make x-rays. Transmission anode x-ray sources have a fundamental limitation in generating x-ray flux in that the target must be a thin metal film to allow transmission of x-rays generated by the voltages used in imaging systems, but this thin film is inherently limited in the amount of heat it can dissipate and the heat it can handle before it melts or peals off the glass, beryllium or other flux exit window on which it is formed. Transmission targets also emit flux in all directions out the source. If collimators are used after the source, they will further diminish the already faint level of x-ray flux. There are also a number of emerging x-ray imaging modalities which need new x-ray sources. Stationary CT systems, in which x-ray spots are addressed electronically in x-ray sources with multiple x-ray pixel (xel) locations, are being developed as an alternative to conventional CT systems using a classical x-ray tube rotating around a mechanical gantry. Various sources for these systems have been described in the prior art. Medical imaging typically requires e-beam current densities on the anode spot of at least a few A/cm2 at tens of kV electron energies, which is more power than a thin film transmission sources can handle before melting or delaminating. Angled xel array sources, such as those taught by U.S. Pat. No. 6,850,595 and U.S. Pat. No. 7,082,182, can handle higher power loads, but still may suffer anode pitting. Use of an angled target limits these sources to linear 1D xel arrays. Flat reflective anode sources, such as that taught in U.S. Pat. No. 8,155,273 and US 2010/0189223, can provide x-y xel matrixes, but they too would benefit from having a larger surface area over which to distribute the e-beam power. Imaging systems in which multiple parallel x-ray flux beams pass through an imaging subject to be detected by a corresponding array of x-ray detectors, or an array of areas on a single x-ray detector, would have a number of advantages. More flux power could be generated by the use of multiple anode emission spots, since it is the instantaneous heat load on the anode which is most responsible for pitting or anode overheating. The use of multiple, limited-angle x-ray flux beamlets would also substantially reduce the amount of x-ray scatter in the subject, allowing a reduction in the radiation dose delivered to the subject. The increase in dose now commonly used to account for scatter in the subject, known as the bucky factor, could be cut reduced. With an x-ray source generating 77×77 or so of these x-ray beamlets, for examples, the bucky factor could be reduced by more than half in some imaging applications, such as breast imaging. Prior art sources, however, are not adapted to deliver multiple parallel x-ray beamlets. A flat panel source of the present invention, however, would be well adapted to such use and enable the development of new types of low dose imaging systems. PCI is an emerging imaging modality which promises major improvements in dose reduction, improved sensitivity in low contrast applications such as breast imaging and high resolution. Prior art x-ray sources, however, are inadequate to make PCI useful for clinical and other large object imaging. Current PCI imaging systems rely on single pencil beams of x-ray flux, which do not cover a clinically meaningful area, or synchrotron radiation sources, which are large, expensive and not available in clinical settings. There has been research into the use of gratings to collimate and spread the flux from x-ray tubes over a wider area, but passing flux from a point source through a grating results in most of the flux from a point source being absorbed in the grating, resulting in unacceptably long image acquisition times. The source of the present invention can provide a highly parallel array of narrow or pencil beams, which can cover a wide area, and can be used with gratings and other PCI system techniques to make PCI available in clinical settings. Coded source imaging is another new modality which promises high resolution, low noise and therefore low dose. It is possible to place a fixed coded aperture grating in front of an x-ray source and get a coded source but this too will have low flux power and long imaging times. The source of the present invention can be made with fine pitch xels to provide a coded source with high flux power. This source can also be dynamically addressed, for dynamic coded source imaging. This further enables coded source CT by shifting the coded source across a panel or array of panels. There have been prior attempts to make a forward flux channel x-ray source. U.S. Pat. No. 4,675,890 teaches a rectilinear bore hole source with straight hole walls. Electrons at the high kV energies used in x-ray generation, however, are traveling at relativistic speeds and do not change course easily. Nearly all the electrons would pass straight through a straight channel and not generate x-rays. This prior art source teaches the use of magnets near the anode to deflect the beam into the channel walls, but this would be very hard to do by the time the electrons approach the anode and would require impractically large magnets. U.S. Pat. No. 6,993,115 also discloses forward flux channels in an x-ray anode, but this too has straight walls and relies on space charge spreading to direct some of the electrons into the channel walls. In reality, e-beams that are confined enough to make it from the cathode to the anode and into the channel will not suddenly start spreading due to space charge. Another source architecture, disclosed in U.S. Pat. No. 7,349,525, uses a flat anode disposed at a shallow angle on one side of a channel to receive the incoming electron beam. X-ray flux is then generated at a shallow angle and some of it passes through a collimating channel. While an improvement over prior sources, this source, by having the anode on only one side of the channel does not make use of the scattered portion of the electron beam and will therefore still have limited efficiency and power. It is also a large mechanical assembly, intended for use in a curved linear array of xels for a large stationary CT system and is not adapted for 2D parallel beam imaging, PCI or other of the imaging systems enables by the source of the present invention. A need therefore exists for forward flux channel x-ray sources with improved power efficiency and power levels, adapted for use as single channel sources and for use in 2D arrays and dense arrays. It is an object of the invention to provide an x-ray source with superior conversion efficiency of electrons into x-rays and increased x-ray flux output, thereby decreasing image acquisition times i x-ray imaging systems and improving the speed and scope of x-ray analytical systems. It is a further object of the invention to provide a highly collimated source of x-ray flux. Another object of the invention is to enable improved x-ray imaging systems, including CT systems. A yet further object is to enable new imaging modalities such as parallel beam imaging, PCI and coded source imaging. An important advantage of the invention is the use of a larger x-ray generation area on the anode for a given x-ray spot size, which allows higher electrical power to be delivered to the anode than is possible with prior art sources. Another important advantage is the use of more of the electron beam to generate x-rays and reduce the inefficiency of prior art sources. A further advantage is the adaptability of the invention in source ranging from single channel sources to highly parallel array sources of x-rays. The ability to make large arrays of x-ray flux beams in linear, 2D and curved formats enables new imaging modalities not possible with prior art sources. The x-ray source of this system can be scaled to very large arrays of hundreds or thousands of x-ray flux beams. This invention provides a source of x-ray flux in which x-rays are produced by e-beams impacting the inner walls of holes or channels formed in a metal anode such that most of the electrons reaching the channel impact an upper portion of said channel. A portion of the electrons from this primary impact will generate x-rays. Most of the electrons scatter but they continue to ricochet down the channel, most of them generating x-rays, until the beam is spent. A single channel source of high power efficiency and high power level x-rays may be made in this way, or the source can be of an array of such channels, to produce parallel collimated flux beams of x-rays. Although the following detailed description delineates specific attributes of the invention and describes specific designs and fabrication procedures, those skilled in the arts of radiographic imaging or radiation source production will realize that many variations and alterations in the fabrication details and the basic structures are possible without departing from the generality of the processes and structures. The FFC x-ray source comprises at least a cathode and a metal anode with at least one hole (termed a channel) through the anode such that x-rays may be produced by e-beams accelerated by an electrical potential between cathode and anode to impact the upper portion of the inner wall of the channel, which may also be called the upper acceptance region. The channel will typically be annular, but other channel shapes may also be used. A small portion of the electrons (estimated at under 25%) will produce x-rays from this primary impact but most of the electrons will be scattered, mostly in the forward direction of the e-beam trajectory, with the scattered electrons again impacting the walls of the channel and either generating x-rays or scattering again, the scattered electrons then repeating the process until most of the electron beam has generated x-rays. The electrons lose slight amounts of their energy after each ricochet off the walls, but not enough to effect the amount and quality of the x-ray flux. A portion of the x-rays generated at the inner channel walls will transmit through the channel. The x-ray flux beam profile is determined by the shape of the metal channel, which serves as a collimator. If the channel has straighter walls the x-ray flux beam will have a narrow angle, and can be a straight pencil beam. If the channel flares outward towards its end, the x-ray flux beam angel will increase. FFC sources can be designed and made to produce x-ray flux beam shapes intended for various purposes. The FFC source can be open or sealed and single channel or multi-channel. Open sources, such as are used in some microfocus x-ray imaging and analytical instruments, are actively pumped, so the x-ray source does not need its own permanently sealed vacuum package, and the vacuum chamber of the open source may include other parts of an imaging system or instrument. Sealed sources are made to be vacuum tight and are evacuated once all the elements of the source are installed and the package is sealed, typically through a pump-down tube, although in vacuo sealing methods may also be used. Flash or non-evaporable getters may be used to maintain the vacuum in sealed sources. The source can be operated at any of the voltages used in current medical and industrial imaging settings, as well as in scientific instruments and in irradiation applications, i.e. from under 1 kV to 250 kV. Even higher voltages may be used in FFC sources intended for radiation therapy and similar applications, provided that sufficient distance is made between the cathode and anode to avoid high voltage breakdown and the electron beam is confined, for example through electrostatic means or magnetic means. The current levels in single or multi-channel FFC sources will also depend on the application, but in general much higher current levels can be used compared to prior art sources, due to the ability of a larger anode impact area to dissipate instantaneous heat, which can decrease image acquisition times and provide other advantages in x-ray instruments. In prior art reflective or transmissive x-ray sources the spot size is given by the diameter of the anode target impacted by the e-beam and the available electron impact area is πr2 (or πr2 times about 4 in the case of an angled reflective target). In the disclosed source, the spot size is given by the diameter of the channel, but the available electron impact area is provided by the surface of the inner wall of the channel, or hnd, where h is the height of the channel (thickness of the anode) and d is the diameter of the spot. With a 100 μm spot and 2 mm thick plate, for example, this works out to 80 times the surface area. In practice, only a part of the channel height, mostly to about the first 500 μm, will generate x-rays, which is still a 20× increase in surface area and a profound increase in the power capacity of the anode spot. For a 20 μm spot this increase is 100×. These increases translate directly to higher feasible current levels. With the 20 μm spot size, for example, even with a 4× geometrical leverage, an FFC source will be able to handle 25 times the power of a stationary x-ray tube with an angled anode target, a profound advantage in many applications. A small portion of the e-beam entering the FFC source will not generate x-rays at the channel walls through either primary or secondary (scattered) impact. This portion can impact a thin film of metal disposed across the diameter of the end of the channel, where it will either generate more x-rays or be drained away. The x-rays generated at the channel walls, and those few generated at the exit of the channel exit the channel to the other side of the cathode. In a sealed source, the anode window provided at the end of the channel may support the thin metal. In an open source a simple drain electrode located near the channel end may also be used. In some FFC configurations, particularly those with a small anode thickness/channel height, a further electrode may be provided near the flux exit end of the channel. This electrode may be used to attract electrons into the channel and help direct current into the channel walls. Virtually all the x-rays generated by the FFC source are from Bremsstrahlung or characteristic line radiation. The power efficiency of the FFC source is determined by several parameters, including the anode material and accelerating voltage, the size (height and diameter) and shape of the channel, particularly any flare out of the channel at the end, and the number of times the electron beam impacts the channel wall, which may be five to ten in channels several mm in height. Compared to prior art sources, FFC sources will lose some efficiency because the collimation of the channel constrains the x-ray flux getting through. When this is made normal through comparison with similarly collimated other sources, the FFC shows gains in efficiency due to the use of more of the e-beam and the hardening of the x-ray flux as lower energy x-rays are more likely to be absorbed by the channel walls. The inventors have analyzed these efficiency gains using models generated with the Monte Carlo PENELOPE particle code developed at Oak Ridge National Labs. The models include all aspects of electron trajectories, scattering and x-ray generation inside the channels, and x-ray flux generation through the channels. Graphical output from these models is included in FIG. 2-4. In general, power efficiency is over two times large than that of comparable collimated reflective or transmission anode sources. This means that for a given application the baseline current setting for the x-ray dose can be cut in half. In the previous example of a 20μ spot size, if the current is instead increased since the anode can handle more power, the image acquisition speed advantage increases to 50. Various channel shapes and electron beam acceptance angles can be used in FFC sources. FIGS. 1-6 show some exemplary configurations, in these cases all assumed to be annular. The objective in channel design is to maximize the portion of the incoming electron beam which impacts the upper acceptance region of the channel and the portion of the e-beam which is converted to x-rays by this and secondary (scattered) impacts. Most of the channel designs are flared out at the bottom of the channel so as to increase the number of secondary electron impacts as the e-beam ricochets down the channel. FIG. 1 shows a simple angled channel, which is shown with a straight channel wall but may also be flared out towards the bottom of the channel. This channel design uses the same idea as an angled microchannel plate photodetector. E-beams 50 from cathode 10, in this case extracted by gate 40, accelerate toward metal anode 30 to enter channel 32 and begin x-ray generation, x-ray flux 60 exiting the end of channel 32. Since the channel is angled relative to the top surface of anode 30, an e-beam which is properly aligned to anode channel 32 and normal (or near normal) to the top surface of anode 30, which it will be given the high acceleration of the electrons, must impact the upper portion of the channel. The anode material is any of the metals which can be used in x-ray generation, for example, W, Mo or Cu. This type of anode may be made by drilling or otherwise forming the channels into a piece of anode metal and then slicing or trimming the top and bottom surfaces of the anode at the desired angle. FIG. 2 shows a cone-shaped channel 32, in which the bottom of the channel is wider than the top of the channel. In this design, there are secondary electron impacts for much of the channel length, which yields a higher number of electron impacts, at the expense of a wider spot size. In this design, electron beam 50 is offset at a slight angle to the top surface of anode 30. FIG. 3 shows a channel 32 which is straight at the top and then flares out towards the bottom. This design has somewhat fewer x-ray generating electron impacts, but a tighter spot size. Cathode 10 is slightly offset from the channel and e-beam 50 approaches channel 32 at a slight angle. FIG. 4 shows an hourglass shaped channel 32 which has a wider upper acceptance region, then narrows and then flares out towards the bottom. The spot size is tight in this design, and the bottom flare can be chosen to provide a desired x-ray flux angle. The e-beam can be normal to the top of anode 30. An improvement on this design uses an annular e-beam 50 as shown in FIG. 5, to more uniformly impact the upper acceptance region of the channel. FIG. 6 shows how e-beams 50 may be directed toward the channels at an angle from electron sources displaced from the normal line. In this figure, the electron source is a miniature Einsel lens gun source 16, using a cathode, such as a field emission cold cathode directing the emitted beam into the triple lens structure for a high degree of electron beam focus. The channels in FFC sources may be fabricated a number of ways in a number of anode metals, such as W, Mo, Cu or Au. The metals may be chosen for the desired x-ray generation characteristics for a given anode voltage and ease of fabrication. In sealed sources, the metal may be chosen for ease of fabrication and thermal compatibility (such as with Kovar) with the rest of the vacuum package materials set, and another metal, chosen for its x-ray characteristics plated, evaporated, sputtered or otherwise deposited on the inner channel walls. For larger diameter channels, down to about 100 μm, diamond drilling and water jet can be used. For smaller channels the fabrication process choices include plunge EDM, laser milling, molding, chemical etch and focused ion beams (FIB). FIB tools are reliable for small feature sizes and can be programmed for complex shapes. They also have micro/nano etch capabilities. Another choice, for example with the hourglass-shaped channels, is to micro-mill halves of the shape on Cu or Kovar strips and then braze them together. A molding process is a further option. Arrays of silicon pillars in the desired shape can be formed with various processes then Cu plated, deposited or melted around them; the Si is then etched away. There are also a number of cathode choices, including cold cathode field emitters, thermal filament emitters, dispenser cathodes or any other cathode which will fit into the source. Exemplary cold cathodes, particularly for cathode arrays, lateral thin film edge emitters, which may be made of various, materials, including carbon, layered films of different forms of carbon, carbon nanotubes or graphene, layered films of metal, layered films of metal and carbon, etc. Cathodes in the array may be stabilized by the incorporation of resistors for individual emitters of areas. The cathodes in the array may also be gated, so as to allow operation of the cathodes at lower voltages. Gates and focusing elements, such as electrostatic lenses, may be provided so as to direct the e-beams in an optimal direction. An exemplary cold cathode for an array is a disk pusher cathode, in which a large number of individual cold cathode tips face in towards a circular pusher electrode, which defines the spot size of the e-beam and which directs the electrons up off the cathode substrate and towards the anode. The pusher electrode may be biased so as to focus the beam and this focusing may be used in conjunction with other focusing elements. The beam shape is annular. Another cold cathode choice, for very tight annular beams, is to deposit large numbers of thin films of alternative insulating and conductive/emissive materials, such as diamond and Mo, around very thin wires, which are rotated in the deposition chamber. The wires are then into small sections to provide an annular metal-insulator-metal cold cathode which has proven to yield high, stable current levels. Another method for producing an annular beam, detailed below, is to use an internal accelerating grid with a retarding potential at the lower levels of the stack to widen the beam just before impact on the upper acceptance region of the anode channel. A sealed, single channel FFC x-ray source is shown in FIG. 7. In addition to the source elements presented above and shown in FIGS. 1-5, a top cathode plate 11, side walls 20 and anode window plate 33 are provided to form the vacuum enclosure of the source, which needs to be evacuated to at least 10−5 Torr vacuum. Side walls 20 may be formed from a tube of ceramic, glass or other insulating material. Cathode top plate 11 can be metal, glass, ceramic or other material thermally compatible with the rest of the package. Anode window plate 33 is hermetically attached to anode metal 30. The anode window can be made very thin, since anode metal 30 will provide most of the mechanical support at this part of the package. Exemplary materials for the anode window include glass, Be, BeO and other materials which transmit a high degree of x-ray flux. X-ray filters, if needed, may be applied to the outside of the anode window. The anode window may also support zone plate optics or other x-ray focusing optical elements, which may be formed directly on the window. Whichever end of the source, cathode or anode, which is biased to high potential must be surrounded by an oil casing, potting compound of other electrical insulator. An oil casing with forced fluid flow may provide anode cooling, as may cooling lines surrounding the anode or cooling channels formed in the anode metal itself. An FFC array source, shown in FIG. 8, has similar construction as the single channel FFC source, except the anode plate, anode window and cathode plate are wider to accommodate the arrays of electron sources and their corresponding anode channels. The plate and window elements may be made flat for a flat panel FFC source, or curved for a curved source. In FIG. 8, the cathodes 10 of the cathode array are disposed on cathode plate 11, which forms one major part of the vacuum enclosure of the source. In array sources, at least the top surface of the cathode plate must be insulating to electrically isolate the cathodes in the array. Anode plate 30 is made of or coated with the x-ray target material and disposed opposite and parallel to the cathode plate, and forms the second major structural part of the vacuum enclosure of the source. Insulating side walls 20 made of glass or ceramic form the other major parts of the vacuum enclosure of the source. In the case of very wide sources, internal spacing posts or bars may be provided for additional mechanical support against the outside atmospheric load. The anode has multiple flux channels which may be annular or of other shapes going through the anode plate. A thin sheet of glass or other x-ray window material is hermetically attached to the outside of the anode plate so as to maintain vacuum. The flux channels may be formed in an linear, x-y matrix or other formats. Individual cathodes in the array emit e-beams towards a corresponding flux channel in the anode plate. E-beam focusing elements inside the source may be used to direct the e-beams into the channel. The flux channels are shaped so that the e-beams will impact an upper acceptance region of the channel and so that a large portion of the electrons scattered from impact in the acceptance region will ricochet down the channel. X-ray flux is generated from these primary and ricochet (or secondary) impacts on the metals walls of the flux channels with the flux then exiting through the channels and out the window attached on the outside of the anode plate. In an FFC array source, the cathode side may be operated at high potential, since the anode window may not be able to stand off much voltage. As shown in FIG. 8, casing 21, which may be filled with oil, potting compound or other insulating material, surrounds the cathode plate and sides of the source (half of which is shown in FIG. 8). The FFC arrays may be made in a number of formats and sizes. Cathode and channel pitch, their number, their arrangement and channel width and height may be chosen to suit the application. FIG. 9 shows an exemplary cathode array layout wherein an x-y array of cold cathodes (10) is formed on cathode plate (11) and addressed in rows through cathode address lines (12). Cathode plate (11) can be made of any material but will have an insulating top surface so as to electrically isolate the cathodes in the array. Alternatively, the cathodes may be formed individually or on die which are then attached to cathode plate (11). Cathodes (10) can be any type of many cold cathodes known in the art, including metal tip arrays, semiconductor tip emitters, carbon nanotube (CNT) tip arrays, CNT rope emitters, surface conduction emitters, metal-insulator-metal (MIM) emitters, lateral edge emitters of various materials, or diamond flat cathodes. In the embodiment shown in the figure, extraction gates (40) are provided for each cathode and separately addressed through gate lead lines (41). This configuration allows the power of the source to be supplied through more robust cathode lead lines and gating to be performed at lower gate voltages and currents, allowing the use of inexpensive drive circuitry. The cathode array can be operated in a variety of modes to generate x-ray pixels (xels) from the anode channels in whatever format suits the application. Xels may be address sequentially, maybe be multiplexed, may all be turned on at once, may be scanned as lines, or may be addressed in coded source patterns. For example, in an exemplary parallel beam imaging mode, a 77×77 array of xels will substantially reduce scatter in the imaging subject, allowing for the same image quality to be obtained at substantially lower doses. All the axels are operated simultaneously in this mode. With a large number of xels it is also possible to modulate the cathodes in the cathode array so as to provide spatial variations in the generated x-ray flux pattern. This may be used in dose reduction regimes which rely on lessening the dose in regions of less interest in the imaging application. FIG. 10 shows an exemplary source with an internal beam accelerating structure. The potentials of the further electrodes in this structure may be varied so as to spread the beam somewhat as it heads towards the anode so as to increase the portion of the beam impacting the upper acceptance region of the channel. FIG. 11 shows an exemplary stationary CT system made with FFC array sources of the present invention. In this case, the system is a field portable CT system for head and neck injury imaging. Three FFC array sources 100 are arranged in an arc above the patient and imaging is preformed by emitting flux to a flat panel x-ray detector 150 placed under the patient. Axial, longitudinal semi-helical scans may be performed with this system configuration. Other exemplary imaging systems which may be constructed in a similar way include pre-clinical small animal imaging systems and breast tomosynthesis or CT, in which cases the linear or few-row array sources may be formed in complete circles to emit x-ray flux to a corresponding circular x-ray detector offset from the source ring. FIG. 12 depicts sequential firing of the xels across the source arc of a stationary tomosynthesis system in one dimension. FIG. 13 depicts multiple sequential firing of the xels so as to increase imaging speeds. All the xels labeled “1” are fired at the same time and produce images at different region of the detector. The “2” xels are then fired, and so on. FIG. 13 depicts parallel collimated beam imaging enabled by the source. A large number of xels in an x-y array are fired simultaneously to produce very narrow beams, each corresponding to a region on the detector. This modality reduces scatter in the subject and allows lower doses to be used for the same image quality. Spreading the required flux power across the xel array allows cathode current density and the anode power load at each xel to be substantially reduced. 2D images may be generated this way. 3D tomographic images may be generated by moving this source, or by addressing shifting xel arrays across the panel or a tiled arc of panels. FIG. 15 shows a typical imaging geometry for coded source imaging using an FFC array source. In general, the addressable FFC array source 206 emits photon flux 210 that is structured based on a specific spatial pattern or “code” 208, which passes (in part) through the subject 202. This scattered (transmitted) x-ray flux 212 strikes the detector 214, which captures the aggregate image 234. This detected image 238 is thus encoded. It is subsequently decoded in a decoding process 218 using a decoding pattern 220. The decoding pattern is matched to the code pattern (208), usually such that their cross-correlation resembles a spatial impulse function. FFC array sources generating pencil beams or narrowly collimated beams of x-ray flux may also be advantageously used in PCI systems. Some PCI approaches can use polychromatic x-ray sources, for example, grating-based Talbot interferometry. In these approaches, the FFC source of FIG. 8 may be used. Other PCI approaches require coherent flux. FIG. 16 shows that the source of the present invention may be adapted for these other forms of PCI by the addition of a crystalline monochromator (array disposed so as to accept flux exiting the channels. The present invention is well adapted to carry out the objects and attain the ends and advantages described as well as others inherent therein. While the present embodiments of the invention have been given for the purpose of disclosure numerous changes or alterations in the details of construction and steps of the method will be apparent to those skilled in the art and which are encompassed within the spirit and scope of the invention. |
|
claims | 1. A method of processing objects applicable to a FIB (Focused Ion Beam) system with a carrier comprising a processing portion having an object disposed thereon and a carrying member, the method comprising the steps of:regulating a height of the carrying member according to a height of the object;setting a top of the carrying member to be flush with an upper surface of the object;processing with an ion beam the object disposed on the processing portion; andmoving the processed object or a processed block of the object to the carrying member. 2. The method of claim 1, wherein the step of setting the top of the carrying member to be flush with the upper surface of the object further comprises:lifting the carrying member by a lift mechanism to allow the top of the carrying member to be flush with the upper surface of the object. 3. The method of claim 1, wherein the step of processing with the ion beam the object on the processing portion further comprises:adjusting the carrier position for making the object to the eucentric height of the FIB system. 4. The method of claim 1, wherein the step of setting the top of the carrying member to be flush with the upper surface of the object further comprises:performing an auxiliary adjustment with an optical microscope (OM). 5. The method of claim 1, wherein the step of processing with the ion beam the object on the processing portion further comprises:processing the object so as to form a sample for a transmission electron microscope (TEM) analysis. 6. The method of claim 5, wherein the step of moving the processed object or a processed block of the object to the carrying member further comprises:moving the processed object to the carrying member and performing subsequent milling. 7. The method of claim 1, wherein the step of processing with the ion beam the object on the processing portion further comprises:processing the object so as to form an integrated circuit repairing material. 8. The method of claim 7, wherein the integrated circuit is located on the top of the carrying member, and the step of moving the processed object or a processed block of the object to the carrying member further comprises:moving the repairing material to a location on the integrated circuit and bonding the repairing material thereto. 9. The method of claim 1, wherein the step of processing with the ion beam the object on the processing portion further comprises:processing the object so as to form a modeling object for a micro/nanostructure assembly. 10. The method of claim 9, wherein a structure to be assembled is located on the top of the carrying member, and the step of moving the processed object or a processed block of the object to the carrying member further comprises moving the modeling object to the structure to be assembled to perform the micro/nanostructure assembly. 11. A carrier applied to a FIB (Focused Ion Beam) system that emits an ion beam, the carrier comprising:a carrying member; anda carrying base comprising:a processing portion located on an upper surface of the carrying base; anda receiving portion for receiving the carrying member,wherein the carrying member is adjustable according to a height of an object disposed on the processing portion, andwherein the carrying member is configured so that a top of the carrying member is setable to be flush with an upper surface of the object, andfurther wherein the object disposed on the processing portion is processed with the ion beam. 12. The carrier of claim 11, wherein the carrying member is a supporting medium for disposing a processed object or a processed block of the object thereon. 13. The carrier of claim 11, wherein the receiving portion is a through hole penetrating the carrying base to form a depth. 14. The carrier of claim 11, wherein the carrying base comprises a positioning portion connected to the receiving portion. 15. The carrier of claim 14, wherein the positioning portion is a screw hole or an opening. 16. The carrier of claim 11, wherein the carrier further comprises a lift mechanism for lifting the carrying member vertically. 17. The carrier of claim 16, wherein the lift mechanism is a screw, a bolt, a spring, a sliding block, or a stepper motor. 18. The carrier of claim 11, wherein the top of the carrying member further comprises a carrying body, the carrying body is an integrated circuit to be repaired or a micro/nanostructure to be assembled. |
|
description | The present application claims the benefit of U.S. Provisional Patent Application No. 61/827,943 filed May 28, 2013, and is a continuation-in-part of International Patent Application No. PCT/US13/42070 filed May 21, 2013, which claims of benefit of U.S. Provisional Patent Application No. 61/649,593 filed May 21, 2012, the entireties of which are incorporated herein by reference. The present invention relates nuclear reactors, and more particularly to a reactor and reactor containment system with passive reactor cooling system for reactor shutdown operation. The containment for a nuclear reactor is defined as the enclosure that provides environmental isolation to the nuclear steam supply system (NSSS) of the plant in which nuclear fission is harnessed to produce pressurized steam. A commercial nuclear reactor is required to be enclosed in a pressure retaining structure which can withstand the temperature and pressure resulting from the most severe accident that can be postulated for the facility. The most severe energy release accidents that can be postulated for a reactor and its containment can be of two types. First, an event that follows a loss-of-coolant accident (LOCA) and involve a rapid large release of thermal energy from the plant's nuclear steam supply system (NSSS) due to a sudden release of reactor's coolant in the containment space. The reactor coolant, suddenly depressurized, would violently flash resulting in a rapid rise of pressure and temperature in the containment space. The in-containment space is rendered into a mixture of air and steam. LOCAs can be credibly postulated by assuming a sudden failure in a pipe carrying the reactor coolant. Another second thermal event of potential risk to the integrity of the containment is the scenario wherein all heat rejection paths from the plant's nuclear steam supply system (NSSS) are lost, forcing the reactor into a “scram.” A station black-out is such an event. The decay heat generated in the reactor must be removed to protect it from an uncontrolled pressure rise. More recently, the containment structure has also been called upon by the regulators to withstand the impact from a crashing aircraft. Containment structures have typically been built as massive reinforced concrete domes to withstand the internal pressure from LOCA. Although its thick concrete wall could be capable of withstanding an aircraft impact, it is also unfortunately a good insulator of heat, requiring pumped heat rejection systems (employ heat exchangers and pumps) to reject its unwanted heat to the external environment (to minimize the pressure rise or to remove decay heat). Such heat rejection systems, however, rely on a robust power source (off-site or local diesel generator, for example) to power the pumps. The station black out at Fukushima in the wake of the tsunami is a sobering reminder of the folly of relying on pumps. The above weaknesses in the state-of-the-art call for an improved nuclear reactor containment system. Besides the foregoing containment cooling issues, a nuclear reactor continues to produce a substantial quantity of heat energy after it has been shut down. FIG. 20 shows a typical heat generation curve of a light water reactor subsequent to a scram (i.e., a sudden cessation of chain reaction by a rapid insertion of control rods or other means). In the current reactor designs, as noted above, the reactor's decay heat is removed by the plant's residual heat removal (RHR) system which utilizes a system of pumps and heat exchangers to convey the heat energy to a suitable source of cooling water maintained by the plant. As can be seen from FIG. 20, the reactor's decay heat begins to attenuate exponentially with time but is still quite significant to threaten the reactor's safety if the generated heat were not removed (as was the case at Fukushima where the pumps needed to extract the reactors' heat failed because of submergence of their electric motors in the tsunami driven water surge). The Fukushima disaster provided a stark lesson in the vulnerability of forced flow (pump dependent) systems under extreme environmental conditions. An improved reactor cooling system is desired. A reactor cooling system according to the present disclosure provides a completely passive means to reject the reactor's decay heat without any reliance on and drawbacks of pumps and motors requiring an available electric power supply, as described above. In one embodiment, the cooling system relies entirely on gravity and varying fluid densities to induce flow through the cooling system. In one embodiment, this gravity driven system may be configured and referred to as a submerged bundled cooling system (SBCS) for convenience (this arbitrary nomenclature not being limiting in any respect). The cooling system is engineered to passively extract heat from the reactor primary coolant in the event of a station black out or another postulated accident scenario wherein the normal heat rejection path from the fuel core via the power plant's Rankine cycle is lost. In one embodiment, a passive nuclear reactor shutdown cooling system includes a reactor vessel housing a nuclear fuel core inside, the reactor vessel containing a primary coolant heated by the fuel core, and a steam generator fluidly coupled to the reactor vessel and containing a liquid secondary coolant. The primary coolant circulates in a first closed flow loop between the reactor vessel and steam generator, the primary coolant transferring heat to the secondary coolant in the steam generator and producing secondary coolant steam. A heat exchanger includes an inventory of a liquid third coolant and a tube bundle, the tube bundle being submerged in the third coolant. The secondary coolant circulates via gravity flow in a second closed flow loop between the submerged tube bundle and the steam generator. The secondary coolant steam is extracted from the steam generator and flows in the second closed flow loop to the tube bundle, condenses forming condensate, and the condensate flows back to the steam generator. In another embodiment, a passive nuclear reactor shutdown cooling system includes a reactor vessel housing a nuclear fuel core inside, the reactor vessel containing a primary coolant heated by the fuel core, and a heat exchanger including an inventory of cooling water and a tube bundle, the tube bundle being submerged in the cooling water. The primary coolant circulates via gravity flow in a first closed flow loop between the submerged tube bundle and the reactor vessel, wherein the primary coolant transfers heat to the inventory of cooling water in the heat exchanger and is cooled before flowing back to the reactor vessel. In another embodiment, a method for passively cooling a nuclear reactor after shutdown is provided. The method includes: heating a primary coolant in a reactor vessel with a nuclear fuel core; heating a secondary coolant in a steam generator with the heated primary coolant to produce secondary coolant steam: extracting the secondary coolant steam from the steam generator; flowing the extracted secondary coolant steam through a tube bundle submerged in an inventory of cooling water in a pressure vessel; condensing the secondary coolant steam forming a secondary coolant condensate; and returning the secondary coolant condensate to the steam generator, wherein the secondary coolant steam and condensate circulates through a first closed flow loop between the tube bundle and steam generator. In one embodiment, the method further includes: heating of the cooling water in the pressure vessel by the secondary coolant steam; converting a portion of the cooling water into steam phase; extracting the cooling water steam from the pressure vessel; flowing the extracted cooling water steam through heat dissipater ducts attached to a reactor containment vessel in thermal communication with a heat sink; condensing the cooling water steam; and returning the condensed cooling water to the pressure vessel to replenish the inventory of cooling water. Another method for passively cooling a nuclear reactor after shutdown is provided. The method includes: heating a primary coolant in a reactor vessel with a nuclear fuel core; extracting the heated primary coolant from the reactor vessel; flowing the heated primary coolant through a tube bundle submerged in an inventory of cooling water in a pressure vessel; cooling the heated primary coolant to lower its temperature; and returning the cooled primary coolant to the reactor vessel, wherein the primary coolant circulates through a first closed flow loop between the tube bundle and reactor vessel. In one embodiment, the method further includes: heating of the cooling water in the pressure vessel by the secondary coolant steam; converting a portion of the cooling water into steam phase; extracting the cooling water steam from the pressure vessel; flowing the extracted cooling water steam through heat dissipater ducts attached to a reactor containment vessel in thermal communication with a heat sink; condensing the cooling water steam; and returning the condensed cooling water to the pressure vessel to replenish the inventory of cooling water. According to other aspects, the present invention further provides nuclear reactor containment system that overcomes the deficiencies of the foregoing arrangements for rejecting heat released into the environment within the containment by a thermal event. The containment system generally includes an inner containment vessel which may be formed of steel or another ductile material and an outer containment enclosure structure (CES) thereby forming a double walled containment system. In one embodiment, a water-filled annulus may be provided between the containment vessel and the containment enclosure structure providing an annular cooling reservoir. The containment vessel may include a plurality of longitudinal heat transfer fins which extend (substantially) radial outwards from the vessel in the manner of “fin”. The containment vessel thus serves not only as the primary structural containment for the reactor, but is configured and operable to function as a heat exchanger with the annular water reservoir acting as the heat sink. Accordingly, as further described herein, the containment vessel advantageously provides a passive (i.e. non-pumped) heat rejection system when needed during a thermal energy release accident such as a LOCA or reactor scram to dissipate heat and cool the reactor. In one embodiment according to the present disclosure, a nuclear reactor containment system includes a containment vessel configured for housing a nuclear reactor, a containment enclosure structure (CES) surrounding the containment vessel, and an annular reservoir formed between the containment vessel and containment enclosure structure (CES) for extracting heat energy from the containment space. In the event of a thermal energy release incident inside the containment vessel, heat generated by the containment vessel is transferred to the annular reservoir which operates to cool the containment vessel. In one embodiment, the annular reservoir contains water for cooling the containment vessel. A portion of the containment vessel may include substantially radial heat transfer fins disposed in the annular reservoir and extending between the containment vessel and containment enclosure structure (CES) to improve the dissipation of heat to the water-filled annular reservoir. When a thermal energy release incident occurs inside the containment vessel, a portion of the water in the annulus is evaporated and vented to atmosphere through the containment enclosure structure (CES) annular reservoir in the form of water vapor. Embodiments of the system may further include an auxiliary air cooling system including a plurality of vertical inlet air conduits spaced circumferentially around the containment vessel in the annular reservoir. The air conduits are in fluid communication with the annular reservoir and outside ambient air external to the containment enclosure structure (CES). When a thermal energy release incident occurs inside the containment vessel and water in the annular reservoir is substantially depleted by evaporation, the air cooling system becomes operable by providing a ventilation path from the reservoir space to the external ambient. The ventilation system can thus be viewed as a secondary system that can continue to cool the containment ad infinitum. According to another embodiment, a nuclear reactor containment system includes a containment vessel configured for housing a nuclear reactor, a containment enclosure structure (CES) surrounding the containment vessel, a water filled annulus formed between the containment vessel and containment enclosure structure (CES) for cooling the containment vessel, and a plurality of substantially radial fins protruding outwards from the containment vessel and located in the annulus. In the event of a thermal energy release incident inside the containment vessel, heat generated by the containment vessel is transferred to the water filled reservoir in the annulus through direct contact with the external surface of the containment vessel and its fins substantially radial thus cooling the containment vessel. In one embodiment, when a thermal energy release incident occurs inside the containment vessel and water in the annulus is substantially depleted by evaporation, the air cooling system is operable to draw outside ambient air into the annulus through the air conduits to cool the heat generated in the containment (which decreases exponentially with time) by natural convection. The existence of water in the annular region completely surrounding the containment vessel will maintain a consistent temperature distribution in the containment vessel to prevent warping of the containment vessel during the thermal energy release incident or accident. In another embodiment, a nuclear reactor containment system includes a containment vessel including a cylindrical shell configured for housing a nuclear reactor, a containment enclosure structure (CES) surrounding the containment vessel, an annular reservoir containing water formed between the shell of the containment vessel and containment enclosure structure (CES) for cooling the containment vessel, a plurality of external (substantially) radial fins protruding outwards from the containment vessel into the annulus, and an air cooling system including a plurality of vertical inlet air conduits spaced circumferentially around the containment vessel in the annular reservoir. The air conduits are in fluid communication with the annular reservoir and outside ambient air external to the containment enclosure structure (CES). In the event of a thermal energy release incident inside the containment vessel, heat generated by the containment vessel is transferred to the annular reservoir via the (substantially) radial containment wall along with its internal and external fins which operates to cool the containment vessel. Advantages and aspects of a nuclear reactor containment system according to the present disclosure include the following: Containment structures and systems configured so that a severe energy release event as described above can be contained passively (e.g. without relying on active components such as pumps, valves, heat exchangers and motors); Containment structures and systems that continue to work autonomously for an unlimited duration (e.g. no time limit for human intervention); Containment structures fortified with internal and external ribs (fins) configured to withstand a projectile impact such as a crashing aircraft without losing its primary function (i.e. pressure & radionuclide (if any) retention and heat rejection); and Containment vessel equipped with provisions that allow for the ready removal (or installation) of major equipment through the containment structure. All drawings are schematic and not necessarily to scale. The features and benefits of the invention are illustrated and described herein by reference to illustrative embodiments. This description of illustrative embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. In the description of embodiments disclosed herein, any reference to direction or orientation is merely intended for convenience of description and is not intended in any way to limit the scope of the present invention. Relative terms such as “lower,” “upper.” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly.” “upwardly,” etc.) should be construed to refer to the nominal orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description only and do not require that the apparatus be constructed or operated in a rigorously specific orientation denoted by the term. Terms such as “attached,” “affixed,” “connected,” “coupled,” “interconnected,” and similar refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. Accordingly, the disclosure expressly should not be limited to such illustrative embodiments illustrating some possible non-limiting combination of features that may exist alone or in other combinations of features. Referring to FIGS. 1-15, a nuclear reactor containment system 100 according to the present disclosure is shown. The system 100 generally includes an inner containment structure such as containment vessel 200 and an outer containment enclosure structure (CES) 300 collectively defining a containment vessel-enclosure assembly 200-300. The containment vessel 200 and containment enclosure structure (CES) 300 are vertically elongated and oriented, and define a vertical axis VA. In one embodiment, the containment vessel-enclosure assembly 200-300 is configured to be buried in the subgrade at least partially below grade (see also FIGS. 6-8). The containment vessel-enclosure assembly 200-300 may be supported by a concrete foundation 301 comprised of a bottom slab 302 and vertically extending sidewalls 303 rising from the slab forming a top base mat 304. The sidewalls 303 may circumferentially enclose containment vessel 200 as shown wherein a lower portion of the containment vessel may be positioned inside the sidewalls. In some embodiments, the sidewalls 303 may be poured after placement of the containment vessel 200 on the bottom slab 302 (which may be poured and set first) thereby completely embedding the lower portion of the containment vessel 200 within the foundation. The foundation walls 303 may terminate below grade in some embodiments as shown to provide additional protection for the containment vessel-enclosure assembly 200-300 from projectile impacts (e.g. crashing plane, etc.). The foundation 301 may have any suitable configuration in top plan view, including without limitation polygonal (e.g. rectangular, hexagon, circular, etc.). In one embodiment, the weight of the containment vessel 200 may be primarily supported by the bottom slab 302 on which the containment vessel rests and the containment enclosure structure (CES) 300 may be supported by the base mat 304 formed atop the sidewalls 303 of the foundation 301. Other suitable vessel and containment enclosure structure (CES) support arrangements may be used. With continuing reference to FIGS. 1-15, the containment structure vessel 200 may be an elongated vessel including a hollow cylindrical shell 204 with circular transverse cross-section defining an outer diameter D1, a top head 206, and a bottom head 208. In one embodiment, the containment vessel 200 (i.e. shell and heads) may be made from a suitably strong and ductile metallic plate and bar stock that is readily weldable (e.g. low carbon steel). In one embodiment, a low carbon steel shell 204 may have a thickness of at least 1 inch. Other suitable metallic materials of corresponding appropriate thickness including various alloys may be used. The top head 206 may be attached to the shell 204 via a flanged joint 210 comprised of a first annular flange 212 disposed on the lower end or bottom of the top head and a second mating annular flange 214 disposed on the upper end or top of the shell. The flanged joint 210 may be a bolted joint, which optionally may further be seal welded after assembly with a circumferentially extending annular seal weld being made between the adjoining flanges 212 and 214. The top head 206 of containment vessel 200 may be an ASME (American Society of Mechanical Engineers) dome-shaped flanged and dished head to add structural strength (i.e. internal pressure retention and external impact resistance); however, other possible configurations including a flat top head might be used. The bottom head 208 may similarly be a dome-shaped dished head or alternatively flat in other possible embodiments. In one containment vessel construction, the bottom head 208 may be directly welded to the lower portion or end of the shell 204 via an integral straight flange (SF) portion of the head matching the diameter of shell. In one embodiment, the bottom of the containment vessel 200 may include a ribbed support stand 208a or similar structure attached to the bottom head 208 to help stabilize and provide level support for the containment vessel on the slab 302 of the foundation 301, as further described herein. In some embodiments, the top portion 216 of the containment vessel shell 204 may be a diametrically enlarged segment of the shell that forms a housing to support and accommodate a polar crane (not shown) for moving equipment, fuel, etc. inside the containment vessel. This will provide crane access to the very inside periphery of the containment vessel and enable placement of equipment very close to the periphery of the containment vessel 200 making the containment vessel structure compact. In one configuration, therefore, the above grade portion of the containment vessel 200 may resemble a mushroom-shaped structure. In one possible embodiment, the enlarged top portion 216 of containment vessel 200 may have an outer diameter D2 that is larger than the outer diameter D1 of the rest of the adjoining lower portion 218 of the containment vessel shell 204. In one non-limiting example, the top portion 216 may have a diameter D2 that is approximately 10 feet larger than the diameter DL of the lower portion 218 of the shell 204. The top portion 216 of shell 204 may have a suitable height H2 selected to accommodate the polar crane with allowance for working clearances which may be less than 50% of the total height H1 of the containment vessel 200. In one non-limiting example, approximately the top ten feet of the containment vessel 200 (H2) may be formed by the enlarged diameter top portion 216 in comparison to a total height H1 of 200 feet of the containment vessel. The top portion 216 of containment vessel 200 may terminate at the upper end with flange 214 at the flanged connection to the top head 206 of the containment vessel. In one embodiment, the diametrically enlarged top portion 216 of containment vessel 200) has a diameter D2 which is smaller than the inside diameter D3 of the containment enclosure structure (CES) 300 to provide a (substantially) radial gap or secondary annulus 330 (see, e.g. FIG. 4). This provides a cushion of space or buffer region between the containment enclosure structure (CES) 300 and containment vessel top portion 216 in the advent of a projectile impact on the containment enclosure structure (CES). Furthermore, the annulus 330 further significantly creates a flow path between primary annulus 313 (between the shells of the containment enclosure structure (CES) 300 and containment vessel 200) and the head space 318 between the containment enclosure structure (CES) dome 316 and top head 206 of the containment vessel 200 for steam and/or air to be vented from the containment enclosure structure (CES) as further described herein. Accordingly, the secondary annulus 330 is in fluid communication with the primary annulus 313 and the head space 318 which in turn is in fluid communication with vent 317 which penetrates the dome 316. In one embodiment, the secondary annulus 330 has a smaller (substantially) radial width than the primary annulus 313. Referring to FIGS. 1-4, the containment enclosure structure (CES) 300 may be double-walled structure in some embodiments having sidewalls 320 formed by two (substantially) radially spaced and interconnected concentric shells 310 (inner) and 311 (outer) with plain or reinforced concrete 312 installed in the annular space between them. The concentric shells 310, 311 may be made of any suitably strong material, such as for example without limitation ductile metallic plates that are readily weldable (e.g. low carbon steel). Other suitable metallic materials including various alloys may be used. In one embodiment, without limitation, the double-walled containment enclosure structure (CES) 300 may have a concrete 312 thickness of 6 feet or more which ensures adequate ability to withstand high energy projectile impacts such as that from an airliner. The containment enclosure structure (CES) 300 circumscribes the containment vessel shell 204 and is spaced (substantially) radially apart from shell 204, thereby creating primary annulus 313. Annulus 313 may be a water-filled in one embodiment to create a heat sink for receiving and dissipating heat from the containment vessel 200 in the case of a thermal energy release incident inside the containment vessel. This water-filled annular reservoir preferably extends circumferentially for a full 360 degrees in one embodiment around the perimeter of upper portions of the containment vessel shell 204 lying above the concrete foundation 301. FIG. 4 shows a cross-section of the water-filled annulus 313 without the external (substantially) radial fins 221 in this figure for clarity. In one embodiment, the annulus 313 is filled with water from the base mat 304 at the bottom end 314 to approximately the top end 315 of the concentric shells 310, 311 of the containment enclosure structure (CES) 300 to form an annular cooling water reservoir between the containment vessel shell 204 and inner shell 310 of the containment enclosure structure (CES). This annular reservoir may be coated or lined in some embodiments with a suitable corrosion resistant material such as aluminum, stainless steel, or a suitable preservative for corrosion protection. In one representative example, without limitation, the annulus 313 may be about 10 feet wide and about 100 feet high. In one embodiment, the containment enclosure structure (CES) 300 includes a steel dome 316 that is suitably thick and reinforced to harden it against crashing aircraft and other incident projectiles. The dome 316 may be removably fastened to the shells 310, 311 by a robust flanged joint 318. In one embodiment, the containment enclosure structure (CES) 300 is entirely surrounded on all exposed above grade portions by the containment enclosure structure (CES) 300, which preferably is sufficiently tall to provide protection for the containment vessel against aircraft hazard or comparable projectile to preserve the structural integrity of the water mass in the annulus 313 surrounding the containment vessel. In one embodiment, as shown, the containment enclosure structure (CES) 300 extends vertically below grade to a substantial portion of the distance to the top of the base mat 304. The containment enclosure structure (CES) 300 may further include at least one rain-protected vent 317 which is in fluid communication with the head space 318 beneath the dome 316 and water-filled annulus 313 to allow water vapor to flow, escape, and vent to atmosphere. In one embodiment, the vent 317 may be located at the center of the dome 316. In other embodiments, a plurality of vents may be provided spaced (substantially) radially around the dome 316. The vent 317 may be formed by a short section of piping in some embodiments which is covered by a rain hood of any suitable configuration that allows steam to escape from the containment enclosure structure (CES) but minimizes the ingress of water. In some possible embodiments, the head space 318 between the dome 316 and top head 206 of the containment vessel 200 may be filled with an energy absorbing material or structure to minimize the impact load on the containment enclosure structure (CES) dome 316 from a crashing (falling) projecting (e.g. airliner, etc.). In one example, a plurality of tightly-packed undulating or corrugated deformable aluminum plates may be disposed in part or all of the head space to form a crumple zone which will help absorb and dissipate the impact forces on the dome 316. Referring primarily to FIGS. 1-5 and 8-17, the buried portions of the containment vessel 200 within the concrete foundation 301 below the base mat 304 may have a plain shell 204 without external features. Portions of the containment vessel shell 204 above the base mat 304, however, may include a plurality of longitudinal external (substantially) radial ribs or fins 220 which extend axially (substantially) parallel to vertical axis VA of the containment vessel-enclosure assembly 200-300. The external longitudinal fins 220 are spaced circumferentially around the perimeter of the containment vessel shell 204 and extend (substantially) radially outwards from the containment vessel. The ribs 220 serve multiple advantageous functions including without limitation (1) to stiffen the containment vessel shell 204, (2) prevent excessive “sloshing” of water reserve in annulus 313 in the occurrence of a seismic event, and (3) significantly to act as heat transfer “fins” to dissipate heat absorbed by conduction through the shell 204 to the environment of the annulus 313 in the situation of a fluid/steam release event in the containment vessel. Accordingly, in one embodiment to maximize the heat transfer effectiveness, the longitudinal fins 220 extend vertically for substantially the entire height of the water-filled annulus 313 covering the effective heat transfer surfaces of the containment vessel 200 (i.e. portions not buried in concrete foundation) to transfer heat from the containment vessel 200 to the water reservoir, as further described herein. In one embodiment, the external longitudinal fins 220 have upper horizontal ends 220a which terminate at or proximate to the underside or bottom of the larger diameter top portion 216 of the containment vessel 200, and lower horizontal ends 220b which terminate at or proximate to the base mat 304 of the concrete foundation 301. In one embodiment, the external longitudinal fins 220 may have a height H3 which is equal to or greater than one half of a total height of the shell of the containment vessel. In one embodiment, the upper horizontal ends 220a of the longitudinal fins 220 are free ends not permanently attached (e.g. welded) to the containment vessel 200 or other structure. At least part of the lower horizontal ends 220b of the longitudinal fins 220 may abuttingly contact and rest on a horizontal circumferential rib 222 welded to the exterior surface of the containment vessel shell 204 to help support the weight of the longitudinal fins 220 and minimize stresses on the longitudinal rib-to-shell welds. Circumferential rib 222 is annular in shape and may extend a full 360 degrees completely around the circumferential of the containment vessel shell 204. In one embodiment, the circumferential rib 222 is located to rest on the base mat 304 of the concrete foundation 301 which transfers the loads of the longitudinal fins 220 to the foundation. The longitudinal fins 220 may have a lateral extent or width that projects outwards beyond the outer peripheral edge of the circumferential rib 222. Accordingly, in this embodiment, only the inner portions of the lower horizontal end 220b of each rib 220 contacts the circumferential rib 222. In other possible embodiments, the circumferential rib 222 may extend (substantially) radially outwards far enough so that substantially the entire lower horizontal end 220b of each longitudinal rib 220 rests on the circumferential rib 222. The lower horizontal ends 220b may be welded to the circumferential rib 222 in some embodiments to further strengthen and stiffen the longitudinal fins 220. The external longitudinal fins 220 may be made of steel (e.g. low carbon steel), or other suitable metallic materials including alloys which are each welded on one of the longitudinally-extending sides to the exterior of the containment vessel shell 204. The opposing longitudinally-extending side of each rib 220 lies proximate to, but is preferably not permanently affixed to the interior of the inner shell 310 of the containment enclosure structure (CES) 300 to maximize the heat transfer surface of the ribs acting as heat dissipation fins. In one embodiment, the external longitudinal fins 220 extend (substantially) radially outwards beyond the larger diameter top portion 216 of the containment vessel 200 as shown. In one representative example, without limitation, steel ribs 220 may have a thickness of about 1 inch. Other suitable thickness of ribs may be used as appropriate. Accordingly, in some embodiments, the ribs 220 have a radial width that is more than 10 times the thickness of the ribs. In one embodiment, the longitudinal fins 220 are oriented at an oblique angle A1 to containment vessel shell 204 as best shown in FIGS. 2-3 and 5. This orientation forms a crumple zone extending 360 degrees around the circumference of the containment vessel 200 to better resist projectile impacts functioning in cooperation with the outer containment enclosure structure (CES) 300. Accordingly, an impact causing inward deformation of the containment enclosure structure (CES) shells 210, 211 will bend the longitudinal fins 220 which in the process will distribute the impact forces preferably without direct transfer to and rupturing of the inner containment vessel shell 204 as might possibly occur with ribs oriented 90 degrees to the containment vessel shell 204. In other possible embodiments, depending on the construction of the containment enclosure structure (CES) 300 and other factors, a perpendicular arrangement of ribs 220 to the containment vessel shell 204 may be appropriate. In one embodiment, referring to FIGS. 6-8, portions of the containment vessel shell 204 having and protected by the external (substantially) radial fins 220 against projectile impacts may extend below grade to provide protection against projectile strikes at or slightly below grade on the containment enclosure structure (CES) 300. Accordingly, the base mat 304 formed at the top of the vertically extending sidewalls 303 of the foundation 301 where the fins 220 terminate at their lower ends may be positioned a number of feet below grade to improve impact resistance of the nuclear reactor containment system. In one embodiment, the containment vessel 200 may optionally include a plurality of circumferentially spaced apart internal (substantially) radial fins 221 attached to the interior surface of the shell 204 (shown as dashed in FIGS. 2 and 3). Internal fins 221 extend (substantially) radially inwards from containment vessel shell 204 and longitudinally in a vertical direction of a suitable height. In one embodiment, the internal (substantially) radial fins 221 may have a height substantially coextensive with the height of the water-filled annulus 313 and extend from the base mat 304 to approximately the top of the shell 204. In one embodiment, without limitation, the internal fins 221 may be oriented substantially perpendicular (i.e. 90 degrees) to the containment vessel shell 204. Other suitable angles and oblique orientations may be used. The internal fins function to both increase the available heat transfer surface area and structurally reinforce the containment vessel shell against external impact (e.g. projectiles) or internal pressure increase within the containment vessel 200 in the event of a containment pressurization event (e.g. LOCA or reactor scram). In one embodiment, without limitation, the internal fins 221 may be made of steel. Referring to FIGS. 1-15, a plurality of vertical structural support columns 331 may be attached to the exterior surface of the containment vessel shell 204 to help support the diametrically larger top portion 216 of containment vessel 200 which has peripheral sides that are cantilevered (substantially) radially outwards beyond the shell 204. The support columns 331 are spaced circumferentially apart around the perimeter of containment vessel shell 204. In one embodiment, the support columns 331 may be formed of steel hollow structural members, for example without limitation C-shaped members in cross-section (i.e. structural channels), which are welded to the exterior surface of containment vessel shell 204. The two parallel legs of the channels may be vertically welded to the containment vessel shell 204 along the height of each support column 331 using either continuous or intermittent welds such as stitch welds. The support columns 331 extend vertically downwards from and may be welded at their top ends to the bottom/underside of the larger diameter top portion 216 of containment vessel housing the polar crane. The bottom ends of the support columns 331 rest on or are welded to the circumferential rib 222 which engages the base mat 304 of the concrete foundation 301 near the buried portion of the containment. The columns 331 help transfer part of the dead load or weight from the crane and the top portion 216 of the containment vessel 300 down to the foundation. In one embodiment, the hollow space inside the support columns may be filled with concrete (with or without rebar) to help stiffen and further support the dead load or weight. In other possible embodiments, other structural steel shapes including filled or unfilled box beams, I-beams, tubular, angles, etc. may be used. The longitudinal fins 220 may extend farther outwards in a (substantially) radial direction than the support columns 331 which serve a structural role rather than a heat transfer role as the ribs 220. In certain embodiments, the ribs 220 have a (substantially) radial width that is at least twice the (substantially) radial width of support columns. FIGS. 11-15 show various cross sections (both longitudinal and transverse) of containment vessel 200 with equipment shown therein. In one embodiment, the containment vessel 200 may be part of a small modular reactor (SMR) system such as SMR-160 by Holtec International. The equipment may generally include a nuclear reactor vessel 500 disposed in a wet well 504 and defining an interior space housing a nuclear fuel core inside and circulating primary coolant, and a steam generator 502 fluidly coupled to the reactor and circulating a secondary coolant which may form part of a Rankine power generation cycle. Such a system is described for example in PCT International Patent Application No. PCT/US13/66777 filed Oct. 25, 2013, which is incorporated herein by reference in its entirety. Other appurtenances and equipment may be provided to create a complete steam generation system. Auxiliary Heat Dissipation System Referring primarily now to FIGS. 2-3, 16, and 18, the containment vessel 200 may further include an auxiliary heat dissipation system 340 comprising a discrete set or array of heat dissipater ducts 610 (HDD). In one embodiment, the auxiliary heat dissipation system 340 and associated heat dissipater ducts 610 may form part of a passive reactor core cooling system described in further detail below and shown in FIGS. 22 and 23. Heat dissipater ducts 610 include a plurality of internal longitudinal ducts 341 (i.e. flow conduits) circumferentially spaced around the circumference of containment vessel shell 204. Ducts 341 extend vertically parallel to the vertical axis VA and in one embodiment are attached to the interior surface of shell 204. The ducts 341 may be made of metal such as steel and are welded to interior of the shell 204. In one possible configuration, without limitation, the ducts 341 may be comprised of vertically oriented C-shaped structural channels (in cross section) or half-sections of pipe/tube positioned so that the parallel legs of the channels or pipe/tubes are each seam welded to the shell 204 for their entire height to define a sealed vertical flow conduit. The fluid (liquid or steam phase) in the heat dissipater ducts in this embodiment therefore directly contacts the reactor containment vessel 200 to maximize heat transfer through the vessel to the water in the annular reservoir (primary annulus 313) which forms a heat sink for the reactor containment vessel 200 and the heat dissipater ducts. Other suitably shaped and configured heat dissipater ducts 341 may be provided for this type construction so long as the fluid conveyed in the ducts contacts at least a portion of the interior containment vessel shell 204 to transfer heat to the water-filled annulus 313. In other possible but less preferred acceptable embodiments, the heat dissipater ducts 341 may be formed from completely tubular walled flow conduits (e.g. full circumferential tube or pipe sections rather than half sections) which are welded to the interior containment vessel shell 204. In these type constructions, the fluid conveyed in the ducts 341 will transfer heat indirectly to the reactor containment vessel shell 204 through the wall of the ducts first, and then to the water-filled annulus 313. Any suitable number and arrangement of ducts 341 may be provided depending on the heat transfer surface area required for cooling the fluid flowing through the ducts. The ducts 341 may be uniformly or non-uniformly spaced on the interior of the containment vessel shell 204, and in some embodiments grouped clusters of ducts may be circumferentially distributed around the containment vessel. The ducts 341 may have any suitable cross-sectional dimensions depending on the flow rate of fluid carried by the ducts and heat transfer considerations. The open upper and lower ends 341a. 341b of the ducts 341 are each fluidly connected to a common upper inlet ring header 343 and lower outlet ring header 344. The annular shaped ring headers 343, 344 are vertically spaced apart and positioned at suitable elevations on the interior of the containment vessel 200 to maximize the transfer of heat between fluid flowing vertically inside ducts 341 and the shell 204 of the containment vessel in the active heat transfer zone defined by portions of the containment vessel having the external longitudinal fins 220 in the primary annulus 313. To take advantage of the primary water-filled annulus 313 for heat transfer, upper and lower ring headers 343, 344 may each respectively be located on the interior of the containment vessel shell 204 adjacent and near to the top and bottom of the annulus. In one embodiment, the ring headers 343, 344 may each be formed of half-sections of arcuately curved steel pipe as shown which are welded directly to the interior surface of containment vessel shell 204 in the manner shown. In other embodiments, the ring headers 343, 344 may be formed of complete sections of arcuately curved piping supported by and attached to the interior of the shell 204 by any suitable means. In one embodiment, the heat dissipation system 340 is fluidly connected to a source of steam that may be generated from a water mass inside the containment vessel 200 to reject radioactive material decay heat from the reactor core. The containment surface enclosed by the ducts 341 serves as the heat transfer surface to transmit the latent heat of the steam inside the ducts to the shell 204 of the containment vessel 200 for cooling via the external longitudinal fins 220 and water filled annulus 313. In operation, steam enters the inlet ring header 343 and is distributed to the open inlet ends of the ducts 341 penetrating the header. The steam enters the ducts 341 and flows downwards therein along the height of the containment vessel shell 204 interior and undergoes a phase change from steam (vapor) to liquid. The condensed steam drains down by gravity in the ducts and is collected by the lower ring header 344 from which it is returned back to the source of steam also preferably by gravity in one embodiment. It should be noted that no pumps are involved or required in the foregoing process. It will be appreciated that in certain embodiments, more than one set or array of heat dissipater ducts 610 may be provided and arranged on the inside surface of the inner containment vessel 200 within the containment space defined by the vessel. Auxiliary Air Cooling System According to another aspect of the present disclosure, a secondary or backup passive air cooling system 400 is provided to initiate air cooling by natural convection of the containment vessel 200 if, for some reason, the water inventory in the primary annulus 313 were to be depleted during a thermal reactor related event (e.g. LOCA or reactor scram). Referring to FIG. 8, the air cooling system 400 may be comprised of a plurality of vertical inlet air conduits 401 spaced circumferentially around the containment vessel 200 in the primary annulus 313. Each air conduit 401 includes an inlet 402 which penetrates the sidewalls 320 of the containment enclosure structure (CES) 300 and is open to the atmosphere outside to draw in ambient cooling air. Inlets 402 are preferably positioned near the upper end of the containment enclosure structure's sidewalls 320. The air conduits 401 extend vertically downwards inside the annulus 313 and terminate a short distance above the base mat 304 of the foundation (e.g. approximately 1 foot) to allow air to escape from the open bottom ends of the conduits. Using the air conduits 401, a natural convection cooling airflow pathway is established in cooperation with the annulus 313. In the event the cooling water inventory in the primary annulus 313 is depleted by evaporation during a thermal event, air cooling automatically initiates by natural convection as the air inside the annulus will continue to be heated by the containment vessel 200. The heated air rises in the primary annulus 313, passes through the secondary annulus 330, enters the head space 318, and exits the dome 316 of the containment enclosure structure (CES) 300 through the vent 317 (see directional flow arrows, FIG. 8). The rising heated air creates a reduction in air pressure towards the bottom of the primary annulus 313 sufficient to draw in outside ambient downwards through the air conduits 401 thereby creating a natural air circulation pattern which continues to cool the heated containment vessel 200. Advantageously, this passive air cooling system and circulation may continue for an indefinite period of time to cool the containment vessel 200. It should be noted that the primary annulus 313 acts as the ultimate heat sink for the heat generated inside the containment vessel 200. The water in this annular reservoir also acts to maintain the temperature of all crane vertical support columns 331 (described earlier) at essentially the same temperature thus ensuring the levelness of the crane rails (not shown) at all times which are mounted in the larger portion 216 of the containment vessel 200. Operation of the reactor containment system 100 as a heat exchanger will now be briefly described with initial reference to FIG. 19. This figure is a simplified diagrammatic representation of the reactor containment system 100 without all of the appurtenances and structures described herein for clarity in describing the active heat transfer and rejection processes performed by the system. In the event of a loss-of-coolant (LOCA) accident, the high energy fluid or liquid coolant (which may typically be water) spills into the containment environment formed by the containment vessel 200. The liquid flashes instantaneously into steam and the vapor mixes with the air inside the containment and migrates to the inside surface of the containment vessel 200 sidewalls or shell 204 (since the shell of the containment is cooler due the water in the annulus 313). The vapor then condenses on the vertical shell walls by losing its latent heat to the containment structure metal which in turn rejects the heat to the water in the annulus 313 through the longitudinal fins 220 and exposed portions of the shell 204 inside the annulus. The water in the annulus 313 heats up and eventually evaporates forming a vapor which rises in the annulus and leaves the containment enclosure structure (CES) 300 through the secondary annulus 330, head space 318, and finally the vent 317 to atmosphere. As the water reservoir in annulus 313 is located outside the containment vessel environment, in some embodiments the water inventory may be easily replenished using external means if available to compensate for the evaporative loss of water. However, if no replenishment water is provided or available, then the height of the water column in the annulus 313 will begin to drop. As the water level in the annulus 313 drops, the containment vessel 200 also starts to heat the air in the annulus above the water level, thereby rejecting a portion of the heat to the air which rises and is vented from the containment enclosure structure (CES) 300 through vent 317 with the water vapor. When the water level drops sufficiently such that the open bottom ends of the air conduits 401 (see, e.g. FIG. 8) become exposed above the water line, fresh outside ambient air will then be pulled in from the air conduits 401 as described above to initiate a natural convection air circulation pattern that continues cooling the containment vessel 200. In one embodiment, provisions (e.g. water inlet line) are provided through the containment enclosure structure (CES) 300 for water replenishment in the annulus 313 although this is not required to insure adequate heat dissipation. The mass of water inventory in this annular reservoir is sized such that the decay heat produced in the containment vessel 200 has declined sufficiently such that the containment is capable of rejecting all its heat through air cooling alone once the water inventory is depleted. The containment vessel 200 preferably has sufficient heat rejection capability to limit the pressure and temperature of the vapor mix inside the containment vessel (within its design limits) by rejecting the thermal energy rapidly. In the event of a station blackout, the reactor core is forced into a “scram” and the passive core cooling systems will reject the decay heat of the core in the form of steam directed to upper inlet ring header 343 of heat dissipation system 340 already described herein (see, e.g. FIGS. 16 and 18). The steam then flowing downwards through the network of internal longitudinal ducts 341 comes in contact with the containment vessel shell 204 interior surface enclosed within the heat dissipation ducts and condenses by rejecting its latent heat to the containment structure metal, which in turn rejects the heat to the water in the annulus via heat transfer assistance provide by the longitudinal fins 220. The water in the annular reservoir (primary annulus 313) heats up eventually evaporating. The containment vessel 200 rejects the heat to the annulus by sensible heating and then by a combination of evaporation and air cooling, and then further eventually by natural convection air cooling only as described herein. As mentioned above, the reactor containment system 100 is designed and configured so that air cooling alone is sufficient to reject the decay heat once the effective water inventory in annulus 313 is entirely depleted. In both these foregoing scenarios, the heat rejection can continue indefinitely until alternate means are available to bring the plant back online. Not only does the system operate indefinitely, but the operation is entirely passive without the use of any pumps or operator intervention. Passive Reactor Cooling System According to another aspect of the invention, a passive gravity-driven nuclear reactor cooling system is provided to reject the reactor's decay heat during a reactor shutdown (e.g. “scram”) without any reliance on and drawbacks of pumps and motors. In one embodiment, a passive nuclear reactor shutdown cooling system 600 may comprise a submerged bundle cooling system 602 (SBCS) including components generally shown in FIGS. 21-23. The submerged bundle cooling system 602 is preferably a closed loop pressurized flow system comprised of three major parts or sub-systems, namely (i) a submerged bundle heat exchanger 620 (SBHX), (ii) a discrete set or array of heat dissipater ducts 610 (HDD) integrally connected to the inner wall of the containment structure (described in detail above), and (iii) the steam generator 502 with superheater or reactor pressure vessel 500 as further described herein. Steam and condensate flow paths are established between these components as described below. The submerged bundle cooling system 602 is configured to utilize the secondary steam in the steam generator to extract the thermal energy generated by the fuel core in a closed loop process during a reactor shutdown that can continue indefinitely in the absence of a ready source of electric power. Steam generator 502 is more fully described in International PCT Application No. PCT/US13/38289 filed Apr. 25, 2013, which is incorporated herein by reference in its entirety. As described therein and shown in FIGS. 11, 12, and 24 of the present application, the steam generator 502 may be vertically oriented and axially elongated similarly to submerged bundle heat exchanger 620. The steam generator 502 may be comprised of a set of tubular heat exchangers arranged in a vertical stack configured to extract the reactor's decay heat from the primary coolant by gravity-driven passive flow means. The circulation flow loops of primary coolant (liquid water) and secondary coolant (liquid feedwater and steam) through the reactor vessel and steam generator during normal operation of the reactor and power plant with an available electric supply produced by the station turbine-generator (T-G) set is shown in FIG. 24 herein. The primary coolant flow between the fluidly coupled steam generator 502 and reactor vessel 500 forms a first closed flow loop for purposes of the present discussion. In one embodiment, the primary coolant flow is gravity-driven relying on the change in temperature and corresponding density of the coolant as it is heated in the reactor vessel 500 by nuclear fuel core 501, and then cooled in the steam generator 502 as heat is transferred to the secondary coolant loop of the Rankine cycle which drives the turbine-generator set. The pressure head created by the changing different densities of the primary coolant (i.e. hot—lower density and cold—higher density) induces flow or circulation through the reactor vessel-steam generating vessel system as shown by the directional flow arrows. In general with respect to the first closed flow loop, the primary coolant is heated by the nuclear fuel core 501 and flows upwards in riser column 224. The primary coolant from the reactor vessel 500 then flows through the primary coolant fluid coupling 273 between the reactor vessel 500 and steam generator 502 and enters the steam generator. The primary coolant flows upward in the centrally located riser pipe 337 to a pressurizer 380 at the top of the steam generator. The primary coolant reverses direction and flows down through the tube side of the steam generator 502 and returns to the reactor vessel 500 through the fluid coupling 273 where it enters an annular downcomer 222 to complete the primary coolant flow loop. The steam generator 502 may include three vertically stacked heat transfer sections—from bottom up a preheater section 351, steam generator section 352, and superheater section 350 (see, e.g. FIGS. 11, 12, and 24). Secondary coolant flows on the shellside of the steam generator 502 vessel. Secondary coolant in the form of liquid feedwater from the turbine-generator (T-G) set of the Rankine cycle enters the steam generator at the bottom in the preheater section 351 and flows upwards through the steam generator section 352 being converted to steam. The steam flows upwards into the superheater section 350 and reaches superheat conditions. From there, the superheated steam is extracted and flows to the T-G set to produce electric power. Referring now to FIGS. 21-23, the submerged bundle heat exchanger 620 includes a pressure vessel 621 defining a longitudinal axis LA and having a hollow cylindrical shell 625 defining an internal cavity 626 and opposing top and bottom heads 622, 623 on opposite ends 624, 627 of the shell. The heads 622, 623 may be any suitable type and configuration, including flat, spherical, hemi-spherical, etc. Internal cavity 626 extends completely between the top and bottom heads 622, 623. The pressure vessel 621 may be axially elongated in shape and have a vertical orientation in one embodiment as shown to promote gravity flow. Preferably, the heat exchanger 620 is mounted and disposed inside the inner vessel 202 of the containment structure 200 above the reactor vessel 500 and in relatively close proximity to the steam generator 502. The close coupling of the heat exchanger 620 and steam generator 502 minimizes steam and condensate piping run lengths (see also FIGS. 11 and 13) and conserves horizontal space thereby minimizing the diameter needed for the containment vessel 200 to house the reactor vessel 500, steam generator 502, and heat exchanger. Any suitable structural base 650 may be provided to mount and support the heat exchanger 620 from the inner containment vessel 200 preferably from a structural steel and/or concrete platform or floor in the vessel to adequately support the weight of the heat exchanger. A reserve or inventory (i.e. volume) of cooling water W (liquid) is held in the heat exchanger pressure vessel 621 which acts as a heat sink for cooling the secondary coolant during reactor shutdown event, as further described herein. Accordingly, the cooling water W serves as a heat sink of a third coolant which has an initial temperature which is less than the initial temperature of the secondary coolant during a shutdown. The submerged bundle heat exchanger 620 may be a relatively a large cylindrical pressure vessel 621 housing a comparatively smaller heat exchanger tube bundle 630 disposed inside as shown in FIG. 21. In one example, without limitation, pressure vessel 621 may have an outer diameter of approximately 10 feet and a height of approximately 20 feet whereas the tube bundle 630 housed therein may be circular in transverse shape having a diameter of approximately 4 feet and a height less than the height of the pressure vessel. Other suitable dimensions may be provided. Accordingly, the tube bundle 630 in this embodiment does not substantially fill the entire cavity 626 of the pressure vessel 621. Preferably, the tube bundle 630 may be positioned closer to the bottom end 627 and head 623 than the top end 624 and head 622 (see, e.g. FIG. 21). This positioning helps ensure that the tube bundle 630 remains substantially submerged for a majority or preferably all of its height in the inventory of liquid water W stored in the pressure vessel 621. Accordingly, in some embodiments the tube bundle 630 is completely surrounded by and immersed in the liquid condensate on all sides and parts. The tube bundle 630 may be elevated and spaced apart above the bottom head 623 of the heat exchanger pressure vessel 625 to provide a sufficient depth of water beneath the bundle to permit flow beneath the tube bundle on the shellside of the vessel. Any suitable arrangement of structural supports and brackets inside the pressure vessel 625 to fixedly support the tube bundle assembly 630 may be used. Pressure vessel 621 may be made of any suitable metal capable of withstanding the steam and operating pressures anticipated from the steam generator 502. In some embodiments, pressure vessel 621 may be formed of a corrosion resistant material such as without limitation stainless steel. Other corrosion resistant metallic materials may be used. The tube bundle 630 is disposed in cavity 626 of the pressure vessel 621. In one non-limiting configuration, tube bundle 630 assembly may include an inlet flow plenum 631 defining a top tube sheet 632, an outlet flow plenum 633 defining a bottom tube sheet 634 and spaced apart from the top tube sheet, and a plurality of tubes 635 extending between and fluidly coupled to the top and bottom tube sheets. The tube sheets 632, 634 each include a plurality of flow openings 636, 637 respectively which are in fluid communication with the inlet and outlet flow plenums 631, 633 and tubes 635. In operation and description of the flow path, flow enters the inlet flow plenum 631 and through openings 636 into one end of the tubes 635, exits the opposite end of the tubes 635 through openings 637 into outlet plenum 633, and leaves the outlet plenum. In one embodiment, the tubes 635 of tube bundle 630 may be axially elongated and vertically oriented as shown. Other orientations are possible however such as horizontal, and angled between horizontal and vertical. The tubes 635 may have any suitable shape including without limitation straight, curvilinear such as helically coiled (see, e.g. FIG. 21) or another curvilinear configuration, or other appropriate shape. In one preferred embodiment, the tubes may have a curvilinear shape which maximizes available heat transfer surface area without requiring as much height as straight tubes having the same surface area. Any suitable diameter tubes and tube arrangement/pattern may be used. For example, single or multiple rows of tubes 635 may be provided; the number being dependent at least in part on the heat transfer requirements for the heat exchanger 620. In one embodiment, the tube bundle 630 may have a generally circular shape in transverse cross section. Tubes 635 may be formed of any suitable preferably corrosion resistant metal having conductive heat transfer properties suitable for a given application. Some non-limiting examples of the tube materials that may be used include stainless steel, aluminum, titanium, corrosion resistant steel alloys, Inconel®, Monel®, or others. The inlet and outlet flow plenums 631 and 633 each comprise a substantially hollow outer body of any suitable shape forming a pressure boundary and an open interior plenum. The tube sheets 632, 634 may have any suitable thickness and shape in plane including planar and arcuate (e.g. if the plenums are shapes as pipe sections) and in top plan view (e.g. circular for a round cross-sectional tube bundle). The tube sheets and plenums may be formed of any suitable corrosion resistant metal or metal alloy, some examples of which are mentioned above with respect to possible materials for tubes 635. The submerged bundle heat exchanger 620 may variously be fluidly interconnected with and coupled to the steam generator 502, rector vessel 500, and heat dissipater ducts 610 by suitable steam and condensate piping 603 shown in FIGS. 22 and 23. The piping 603 is configured to establish the flow paths shown in these figures. Any suitable type of piping and materials may be used for piping 603 which may depend in part on whether the piping run is for conveying condensate or steam and their associated service temperatures and pressures anticipated. In some embodiments, for example without limitation, the piping preferably may be made of a corrosion resistant metal such as stainless steel or steel alloy. It is well within the ambit of those skilled in the art to select and design appropriate piping and related appurtenances such as valving. Notably, no pumps are involved to establish the flow paths shown in FIGS. 22 and 23 which are gravity driven. Operation of the reactor cooling system 600 will now be briefly described. During the postulated reactor shutdown event such as a station black-out or similar event wherein power generation from the turbo-generator ceases and the normal non-safety active systems are unavailable, the main steam and main feedwater isolation valves (not shown) are first closed to isolate the steam generator 502 from the extra-containment power generation portion of Rankine cycle. Accordingly, the isolation valves shut off steam flow from the steam generator 502 to the turbine-generator (T-G) set and feedwater flow back to the steam generator returned from the T-G set in a well-known manner to those skilled in the art without further elaboration. Excess steam may first be dumped to the atmosphere before closing the main isolation valves. Closing the main isolation valves activates the reactor core cooling system 600. Two potential operating scenarios or methods for employing the cooling system 600 are disclosed and described in further detail below which passively (i.e. without electric power) continue cooling the reactor in the event of a shutdown to remove decay heat using the submerged bundle cooling system 602. In a first operating scenario or method for cooling the reactor shown in FIG. 22, the steam produced in the steam generator 502 on the shellside in the upper half of the steam generator vessel (by residual decay heat generated from the now shut down reactor) is extracted and routed to the submerged bundle heat exchanger 620 where it condenses inside the tubes 635 of the submerged bundle heat exchanger 620 (see also FIG. 21). The condensing steam gives up its latent heat to the volume or inventory of water W (the third coolant) stored in the shellside of the submerged bundle heat exchanger pressure vessel 621 surrounding the tube bundle 630. In one embodiment, the tube bundle 630 may be completely submerged in the inventory of water W inside the heat exchanger 620 so that the water provides the cooling medium on the outside of the tubes 635 for condensing the steam. In one embodiment, the tube bundle 630 preferably may be positioned near the longitudinal axis LA coinciding with the axial centerline of the submerged bundle heat exchanger 620 to evenly surround the tube bundle with water W on all sides to promote uniform cooling of all tubes 635 in bundle. Other mounting positions of the tube bundle however are possible. The inflow of steam and outflow of collected condensate may be controlled and maintained passively by appropriate design of the valving, piping, or other flow control devices (e.g. orifices, etc.) that do not rely on electric or another power source for operation. On the tube side of the heat exchanger tube bundle 630, steam extracted from the steam generator 502 may enter the heat exchanger pressure vessel 621 at any convenient location. In one embodiment, the steam inlet piping 603 may penetrate laterally through the pressure vessel shell 625 and piping may extend inside the heat exchanger pressure vessel 621 to the inlet plenum 631 of tube bundle 630 to which it is fluidly coupled. Other steam inlet locations may be used such as without limitation through the top head 622. The condensate collected in the lower plenum 633 of the tube bundle 630 is then returned to the shellside of the steam generator 502 via piping 603, purely by natural gravity flow. The condensate outlet piping 503 may be located in the general vicinity towards or near the bottom 627 of the heat exchanger pressure vessel 621 and is reintroduced back into the steam generator 502 at an injection point (e.g. preheater 351 section) lower than the extraction point of steam from the steam generator (e.g. superheater section 350) which is supplied to the submerged bundle heat exchanger 620. A second closed flow loop is established between the steam generator 502 and tube side of the submerged bundle heat exchanger 620 (i.e. tube bundle 630). Appropriate piping may be routed inside the pressure vessel 621 between the lower plenum 633 and shell 625 of the vessel which is then coupled to the condensate outlet piping 503 connected to the steam generator 503. With continuing reference to the first operating scenario or method shown FIG. 22, the inventory of water W outside the tubes 635 in the shellside of the submerged bundle heat exchanger pressure vessel 621 (which is fluidly isolated and separated from condensate on the tube side of the tube bundle 630) is heated by condensing steam inside the tube bundle which transfers it heat to the water. The water W acts as a heat sink for cooling the secondary coolant during reactor shutdown event. Accordingly, the water W serves as a third coolant which has an initial temperature that is less than the initial temperature of the secondary coolant during a shutdown. The water W gradually heats up during the reactor shutdown process. After a period of time, the water W reaches the boiling point temperature at which a portion of the water inventory is converted to steam. The steam accumulates in a steam space formed above the water line L in the pressure vessel 621 beneath the top head 622. To cool the inventory of water W (third coolant) which provides the cooling fluid for condensing the secondary coolant steam inside the tube bundle 630, the accumulated steam on the shellside is extracted and routed via suitable piping 603 to the heat dissipater ducts 610 of the auxiliary heat dissipation system 340 described in detail above. The steam flows through the heat dissipater ducts 610 and is condensed in the manner already described. Specifically, the water in the annular reservoir (primary annulus 313) as a temperature lower than the temperature of the third coolant steam to form a heat sink for condensing the third coolant steam which transfers heat to the reservoir. The condensate is then returned to the submerged bundle heat exchanger 620 via suitable piping 603 and enters the shellside of the pressure vessel 621 where it is reintroduced into the inventory of water W. This cooling system helps to substantially maintain the water level keeping the tube bundle 630 submerged in water W beneath the water line L. This system further forms a third closed flow loop of steam and condensate using the heat dissipater ducts 610 to condense the steam which is distinct and isolated from the second closed flow loop formed on the tube side of the submerged bundle heat exchanger 620 and the steam generator 502. In summary, the first and second closed flow loops described herein function to cool the primary coolant and secondary coolant, respectively. The third closed flow loop cools the cooling fluid of the submerged bundle heat exchanger 620 (i.e. heat sink of water formed by inventory of water W) which indirectly contributes to cooling the secondary coolant vis-à-vis the tube bundle 630. In the alternative second operating scenario or method for cooling the reactor shown in FIG. 23, the primary coolant in the reactor vessel 500 is directly cooled by the submerged bundle heat exchanger 620 rather than using the steam continuing to be produced in the steam generator 502 by the reactor decay heat. In this process arrangement, once the steam and feedwater isolation valves are closed, the hot primary coolant from the riser column 224 of the reactor pressure vessel (“hot leg”) is routed via piping 603 directly to the tubeside of tube bundle 630 in the submerged bundle heat exchanger 620 (see FIGS. 23 and 24). The primary coolant will cool by rejecting its heat to the shellside water W in the submerged bundle heat exchanger 620 in a very much similar manner shown in FIG. 22 and described above while flowing downwards inside the tubes 635. A difference being that the primary coolant always remains substantially in liquid state during this entire cooling process and also when circulating through the reactor vessel 500. This cooling creates a natural circulation flow due to the buoyancy head created by the density difference between the hot primary coolant at the inlet to the submerged bundle heat exchanger 620 and the cold primary coolant at the outlet of the heat exchanger. The cooler primary coolant is routed via suitable piping 603 and re-introduced back into the annular downcomer 222 region of the reactor vessel 500 (“cold leg”). The submerged bundle heat exchanger 620 higher elevation with respect to the reactor vessel 500 and the size of the piping 603 that routes the primary coolant to the heat exchanger may be designed to ensure that there is adequate natural circulation flow to reject the heat from the core to the shellside water W in the heat exchanger. In both the first and second methods for cooling the reactor described above, the quantity of water W in cavity 626 of the submerged bundle heat exchanger 620 is preferably sufficient to remove the decay heat from the reactor core (via the primary coolant) through sensible heating of shellside water in the early phase of the postulated reactor shutdown event when the decay heat generation is at its highest. The may be accomplished in part by adequately sizing the storage volume and size of the submerged bundle heat exchanger pressure vessel 621. The operational interaction of the reactor cooling system 600 and air cooling system 400 of the containment structure will be briefly described. As described above, the remainder of the heat not used in condensing steam inside the tube bundle 630 of the heat exchanger 620 leads to the production of steam in the shellside of the heat exchanger by heating the inventory of water W. This shellside steam is routed to the heat dissipater ducts 610 where the steam condenses by rejecting its latent heat to the containment structure (e.g. inner containment vessel 200). The containment vessel 200 rejects the heat to the water in the annulus 313 between the containment structure and the containment enclosure structure 300 (and eventually to the ultimate heat sink or atmosphere) of the passive reactor containment protection system described herein. The condensed steam from the heat dissipater ducts 610 then drains back to a collection manifold (lower outlet ring header 344 shown in FIGS. 16 and 18) which in turn routes the condensate back to the submerged bundle heat exchanger 620 purely by gravity. As the cooling water inventory in the annulus 313 between the inner containment vessel 200 and outer containment enclosure structure 300 evaporates, the exposed inner containment vessel 200 will heat reject heat to the air now occupying the annulus 313 by natural convection. A fresh supply of air is provided by the inlet air conduits 401 (through suction) spaced circumferentially around the containment vessel 200 in the primary annulus 313 (see, e.g. FIG. 16 and foregoing description). Once all the water in the annular 313 has evaporated, the containment vessel 200 will continue to reject heat by air cooling alone. Air cooling after a prolonged period of water cooling (which removes a significant portion of reactor decay heat) is sufficient to remove all the decay heat. Since the submerged bundle cooling system 602 is a closed loop natural flow system, the cooling process can continue indefinitely. It will be appreciated that variations and combinations of the foregoing two methods may be used to passively cool the reactor during a powerless reactor shutdown event. While the foregoing description and drawings represent some example systems, it will be understood that various additions, modifications and substitutions may be made therein without departing from the spirit and scope and range of equivalents of the accompanying claims. In particular, it will be clear to those skilled in the art that the present invention may be embodied in other forms, structures, arrangements, proportions, sizes, and with other elements, materials, and components, without departing from the spirit or essential characteristics thereof. In addition, numerous variations in the methods/processes described herein may be made. One skilled in the art will further appreciate that the invention may be used with many modifications of structure, arrangement, proportions, sizes, materials, and components and otherwise, used in the practice of the invention, which are particularly adapted to specific environments and operative requirements without departing from the principles of the present invention. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being defined by the appended claims and equivalents thereof, and not limited to the foregoing description or embodiments. Rather, the appended claims should be construed broadly, to include other variants and embodiments of the invention, which may be made by those skilled in the art without departing from the scope and range of equivalents of the invention. |
|
055241280 | abstract | A control system for avoiding coupled neutronic-thermal hydraulic instabilities during maneuvering of a boiling water nuclear reactor that determines the average elevation in the reactor core of the coolant boiling boundary, and avoids instabilities by maintaining the core average coolant boiling boundary elevation above a target value, which is dependent on reactor design specifics but may be about four feet, in core power-flow operating regions which are subject to instabilities. The system may be implemented without physical modification of existing reactors or reactor instrumentation and control systems through use of the reactor core monitoring computer, which typically has available all core parameters necessary for the computations required in the invention. In a preferred embodiment, the control system is implemented by calculating the ratio of core power generated below the target elevation to the core power required for coolant saturation, and controlling the reactor so that the ratio is less than one. |
056489960 | description | DETAILED DESCRIPTION OF THE INVENTION FIG. 1 shows a preferred embodiment of a tangential CT scanner system in accordance with the present invention. The system has a penetrating energy source 1. For example, a suitable x-ray source is in the 300 kV to 450 kV output range such as the Pantak 320 kV high frequency constant potential x-ray tube. As shown in FIG. 2, the system includes a plurality of detectors 3, generally x-ray detectors, positioned in a linear or arcuate arrangement that functions as a detector array 2. As depicted, the detector array 2 shown has about 160 solid state x-ray detectors. When activated, the penetrating energy source 1 emits radiated energy that passes through an object 6 to be scanned, depicted in FIGS. 1, 2 and 5 as a simple cylinder. The radiated energy is received by the detector array 2, forming a planar fan-shaped beam of penetrating energy or fan-beam 5. The detectors 3 measure the intensity of the fan-beam 5 as received. The fan-beam 5 is attenuated as it passes through various features of the object 6. The varying intensities of radiation are registered by the detectors 3 and define the features of the object 6 as it is scanned. The tangential CT scanner is ideally suited for analysis of circular type objects 6, such as rocket motors or barrels of toxic or nuclear waste. It should be understood that a multiplicity of objects such as pistons and turbines can also be analyzed with the tangential CT scanner. In addition, other types of noncylindrical objects can also be scanned. With respect to rocket motors, manufacturers need to analyze the rocket fuel and other sections of the interior of the motor, without destroying the motor. Analysis of the rocket motor fuel for unbond defects, voids and inclusions is critical as any defect or impurity can cause failure of the rocket motor or result in the payload arriving at the wrong destination. For example, unbond defects are a problem because they are very difficult to detect, as they are very narrow and lie along the inner surface of the rocket motor. Indeed, normal radiographic methods cannot detect them. Accordingly, it is common in the industry to use tangential film radiographic methods to detect such defects. Using this method, an object is interposed between a film and an x-ray source, which penetrates the object. When the film and x-ray are parallel to the defect, it appears in the film. Because only a limited number of tangential film radiographs can be taken, however, unbond defects can be missed. Failures initiated by such defects can be catastrophic. For a simultaneous CT scan of the entire volume of the object 6, the entire object 6 must fit within the beam 5, as shown in FIG. 3. When the entire object 6 fits within the x-ray beam 5, the tangential CT scanner system simultaneously collects CT cross-sectional data for all slices. In essence, the height or length of the object 6 must lie within the beam 5 of penetrating energy for simultaneous scanning of the entire volume of the object 6 as shown in FIG. 3. The fan-beam 5 is defined by the length of the array 2 (L) and the angle .phi.. Therefore, the size of the object 6 to be scanned dictates both the length of the array (L) 2, which can be varied by increasing or decreasing the distance between each detector 3, and the distance (X) between the array 2 and the energy source 1. These parameters, in turn, control the size of the angle .phi.. In an exemplary embodiment, 160 detectors 3 are used in the detector array 2 and can accommodate a ten inch long rocket motor. The number of detectors 3 and the space between them does not dictate, however, the level of geometrical resolution of the displayed image. Rather, resolution is a function of rotation and translation speeds. The number of detectors 3 only determines the number of cross-sectional CT slices. Several types of scanning are available. For example, the object 6 can be translated relative to the energy beam 5 without concurrent rotation. This type of scan generates a radiographic image of the object 6. Conversely, the object 6 can be rotated relative to the energy beam 5 without concurrent translation. This type of scan provides a single tangential layer of the object 6. Finally, to obtain full volume data, the object 6 is simultaneously rotated and translated relative to the energy beam 5. When the scanner is activated to collect full volume data, a drive device 70 rapidly rotates the object 6 to be scanned about an axis 8 parallel to the orientation of the detector array 2. Typically, the objects 6 to be scanned are cylindrical in nature, such as rocket motors or drums holding nuclear or toxic waste. The speed of rotation can be varied, depending on the degree of resolution required, but is generally about 10-100 rpm. In a gantry embodiment, used for scanning circular objects 6 as shown in FIGS. 1 and 2, the object 6 is rotated about its own cylindrical axis 8, or axis of symmetry, and is supported by two rollers 9 and 10; a drive roller 9 that induces rotation, and a recorder roller 10 that has an encoder 19, such as the Dynapar encoder, Model No. M2005001003107. In an exemplary embodiment, the drive roller 9 is connected to a servo-motor 60 with a timing belt 45. The encoder 19 tracks the azimuthal location of the object 6 as it rotates. As data points are recorded, the position of the object 6 is recorded and transmitted to a computer 23. The azimuthal location of the object 6 provides the exact path of the x-ray beam 5 through the object 6 at any given time. This information is used in analyzing the data. For example, it can provide the exact location of any flaw or defect found by the tangential scanning system. When the data from the tangential CT scanner is used for reconstructing cross-sectional CT images, the azimuthal location information is used, during the back projection, for proper image reconstruction. Alternatively, FIG. 6 shows an embodiment where the drive device consists of a conveyor system 18 and a turntable 20 mounted on the conveyor system 18. The object 6 is supported by the turntable 20, which rotates the object 6 within the energy fan-beam 5. The rotating object 6 is then translated through the fan-beam 5 by the conveyor system 18. With such an embodiment, the conveyor system 18 is marked with positioning markings for detection by encoders that read the markings. The encoder allows the computer to record the exact position for each reading. Similarly, the rotational device, or turntable 20, has markings which can be recorded by the computer for each data point reading. The encoder on the rotational turntable 20 marks the exact azimuthal location of the object 6. Again, these encoder readings are useful for locating the flaw in the object and for back projection of cross-sectional CT slice image reconstruction. When using the gantry embodiment as shown in FIGS. 1 and 2, the fan-beam 5 is translated past the rotating object 6 by moving the detector array 2 relative to the spinning object 6 as shown in FIGS. 1 and 4. Typically, the rate of translation is in the range of about 1 inch/minute to 10 inches/minute. The location of the object 6 is noted for each data point reading by recording the exact position of the array 2 as it rotates about the object 6. Alternatively, the object can be translated in a direction perpendicular to the plane defined by the beam of penetrating energy. Translation can be either linear or arcuate. In either case, the position of the object 6 relative to the beam 5 is recorded for each data point reading. An example of arcuate translation is shown in FIGS. 1 and 4, where the detector array 2 is slowly rotated about a focal point 13 containing the energy source 1. An arm 12, depicted as a C-shaped arm, is attached at one end to a frame 17 at the focal point 13. The detector array 2 is connected to the other end of the arm 12. An actuator 14 is attached to a midpoint of the arm 12 and to a first support bracket 50 at pivot point 15. The first support bracket 50 is mounted on the frame 17. A support arm 40 also interconnects the arm 12 and a second support bracket 52 mounted on the frame 17. As the actuator 14 extends or retracts, it rotates about the pivot point 15 and causes the arm 12 to rotate about the focal point 13. Thus, the fan-beam 5 is translated through the object 6. Translation of the fan-beam 5 is in a direction perpendicular to the plane of the fan-beam 5 as shown in FIG. 4. As it rotates, the fan-beam 5, formed between the energy source 1 and the detectors 3, forms a tangential path in the object 6. As the object 6 is translated through the beam 5, the diameter of the tangential path is varied. The detectors 3 measure the energy intensity through all possible tangents of the object 6 as the fan-beam 5 is moved across the diameter of the object 6. For example, in FIG. 4, the fan-beam 5 is shown tangentially passing through the object 6 at radii R1, R2 and R3. Because the object 6 simultaneously rotates and translates through the fan-beam 5, data defining the object 6 is collected in a spiral form. By analogy, the object 6 resembles a roll of paper towels being unrolled a single layer at a time. Data is typically collected at a rate of about 100,000 to 500,000 readings/second. For a typical 180 degree scan, the translation involves placing the fan-beam 5 at a tangent to the object's outermost diameter and passing the fan-beam 5 through the object 6 to the center of the object 6 or until the entire radius (R) of the object 6 is covered by the tangential path as shown in FIG. 4. A 360 degree scan requires scanning from the outer diameter of the object 6 to the other outer diameter, or until the full diameter (D) of the object 6 is covered by the tangential path. Alternatively, the object 6 can be scanned from a predetermined diameter to another predetermined diameter. With this invention, therefore, the collection of extraneous data is avoided with all types of scans, including the 180 degree and the 360 degree scan. In contrast, third and fourth generation scanner systems collect useless data when the diameter of the object is less than the size of the x-ray fan-beam. Because the tangential CT scanner's beam 5 is started at the object's outer diameter, and stopped when it reaches either the center, the opposite end of the diameter or any other predetermined diameter, no extraneous data is generated or collected. As the fan-beam 5 traverses the rotating object 6, each detector 3 collects data for one cross-sectional slice of the object 6. A cross-section slice is defined as that area being scanned by one detector 3 and is typically an area lying perpendicular to the axis of rotation 8 and the plane of the beam 5. Therefore, the data collected for each cross-section is collected by a single detector 3. Because only one detector 3 is utilized for each cross-sectional slice, the tangential scanner does not produce any artifacts due to detector variations. Furthermore, as the fan-beam 5 passes over the object 6, all detectors 3 in the detector array 2 simultaneously collect data for a different cross-sectional slices at their respective levels. Therefore, data is collected for the entire volume of the object 6 with only one translational pass. This data can be reconstructed later by computer software into a variety of images representing the interior of the object. To obtain better resolution of the images generated from the collected data, the rotation and translation speeds can be varied to increase the number of rays collected. Rays are defined as the number of data points collected across the diameter of the object 6. The views represent the number of directions from which the data points are collected through the object 6. The number of rays and views determine the ultimate resolution of the reconstructed cross-sectional image. In the tangential scanner system, the number of rays in the data set is equal to the number of rotations experienced during the data collection. Accordingly, as the number of rays, i.e. rotations, is increased, better spatial resolution is achieved. Conversely, the number of rotations can be limited to reduce overall scanning time. Resolution is also dictated by the number of data points or views collected during any single rotation. The more points collected, the better the spatial resolution. Again, the rotation and translation speeds can be altered to permit more or less data point readings. In essence, the tangential system can collect data with any number of rays and views simply by varying the rotation and translation speed parameters, or data collection rate. There is no limitation, therefore, to the number of rays or views in the collected data sets that are used to reconstruct the object displays or images. A system computer 23 is used to collect the data from each detector 3 in the array 2. The computer 23 responds to the resulting signals from the detectors 3 to construct a tomographic x-ray image of the object 6. The computer system 23 communicates with the detector array 3 through communication links 30. For a meaningful display of the tangential data set, the entire data set is organized in the computer system's memory. In an exemplary method for data collection, the tangential data is organized for each successive layer in the object 6. First, a two dimensional plane of data is generated. The number of detectors 3 in the detector array 2 represent one axis of this data plane and the number of data points taken through one 360 degree rotation represents the other axis of the plane, as shown in FIGS. 5 and 6. Many such data planes are generated, where each data plane represents one rotation of the object 6. These data planes are then stacked one over the other for successive rotations (layers) of the object 6 to organize a cube of data, or data cube 80, in the computer memory as shown in FIG. 5. A layer of data is made up of a tangential data sets collected at successively different depth levels in the object 6. Data collected and stored in this manner can be utilized in a variety of ways for visually displaying computer generated images for data analysis. Typically, a processor 16 equipped with software or logic, reconstructs the data into three types of images on a computer screen 65, or display unit such as a CRT. The three modes of display correspond to viewing the image of the data cube 80 through three perpendicular planes. In the first mode, the system displays the tangential planes, as they were stacked originally. In the second mode, various vertical cuts through the data cube are displayed. This mode shows the entire data collected by a single detector 3. The data in this mode is similar to the sinogram data from any conventional CT scanner system. This data can be used to reconstruct the cross-sectional image of CT slices. The data from each detector 3 represents one CT slice. In the third mode, various horizontal cuts through the data cube 80 are displayed. This mode show the entire data set collected through a single orientation of the object 6 and it is equivalent to a radiographic view of the object 6. Many such radiographic views are available through various orientations of the object. The first mode, or the tangent display, is equivalent to unrolling a roll of paper one layer at a time. Each unrolled sheet can be individually displayed. Data displayed in this mode is very sensitive to defects located parallel to the surface of the object 6 such as unbond defects in rocket motor casings. Within a single layer of the tangent data, features of the object 6, such as unbond defects, will show up once in the data when the x-ray beam 5 is exactly at the tangent of the defect. The same feature shows up twice in the display when the x-ray beam is inside the "object" circle, i.e., once when the defect is towards the x-ray tube and again when it is towards the detector side. In the second mode, the data is displayed in the form of sinograms. In this mode, the computer 23 displays the data for an individual slice of each cross-section of the object 6. Each sinogram display is created from data points gathered by a single detector 3. The individual sinogram from each detector 3 is a complete set of raw data which can be used to reconstruct individual CT slices. Therefore, the number of possible sinogram displays is directly correlated to the number of detectors 3. Because each sinogram display is organized from data gathered by one detector 3, the sinogram display does not contain any artifacts. A sinogram has an amplitude and a phase corresponding, respectively, to the radial and azimuthal locations of the feature being displayed. The intensity of the sinogram curve demonstrates the size of the feature being analyzed. The third and final mode for displaying the data is the radiographic display. Such a display shows the data collected in a plane cutting the data cube 80 along a fixed azimuthal angle, or the data corresponding to the image of the object without rotation. Successive similar cross sections show digital radiographs of the object 6 as it rotates around its axis. The radiographic display is helpful in extracting the location and intensity of the features. Together, all three display modes provide a better understanding of the feature being evaluated. Although the present invention has been described with reference to preferred embodiments, those skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the invention. As such, it is intended that the foregoing detailed description be regarded as illustrative rather than limiting and that it is the appended claims, including all equivalents thereof, which are intended to define the scope of the invention. |
056663960 | claims | 1. An X-ray examination apparatus comprising an X-ray source, an X-ray detector, and an X-ray filter which is arranged between the X-ray source and the X-ray detector, which X-ray filter comprises a plurality of filter elements (5) having an X-ray absorptivity which can be adjusted by controlling a quantity of X-ray absorbing liquid (6) within the individual filter elements, characterized in that the X-ray examination apparatus comprises an adjusting unit for applying an electric voltage to the individual filter elements, which adjusting unit comprises a timer unit for controlling the period of time during which the electric voltage is applied to the filter elements. electric voltages are applied to individual filter elements, and that the quantity of X-ray absorbing liquid within individual filter elements is controlled on the basis of the period of time during which the electric voltage is applied to the individual filter elements. 2. An X-ray examination apparatus as claimed in claim 1, characterized in that the timer unit is arranged to apply the electric voltage to individual groups of filter elements during a continuous period of said controllable duration. 3. An X-ray examination apparatus as claimed in claim 1, characterized in that the timer unit is arranged to apply the electric voltage alternately to individual groups of filter elements, repeatedly during separate sub-periods. 4. A method of adjusting an X-ray examination apparatus, comprising the adjustment of the X-ray absorptivity of filter elements of an X-ray filter by controlling a quantity of X-ray absorbing liquid within the individual filter elements, characterized in that 5. A method as claimed in claim 4, characterized in that the electric voltages are applied to individual groups of filter elements during a continuous period of said duration. 6. A method as claimed in claim 4, characterized in that the electric voltage is applied alternately to individual groups of filter elements, repeatedly during separate sub-periods. |
abstract | A two-dimensional X-ray scanner that includes a beam steerer for steering an electron beam to impinge upon a target; and a collimator further including an aperture adapted for travel in an aperture travel path for rotating the X-ray beam plane spanned by the electron beam impinging upon the target along a focal track for emitting a scanning X-ray beam. |
|
054024544 | claims | 1. A process for obtaining a sample from an atmosphere in a closed gastight vessel, which comprises: passing a sample through a venturi nozzle immediately upon entry of the sample into a sample-taking container in a vessel; mixing the sample in the venturi nozzle with a transport fluid serving as a washing liquid; and subsequently discharging gaseous constituents of the sample being soluble and/or condensable in the washing liquid together with the washing liquid from the sample-taking container and from the vessel by triggering by a pressure reduction. 2. The process according to claim 1, which comprises discharging the gaseous constituents and the washing liquid from a reactor safety vessel of a nuclear power station. 3. The process according to claim 1, which comprises flushing an inlet channel through which the sample flows before reaching the venturi nozzle with the washing liquid contained in the sample-taking container, before an assessment of the sample. 4. The process according to claim 1, which comprises setting a velocity of flow of the sample in the venturi nozzle to be slightly below a critical nozzle velocity, as long as no condensation of the sample occurs in the washing liquid, increasing the velocity of flow to the critical nozzle velocity as soon as the sample at least partially condenses in the washing liquid, and operating the sample-taking container under conditions of pressure and temperature prevailing in the vessel. 5. The process according to claim 4, which comprises setting the velocity of flow of the sample in the venturi nozzle to be 10% to 30% below the critical nozzle velocity. 6. The process according to claim 1, which comprises reacting gaseous constituents of the sample and of the transport fluid chemically with one another. 7. The process according to claim 3, which comprises varying a level height of the washing liquid by pressure changes in the transport fluid, and raising the washing liquid at least once after the sample has flowed in to a height of an inlet opening at a free end of the inlet channel for the sample. 8. The process according to claim 3, which comprises carrying out the step of varying the level height of the washing liquid in the inlet channel. 9. The process according to claim 1, which comprises adjusting a difference in pressure between an atmosphere in the vessel and an interior of the sample-taking container to up to 5000 hPa. 10. The process according to claim 1, which comprises adjusting a temperature of the washing liquid at the beginning of a sample taking process to be slightly lower than that of an atmosphere in the vessel. 11. The process according to claim 1, which comprises retaining elementary organic iodine, CO, CO.sub.2 and other gas from the sample in the washing liquid, by inactive iodine additions and variation of the pH value of the washing liquid. 12. The process according to claim 1, which comprises diluting the washing liquid after being drawn off by suction from the sample-taking container, until the radioactivity of the sample is lower than 10.sup.9 Bq/m.sup.2. 13. The process according to claim 1, which comprises separating the sample before its assessment into gaseous constituents and washing liquid containing other parts of the sample, and drawing off the sample by suction through a throttle working in the laval velocity range, through a water separator and into a vacuum vessel. 14. The process according to claim 1, which comprises distributing each individual sample over a plurality of transport containers for transport purposes. 15. The process according to claim 3, which comprises subjecting the sample-taking container to superatmospheric pressure until a bursting disk at a free end of the inlet channel breaks, to initiate obtaining a sample. 16. The process according to claim 15, which comprises carrying out the step of subjecting the sample-taking container to superatmospheric pressure by introducing nitrogen. |
claims | 1. A nuclear component chosen from a nuclear fuel cladding, a spacer grid, a guide tube, a plate fuel or an absorber rod, comprising:i) a support containing a substrate based on a metal chosen from zirconium, titanium, vanadium, molybdenum or base alloys thereof (1), the substrate (1) being coated with an interposed layer (3) placed between the substrate (1) and at least one protective layer (2);ii) said at least one protective layer (2) coating said interposed layer and composed of a protective material comprising chromium which is an amorphous chromium carbide, wherein the interposed layer (3) comprises at least one interposed material chosen from tantalum, molybdenum, tungsten, niobium, vanadium, alloys thereof, a titanium carbonitride, a mixed titanium silicon nitride, a mixed titanium silicon carbide, a mixed titanium silicon carbonitride, a mixed titanium aluminum nitride, or mixtures thereof. 2. Nuclear component according to claim 1, wherein the nuclear component further comprises a liner (4) placed on the inner surface of said support, the inner surface of said support being the surface opposite to the medium that is external to the nuclear component. 3. Nuclear component according to claim 1, wherein said at least one protective layer (2) is an outer protective layer (2A) which coats the outer surface of said interposed layer which is the surface of said interposed layer facing the medium that is external to the nuclear component; and/or, when the nuclear component comprises an inner volume, an inner protective layer (2B) which coats the inner surface of said support. 4. Nuclear component according to claim 2, wherein the material of which the liner (4) is composed comprises a titanium nitride, a titanium carbonitride, a mixed titanium silicon nitride, a mixed titanium silicon carbide, a mixed titanium silicon carbonitride, a mixed titanium aluminum nitride, or mixtures thereof. 5. Nuclear component according to claim 1, wherein the protective material is doped with an addition element chosen from yttrium, aluminum, vanadium, niobium, molybdenum, tungsten, or mixtures thereof. 6. Nuclear component according to claim 5, wherein the protective material comprises the addition element in a content of from 1 atom % to 10 atom %. 7. Nuclear component according to claim 1, wherein the nuclear component comprises:a zirconium-based substrate (1) and a protective layer (2) comprising amorphous chromium carbide, or;a molybdenum-based substrate (1) and a protective layer (2) comprising amorphous chromium carbide. 8. Nuclear component according to claim 1, wherein said at least one protective layer (2) has a composition gradient. 9. Nuclear component according to claim 1, wherein said at least one protective layer (2) has an equiaxed structure. 10. Nuclear component according to claim 1, wherein said at least one protective layer (2) has a density comprised between 90% and 100% of the density in solid form of the stable metallic chromium of centered cubic crystallographic structure according to the Im-3m space group. 11. Nuclear component according to claim 1, wherein several protective layers of identical or different composition form, respectively, a homogeneous multilayer protective coating or a heterogeneous multilayer protective coating. 12. Nuclear component according to claim 11, wherein the heterogeneous multilayer protective coating comprises protective layers composed of:chromium and amorphous chromium carbide, oramorphous chromium carbide and chromium nitride, orchromium, amorphous chromium carbide and chromium nitride, ormixed chromium silicon carbide and amorphous chromium carbide, ormixed chromium silicon nitride and amorphous chromium carbide. 13. The nuclear component according to claim 2, wherein said at least one protective layer (2) is an outer protective layer (2A) which coats the outer surface of said interposed layer which is the surface of said interposed layer facing the medium that is external to the nuclear component; and/or, when the nuclear component comprises an inner volume, an inner protective layer (2B) which coats the inner surface of said support coated with the liner (4), the liner (4) being positioned between the inner protective layer (2B) and the substrate (1). |
|
abstract | An apparatus for improving the tomographic image quality by preventing the tomographic image contrast from degrading and preventing artifact from occurring even if many scattered radiations occur. An X-ray detection array obtains first detection data using the X-ray detection elements corresponding to an area not shielded by a collimator. Further, the X-ray detection array obtains second detection data using the X-ray detection elements corresponding to an area shielded by the collimator. A central processing unit corrects the first detection data based on the detection data including the first and second detection data. Finally, the central processing unit generates a tomographic image for an imaging area of the imaging object. |
|
description | The invention relates to a control module arrangement for a compressed air maintenance unit, which is constructed like a battery and which has several maintenance modules in a row one next to the other, such as, e.g., pressure regulators, filters, oilers, or the like, wherein the control module arrangement has a bus communications means for communicating with the maintenance modules via an internal bus of the maintenance unit. The invention further relates to a compressed air maintenance unit with several maintenance modules in a row one next to the other and such a control module arrangement. Such a control module arrangement or compressed air maintenance unit is known, for example, from EP 1 400 702 A2. In the known compressed air maintenance unit, there is an internal bus through which maintenance modules or a control module for controlling the compressed air maintenance unit as well as other valve modules of the maintenance unit communicate. Input/output modules, SPS modules, or the like can also be connected to the bus system. The known control module arrangement is used for local diagnosis of the maintenance unit or the valve modules, which are added to the maintenance modules like a battery. Parts of the maintenance modules and/or the valves of the valve arrangement have sensors or diagnostic means, especially pressure sensors, whose sensor signals are transmitted via the internal bus to the control module. The control module has a field bus interface, by means of which an access to diagnostics on the part of an external control center is possible, which is used for controlling and monitoring the compressed air maintenance unit as well as the valves connected to this unit. However, the diagnostic capabilities of the known compressed air maintenance unit are limited to the compressed air maintenance unit itself. Therefore, the problem of the present invention is to expand the diagnostic functionality of the control module arrangement or the compressed air maintenance unit explained in the introduction. To solve the problem, in a control module arrangement of the type named above, the invention provides that it has a diagnostic means for communicating with an input/output device of the maintenance unit, wherein the input/output device has a connection means for connecting one or more of the diagnostic sensors separate from the maintenance unit and/or one or more pneumatic compressed air influencing actuators separate from the maintenance unit, wherein the control module arrangement can control, via the input/output device, the one or more compressed air influencing actuators and/or which can receive diagnostic messages from the one or more separate diagnostic sensors; and that the diagnostic means are configured for generating diagnostic data for a higher-order diagnostic device for diagnosing at least one function of the compressed air maintenance unit with reference to diagnostic messages of the one or more separate diagnostic sensors and/or the maintenance modules, so that the compressed air maintenance unit forms a diagnostic node. The compressed air maintenance unit according to the invention is equipped with such a control module arrangement. The control module arrangement or the compressed air maintenance unit according to the invention can be constructed into a diagnostic node by connecting additional external diagnostic sensors or diagnostic actuators. The diagnostic functions are no longer limited to the board-specific sensors or actuators of the compressed air maintenance unit. Instead, additional diagnostic sensors or compressed air influencing actuators separate from the compressed air maintenance unit can be connected to the compressed air maintenance unit. Such sensors are, for example, flow rate sensors, moisture sensors, particle sensors, pressure sensors, position sensors, or the like. The one or more compressed air influencing actuators can be, for example, actuators for influencing the pressure and/or flow of the compressed air, e.g., a pneumatic valve, a pneumatic regulator, or the like. The external diagnostic sensors or compressed air influencing actuators are preferably allocated to one component, which is supplied with compressed air by the maintenance unit. Such a component is, for example, another lower-level maintenance unit, a valve battery, or the like. The diagnostic sensors or compressed air influencing actuators preferably form one part of the component. The control module arrangement preferably has a control means for controlling the maintenance modules and/or the compressed air influencing actuators, which evaluate the diagnostic messages of the separate diagnostic sensors and/or the maintenance modules. It is also possible for the control means to evaluate the diagnostic data for controlling the maintenance modules or the compressed air influencing actuators, which are formed with reference to the diagnostic messages. The input/output device can communicate with the diagnostic means via a proprietary connection or via the internal bus. Especially preferred is for the input/output device to be configured as a module of the compressed air maintenance unit, an input/output module, so to speak. However, it is also possible for the input/output device to be a component of the control module arrangement according to the invention. In the formation of the diagnostic data, the diagnostic means perform the following preferred measures. For example, they link diagnostic messages with reference to one or more logic conditions. The term linking is understood to mean, for example, the formation of relationships, for example, forming the ratio of two or more pressure measurement values, a ratio between a compressed measurement value and a flow rate measurement value, or the like. A logic condition can also be, for example, that when a limiting value is exceeded, measurement values, e.g., pressure measurement values, are transmitted at a higher or lower transmission frequency. Data reduction is also advantageous in the formation of the diagnostic data. For example, the diagnostic means do not transmit every diagnostic message, but instead maximum values, minimum values, the exceeding of threshold values, or the like within the framework of the diagnostic data. The diagnostic data advantageously have a format that is viewable through an Internet browser. They are written, e.g., in a page description language. It is useful if the connection means of the input/output device are configured for connecting a fluid hose to at least one especially electric signal conductor for connecting to at least one diagnostic sensor or the compressed air influencing actuator. In this way, an especially simple connection is possible both in terms of fluids and also in terms of electricity. It is understood that the hose can also contain an optical fiber, to which the connection means can be connected. Advantageously, the control module arrangement according to the invention is equipped with a control means, e.g., a memory programmable control, for controlling the maintenance modules and optionally also other modules, for example, valve modules. For communicating with a higher-order control device or the higher-order diagnostic device, it is useful if there are first and second communications means that are separate from each other. It is useful if the first communication means is used for connecting to a kind of control bus and/or control network, which is also used for controlling and monitoring other components, for example, valve batteries, pressure maintenance units, or the like. The second communications means is used for connecting to a diagnostic bus or to a diagnostic network. So-called central diagnostics of the lower-level, connected devices, for example, of the compressed air maintenance unit according to the invention, are performed at the higher-order diagnostics device. The diagnostics device enables, for example, a graphic display of the compressed air maintenance unit, the graphic display of consumption curves, of measurement value-time diagrams, operand values, e.g., parameters, connection assignments, etc., or other diagnostic data. The control module arrangement preferably operates the first communications means before the second communications means in order of priority. The first communications means are, for example, real-time capable, while the second communications means feature a greater transmission bandwidth than the first communications means. In this way, the controllability by means of the first communications means is guaranteed. For example, the control module arrangement transmits fewer diagnostic messages via the first communications means than via the second communications means. For example, system critical diagnostic messages are output by the first communications means. So-called “normal” messages, for example, cyclical measurement values or the like, are also transmitted through the second communications means. Furthermore, e.g., downloading and/or uploading of parameters and/or test programs and/or other software is preferably performed on the second communications means. It is useful if the parameters for transmitting diagnostic data with the first and/or the second communications means can be set. Preferably, first transmission parameters can be set for the first communications means and second transmission parameters can be set for the second communications means in the appropriate first and second communications means. However, it is also possible for the appropriate transmission parameters for diagnostic data to be set at the first, i.e. the control communications means for both the first and also the second communications means. It is useful if the control module arrangement can be controlled essentially via the first communications means. The second communications means advantageously includes a receiving block for preset control commands for controlling the compressed air maintenance unit. Thus, it is prevented that control commands are received at the second communications means provided for diagnostic purposes and are evaluated by the control module arrangement. The first or second communications means advantageously have a bus interface, for example, a field bus interface, an Ethernet interface, or the like. Especially in the second diagnostic communications means, wireless communication, e.g., via wireless LAN, Bluetooth, or the like, is preferred. It is useful if the control module arrangement is implemented using a modular design, in which a control module contains control means for controlling the maintenance modules and a diagnostic module contains the diagnostic means. It is understood that a combination solution is also possible, in which the control means and diagnostic means are unified in one module. In the modular solution, it is useful if the control module and the diagnostic module communicate via the internal bus of the compressed air maintenance unit. Advantageously, the first communications means provided for control purposes are allocated to the control module and the second communications equipped for diagnostic purposes are allocated to the diagnostic module. Furthermore, it is advantageous to provide a control device interface, by means of which the control module arrangement or the compressed air maintenance unit can be operated and/or parameterized locally. Advantageously, a local visualization device, for example, for displaying diagnostic data or the like, can also be connected to the control device interface. Embodiments of the invention are explained below with reference to the drawings. In a pneumatic system 10 according to FIG. 1, a control device 11 controls a valve battery 13, a compressed air maintenance unit 14, as well as possibly other, not-shown pneumatic functional units, via a control network 12. The compressed air maintenance unit 14 supplies the valve battery 13, as well as a valve battery 15, which forms a component of the compressed air maintenance unit 14, with compressed air from a compressed air source 16, which prepares, for example, filters, oils, or the like the compressed air maintenance unit 14. The control device 11 is, for example, a memory programmable control, which transmits control commands 19 to the compressed air maintenance unit 14 or to its valve battery 15, as well as to the valve battery 13. In the compressed air maintenance unit 14, a control module arrangement 20 evaluates the control commands 19 with a control module 21 and a diagnostic module 22 for controlling maintenance modules 23, 24, 25, as well as valve modules 26, 27, and other valve modules 28 of the valve battery 15. The maintenance modules 23, 24, 25 contain, for example, a start-up valve module, a filter module, a pressure regulator module, an oiler module, or the like. The valve modules 26, 27, 28 are used for controlling pneumatic actuators, for example, a gripper 29 and a pneumatic actuator 30, e.g., a linear drive, for example, for gripping and manipulating an object 31. The valve modules 26, 27 apply prepared compressed air to the actuator 30 or the gripper 29 through the maintenance modules 23 to 25 in order to activate these devices. The maintenance unit 14 supplies the valve battery 13 with prepared compressed air via a compressed air line 32. In the valve battery 13, a local control device 33 controls valve modules 34 with reference to the control commands 19. The valve modules 34 act on not-shown pneumatic actuators with compressed air from the compressed air line 32. The control module arrangement 20 or the maintenance unit 14 forms a diagnostic node within the diagnostic network 17, e.g., an Ethernet, Profinet, Modbus TCP network or the like. Another advantageous property is that first and second communications means 35, 36 are provided in the compressed air maintenance unit 14 or the control module arrangement 20, so that separate communications means are provided for control purposes and diagnostic purposes. In the control module arrangement 20, the division of diagnostics and control tasks is also improved in that there are separate modules dedicated for control tasks and diagnostic tasks, namely the control module 21 and the diagnostic module 22. The control module 21 is not burdened with diagnostic tasks. Nevertheless, it is advantageous for the control module 21 to report predetermined, especially system critical diagnostic messages via the control network 12, for example limiting value exceeding messages, alarm messages, or the like. The modules of the maintenance unit 14 comprising, that is, for example, the control module arrangement 20 and the maintenance modules 23, 24, 25, communicate via an internal bus 37 of the maintenance unit 24. The bus 37 can be a proprietary bus. However, it is also possible for the bus 37 to be constructed as a field bus, an Ethernet bus, or the like. By means of the bus 37, the modules of the maintenance unit 14 can transmit and receive messages or control commands, wherein, for example, the diagnostic module 22 receives diagnostic messages from the maintenance modules 23, 24, 25 and the control module 21 transmits control commands to the maintenance modules 23, 24, 25, for example, start-up commands, dosing commands for an amount of oil to be dosed, or the like. The maintenance modules 23, 24, 25 include, for example, the controller 38 for communicating with the bus 37 as well as for control tasks. Some parts of the maintenance modules 23 to 25 have input/output means 39, for example, operating buttons, lamp displays, or the like. Furthermore, input/output modules 40, 41 are connected to the internal bus 37. The control module 41 [sic; 21] can transmit control commands to the valve modules 26 to 28 via the input/output module 41. The input/output module 41 outputs these commands on a bus 42 to the valve modules 26 to 28. Furthermore, the input/output module 41 can receive messages from the valve modules 26 to 28 on the bus 42 and can transmit to the control module 21 or to the diagnostic module 22. These messages can be, for example, interrupt messages, switching state messages, or the like. It is understood that variants are possible, in which the valve modules 26 to 28 are direct bus subscribers on the bus 37. The input/output module 40 is allocated to the diagnostic module 22. The input/output module 40 forms an input/output device with connection means 43 for connecting diagnostic sensors, for example sensors 44, 45, 46, which are separate from the maintenance unit 14. Furthermore, compressed air influencing actuators, e.g., a switching valve 47 [or the like], which are separate from the maintenance unit, can be connected to the connection means 43, which contain, for example, corresponding electrical and/or optical contacts. The diagnostic sensors 44 are, for example, temperature sensors, pressure sensors, particle sensors, or the like, which are arranged on components, for example, the actuator 30 and the valve battery 13, separate from the maintenance unit 14. The diagnostic sensor 46 monitors, for example, the pressure in the connection region of the compressed air line 32 to the valve battery 13 and transmits, for example, messages on the pressure, temperature, particle content, or the like, in the region of the valve battery 13, as diagnostic messages 48. In principle, it would certainly be possible for the control module 33 of the valve battery 13 to output diagnostic messages via a connection 49 on the diagnostic network 17. However, the issue is that the maintenance unit or the control module arrangement 20 forms a diagnostic node within the diagnostic network 17, to which the valve battery 13 or its control module 33 transmits diagnostic messages 48 via a connection 50. The connection 50 likewise leads to the input/output module 40. It is possible for both connections 49, 50 to be provided, wherein the valve battery 13 outputs diagnostic messages in part directly via the connection 49 on the diagnostic network 17 and in part, e.g., as far as they concern the compressed air preparation and contain, for example, pressure measurement values, humidity measurement values, or the like, on the connection 50, so that the diagnostic module 22 can evaluate these diagnostic messages 48 for forming diagnostic data 51. The functions of control means 52 of the control module 21 for controlling the maintenance unit 14 and the functions of diagnostic means 53 of the diagnostic module 22 are explained below with reference to FIG. 2. The control means 52 and the diagnostic means each contain a processor 54, 55 for executing program code by program modules 56, 57, which are stored in memory means 58, 59. The memory means 58, 59 contain, for example, volatile and/or non-volatile memory. The program code of the program modules 56, 57 contains instructions for realizing the control functions and diagnostic functions of the control module 21 or the diagnostic module 22. The processors 54, 55 are connected to the memory means 58, 59 as well as to the communication means of the control module 21 or the diagnostic module 22 via internal connections, which are indicated schematically by arrows. For example, the modules 21, 22 contain the bus controller 60 as bus communications means for communicating on the internal bus 37. Furthermore, the processors 54, 55 communicate with the help of the communications means 35, 36 with the control network 12 and the diagnostic network 17. The communications means 35 contain, for example, a field bus controller for the control network 12, which preferably can be a field bus network, for example, a CAN, ASi network, Profibus, Interbus, Devicenet, or the like (CAN=Controller Area Network, ASi=Actuator-Sensor Interface). The second communications means 36 preferably contains an Ethernet controller, a W-LAN controller (=Wireless LAN) or the like for the diagnostic network 17, which preferably has a greater transmission bandwidth than the control network 12. In contrast, the control network 12 is preferably real-time capable and thus designed optimally for control tasks. In the control module arrangement 20, separate processors 54, 55 are provided for the control tasks and diagnostic tasks. It is understood that other processors can also be provided. However, a construction with only one single processor is also possible. Particularly in this variant, it is preferred for this single processor to fulfill the control tasks before the diagnostic tasks in order of priority, for example, to operate the first communications means 35 for the control network 12 before the second communications means 36 for the diagnostic network 17 in order of priority. The program modules 56 of the control module 21 contain, for example, an SPS module (SPS=memory programmable control) for controlling the maintenance modules 23 to 25 and/or the valve modules 26, 27, 28. Furthermore, there is a diagnostic program module 62, which outputs, in particular, system critical diagnostic messages on the control network 12, for example, when a filter is contaminated up to a critical limit, an additive for the compressed air to be prepared has been nearly used up, a fault has occurred in one of the maintenance modules 23, 24, 25 or the valve modules 26, 27, 28, or the like. Such system critical diagnostic messages would negatively affect the functionality of the maintenance unit 14 and thus the performance of control commands 19 by the control device 11 becomes worse, if not totally impossible. The diagnostic module 22, whose program modules 57 likewise have a diagnostic program module 63, provide significantly more extensive diagnostic functions than the control module 21. The diagnostic program module 63 processes the diagnostic messages 48 and generates from these messages diagnostic data 51, which it outputs on the diagnostic network 17. The diagnostic data 51 contain, for example, at regular time intervals, a filling state of an additive, which is added by one of the maintenance modules 23, 24, or 25 to the compressed air from the compressed air source 16, a difference pressure value between pressures at the input and at the output of the maintenance module 24 constructed as a filter, or the like. From such a difference pressure, for example, the degree of contamination of the filter of the maintenance module 24 can be determined. Furthermore, the diagnostic data 51 can contain, for example, periodic particle values of particles contained in the compressed air, moisture values, or the like. Furthermore, the program modules 57 of the diagnostic module 22 contain a visualization module 64 for the output of visualization data within the framework of the diagnostic data 51. This visualization data, which can be output, e.g., to the diagnostic device 18, contain, for example, a graphical image of the maintenance unit 14 and/or its modules, measurement value time diagrams, operand values, e.g., parameters, connection assignments, etc., or other diagnostic data. Thus, e.g., an image of the maintenance unit is available on the diagnostic device 18. For example, the individual maintenance modules 23, 24, 25 can be shown graphically as a function of their corresponding operating state (for example defective/not defective) in the visualization data. Especially preferred is self-configuration of the maintenance unit 14, in which the modules of the maintenance unit 14 are defined automatically, so to speak, by the control module arrangement 20. For example, the maintenance modules 23, 24, 25 transmit identifiers and/or at least part of their technical data via the internal bus 37 to the control module arrangement 20. For example, with reference to these identifiers or technical data, the diagnostic module 22 is in the position to graphically represent the maintenance modules 23 to 25 on the diagnostic device 18. The diagnostic program module 63 can link diagnostic messages 48 to each other and thus can form so-called linked diagnostic data 51. Here, the diagnostic program module forms, for example, relationships between pressure values, which are determined by the maintenance modules 23, 24, and 25. In the same way, relationships of flow rate measurement values, for example, for determining a consumption measurement value of the valve batteries 13 and 15, can also be formed. The diagnostic data 51 can further contain maximum values and/or minimum values, for example, for determining a maximum compressed air requirement or the like. Furthermore, the diagnostic program module 63 can perform data reduction and can output predetermined messages of the diagnostic messages 48 only at predetermined time intervals, for example, every minute, every second, or the like, within the framework of the diagnostic data 51 on the diagnostic network 17. The diagnostic program module 63 can also form average values. Furthermore, it is possible for the diagnostic program module 63 to monitor, so to speak, the data traffic between the modules of the maintenance unit 14 and to generate diagnostic data 51 from this data traffic. Here, linking of these diagnostic messages is useful, in which the diagnostic program module 63 forms quotients, for example, from pressure measurement values, which determine the maintenance modules 23, 25. The diagnostic data 51, especially the visualization data generated by the visualization module 64, preferably have a format that can be viewed by means of an Internet browser, for example, a format such as HTML (HTML=Hypertext Markup Language). The control module arrangement 20 controls the compressed air influencing actuators 47 in the form of a switching valve, proportional valve, or the like, separate from the maintenance unit 14 as a function of the diagnostic messages 48. If the diagnostic sensor 46 reports, for example, too high or too low a pressure with the diagnostic messages 48, then the diagnostic program module 63 switches the valve 47 to resolve this problem, for example, opening the valve 47 further or closing it. It is also possible for the SPS module 61 of the control module 21 to activate the switching valve 47 as a function of the diagnostic messages 48 of the sensor 46. The compressed air line 32 contains a compressed air channel 66, which is defined by a wall 67. Optical or electrical signal lines 65 for communicating with diagnostic sensors and/or pressure influencing valves, which are separate from the maintenance unit 14 and which comprise the diagnostic sensor 46 and the switching valve 47, run in the wall 67. Thus, it is not necessary to install additional signal connections for diagnostic purposes between the maintenance unit 14 and the valve battery for diagnostic tasks. The compressed air line 32 is connected to a connection module 68 of the maintenance unit 14. The connection module 68 is connected by means of connection lines 69 to the input/output module 40, so that the input/output module 40 can receive the diagnostic messages 48 of the sensor 46 and can output control commands 70 for controlling the actuator 47. A variant, in which the connection module 68 has, for example a bus controller or some other communications means for communications on the internal bus 37, would also be possible in order to communicate with the control module arrangement 20. The control module arrangement 20 comprising the control module 21 and also the diagnostic module 22 has input/output means 71, e.g., on its front side. The control module arrangement 20 can visualize functions of the maintenance unit 14 or receive local operating commands by means of the input/output means 71, which contain, for example, push buttons, a display, or the like. Furthermore, local operation, parameterization, visualization, or the like is possible with the help of an operating device 72, which can be connected by wires or preferably by a wireless method to an operating device interface 73. For example, the diagnostic program module 63 can output the diagnostic data 51 to the interface 63 completely or partially. A wired variant of the operating device interface 73 preferably has a high class of electrical protection and/or data transmission methods suitable for industry. The operating device 72 preferably is an MMI (Man Machine Interface) suitable for industrial environments, for example, a personal computer, a notebook, a personal digital assistant (PDA), or the like. Preferably, the control module arrangement 20 can be parameterized, wherein extent, quality, or other properties of the diagnostic data 51 can be set by means of parameters 74. For example, the diagnostic device 18 transmits the parameters 74 to the diagnostic module 22 via the diagnostic network 17. The parameters 74 contain, for example, threshold values, transmission intervals between measurement values to be transmitted, turn-on/turn-off commands for transmitting measurement values or the like. It is also possible for program parts, for example, for calculating quotients, for generating graphical visualization data, or the like, to be transmitted from the diagnostic device 18 to the diagnostic module 22. In a corresponding way, the diagnostic program module 62 of the control module 21 can be parameterized by means of parameters 75. For example, the control device 11 transmits the transmission parameters 75 to the control module 21. In particular, if the control module arrangement 20 contains only a single module containing both the diagnostic means and also the control means 52, 53, then it is preferred for a blocking device 76 to block the reception of predetermined control commands at the second communications means 36, for example, for controlling the valve modules 26, 27, 28. With reference to FIG. 4, additional variants of the maintenance units according to the invention are presented below. In so far as modules or components are shown in FIG. 4, the modules exhibiting the same functions as corresponding modules from FIG. 1 use the same reference symbols. Therefore, a more detailed explanation is not given. In a pneumatic system 80 according to FIG. 4, the control device 11 controls compressed air maintenance units 81, 82, which prepare, for example, filter, dry, oil, or influence the pressure of the compressed air from the compressed air source 16, by means of the control network 12, which contains, for example, a field bus. For this purpose, the maintenance units 81, 82 contain maintenance modules 83, which are arranged in a row like the maintenance modules 23 to 25 and which communicate with each other by means of an internal bus 37. For controlling and diagnosing the maintenance units 81, 82, control modules 84, 85 are provided, which fulfill both maintenance tasks and also diagnostic tasks in the control module arrangement 20 combined into one component. Correspondingly, the control modules 84, 85 are both connected to the control network 12 via the first communications means and also to the diagnostic network 17 via the second communications means, which are provided in each of the control modules 84, 85. Furthermore, each of the control modules 84, 85 can be used as a diagnostic node. For this purpose, there are input/output modules 86, 87, with which the control modules 84, 85 each communicate via the internal bus 37. Diagnostic sensors 88, 89 are respectively connected to input/output modules 86, 87. The control modules or diagnostic modules 84, 85 can receive diagnostic messages from the diagnostic sensors 88, 89 via the input/output modules 86, 87. The sensors 88, 89 are, for example, compressed air sensors or the like. |
|
claims | 1. A nuclear steam supply system having a nuclear reactor primary coolant loop enclosed within a hermetically sealed containment, the containment comprising:a nuclear reactor vessel for supporting and housing a plurality of nuclear fuel assemblies within a core, the nuclear reactor vessel being supported within the containment as part of the nuclear reactor primary coolant loop;a refueling cavity extending above the nuclear reactor vessel within the containment;an in-containment refueling coolant storage tank supported within the containment outside the refueling cavity, at an elevation above the core for, upon command, flooding at least a portion of the refueling cavity with a refueling coolant in furtherance of refueling the reactor vessel, the in-containment refueling coolant storage tank having a full level substantially at which a volume of the refueling coolant is maintained during normal reactor operation; andan irradiated nuclear fuel assembly storage tank supported within the containment below a portion of the refueling cavity, the irradiated nuclear fuel assembly storage tank is configured with a plurality of fuel assembly storage racks for storing irradiated nuclear fuel within the containment outside the core when the reactor vessel is in operation and the refueling cavity is drained, and the irradiated nuclear fuel assembly storage tank is configured to selectively place a nuclear fuel assembly storage tank coolant conduit connected to the irradiated nuclear fuel assembly storage tank in fluid communication with the in-containment refueling coolant storage tank or the refueling cavity; and,a portable lower reactor internals storage stand configured to fit on a lid of the irradiated nuclear fuel assembly storage tank when the lid is closed, for storing the reactor lower internals when they are removed from the nuclear reactor vessel and configured to be removed from the lid when access is needed to an interior of the irradiated nuclear fuel assembly storage tank to store nuclear fuel. 2. The nuclear steam supply system of claim 1 wherein the irradiated nuclear fuel assembly storage tank includes a lid for covering and sealing an access opening in the top of the irradiated nuclear fuel assembly storage tank wherein the lid is coupled to a wall of the irradiated nuclear fuel assembly storage tank through a hinge that is configured to swing the lid out of the way of the access opening when in a fully open position, to load or unload a nuclear fuel assembly into or out of an interior of the irradiated nuclear fuel assembly storage tank. 3. The nuclear steam supply system of claim 1 wherein the irradiated nuclear fuel assembly storage tank includes a long-term nuclear fuel assembly storage tank and short-term nuclear fuel assembly storage tank that are each configured to separately store fuel assemblies. 4. The nuclear steam supply system of claim 3 wherein the long-term nuclear fuel assembly storage tank has an interior including the plurality of fuel assembly racks that are accessed through a first access opening sealed by a removable first lid and the short-term nuclear fuel assembly storage tank has an interior including a plurality of fuel assembly racks that are respectively accessed through corresponding individual fuel assembly rack opening lids that are supported within a second access opening in the short-term nuclear fuel assembly storage tank, each of the fuel assembly rack opening lids covering a corresponding opening in the plurality of fuel assembly racks in a closed position and providing access to the corresponding opening in an open position. 5. The nuclear steam supply system of claim 4 wherein the second access opening includes a second lid that seals the second access opening wherein the second lid seats above the individual fuel assembly rack opening lids. 6. The nuclear steam supply system of claim 3 wherein the long-term nuclear fuel assembly storage tank is a cylindrical tank. |
|
description | This patent application is a U.S. National Stage of PCT/US2011/043111, filed 7 Jul. 2011, which is a non-provisional application of and claims the benefit of U.S. Provisional Application No. 61/364,430 filed Jul. 15, 2010. Priority is claimed to each patent application set forth in this Cross-Reference to Related Applications section, and the entire disclosure of each such patent application which is incorporated herein in its entirety. The present invention generally relates to mixing and dispensing adsorbent materials into chemical containers or columns utilized in chromatographic processes and, more particularly, to the mixing and dispensing of an abrasive slurry into a container or column from which radioisotopes may be produced. Glass columns of aluminum oxide (alumina) may be used in the process of column chromatography. This may entail adding solvents and other chemicals to the column of alumina to initiate a chemical reaction that produces radioisotopes. These radioisotopes may be used for medical diagnosis, treatment, and research. Dispensing alumina into a glass column is typically done by hand and is a very labor intensive process. Moreover, if the column of alumina contains particles that are unevenly distributed, the subsequent chemical processing that produces the radioisotopes may be skewed. A first aspect of the present invention is embodied by a horizontal mixer. This mixer includes a container or tumbler that is able to rotate about an at least substantially horizontally disposed rotational axis, an inner sidewall that is disposed about this rotational axis (e.g., extends a full 360° about this rotational axis), and a mixing chamber that is at least partially defined by this inner sidewall. Multiple blades or fins extend from the inner sidewall of the container and in the direction of an interior of the mixing chamber (e.g., defining protrusions on the inner sidewall). These blades are oriented to direct fluid toward an outlet from the mixing chamber for at least a certain rotational angle and during rotation of the container in a first rotational direction about its rotational axis. A second aspect of the present invention is embodied by a horizontal mixer. This mixer includes a container or tumbler having first and second container/tumbler ends that are spaced along an at least substantially horizontally disposed rotational axis of the container. An inner sidewall of the container is disposed about its rotational axis and extends between the first and second container ends. The first and second container ends, along with the inner sidewall, at least partially define a mixing chamber for the container. An outlet accommodates a discharge from the mixing chamber. A plurality of first blades or fins and a plurality of second blades or fins each extend from the inner sidewall of the container and in the direction of an interior of the mixing chamber (e.g., defining protrusions on the inner sidewall) in the case of the second aspect. Each of the first and second blades has a first blade end and a second blade end. Each first blade extends from its corresponding first blade end toward its corresponding second blade end at least generally in the direction of the second container end (e.g., the second blade end of each first blade may be characterized as being between its corresponding first blade end and the second container end relative to a dimension in which the rotational axis of the container extends (hereafter a “longitudinal dimension)). Each second blade extends from its corresponding first blade end toward its corresponding second blade end at least generally in the direction of the first container end (e.g., the second blade end of each second blade may be characterized as being between its corresponding first blade end and the first container end relative to the longitudinal dimension). In the case of the second aspect, the first blade end of each first and second blade leads its corresponding second blade end in a first rotational direction for the container. A number of feature refinements and additional features are separately applicable to each of the first and second aspects of the present invention. These feature refinements and additional features may be used individually or in any combination. As such, each of the following features that will be discussed may be, but are not required to be, used with any other feature or combination of features of the first and/or second aspects. The following discussion is separately applicable to each of the first and second aspects, up to the start of the discussion of a third aspect of the present invention. Initially, each feature of the first aspect may be used by the second aspect, alone or in any combination, and vice versa. Each blade used by the horizontal mixer may be of any appropriate size, shape, configuration, and/or type. For instance, each blade may be in the form of a plate having a pair of oppositely disposed flat or planar surfaces. Although each blade may be of an identical configuration and size, such may not be the case in all instances. Any appropriate number of blades may be utilized by the horizontal mixer, and the blades may be integrated with the container in any appropriate manner (e.g., by being separately attached to the inner sidewall of the container; by being integrally formed with the container such that there is no joint of any kind between the inner sidewall of the container and each of its blades). The blades may be arranged on the inner sidewall of the container to promote a desired mixing action of contents within the mixing chamber of the horizontal mixer. The blades may extend along the inner sidewall of the container in non-parallel relation to the rotational axis of the horizontal mixer. The blades may be oriented so as to be “center angled.” One embodiment has the length dimension of each blade (the length dimension of a blade coinciding with the direction that the blade extends along the inner sidewall of the container) proceeding in a direction so as to direct fluid toward the outlet from the mixing chamber throughout at least a certain rotational angle of the container proceeding in the first rotational direction. Each blade may be oriented relative to the inner sidewall so as to bias a fluid flow toward the outlet throughout at least a certain rotational angle of the container proceeding in the first rotational direction. The blade orientation may be described in relation to the location of its two blade ends—the spacing between which corresponds with the length dimension of the blade. The two blade ends of each blade, at its intersection with the inner sidewall of the container may be disposed at different elevations relative to a horizontal reference plane that is disposed below the horizontal mixer. Although the elevation of this intersection could continually change between these two blades ends in this instance, such may not always be the case. The two ends of each blade may be disposed on different reference axes that are each parallel to the rotational axis of the tumbler. Consider the case where each blade has a first blade end and an oppositely disposed second blade end. The first blade end of a given blade may be disposed on a first reference axis and the second blade end may be disposed on a different second reference axis, where each of the first and second reference axes are parallel to the rotational axis of the horizontal mixer. Stated another way, the first and second blade ends of each blade may be characterized as being located at different angular positions, measured relative to the rotational axis of the tumbler. The end of each blade that is adjacent-most to an end of the horizontal mixer may lead its opposite end in a first rotational direction for the container. Consider the case where a first blade end of a blade is disposed between a first container end of the horizontal mixer and its oppositely disposed second blade end proceeding in the longitudinal dimension. During rotation of the container in a first rotational direction, the first blade end of the noted blade will pass the 6 o'clock position before its second blade end passes this same 6 o'clock position when the first blade end leads the second blade end in the first rotational direction. The second blade end could also be characterized as lagging its corresponding first blade end during rotation of the container in this same first rotational direction. Each of the first and second aspects may utilize both a plurality of first blades and a plurality of second blades, where each of the first and second blades has a first blade end and a second blade end, where each first blade extends from its corresponding first blade end toward its corresponding second blade end at least generally in the direction of a second container end of the container for the horizontal mixer (e.g., the second blade end of each first blade may be characterized as being between its corresponding first blade end and the second container end relative to or proceeding along the rotational axis of the container), where each second blade extends from its corresponding first blade end toward its corresponding second blade end at least generally in the direction of a first container end of the container for the horizontal mixer (e.g., the second blade end of each second blade may be characterized as being between its corresponding first blade end and the first container end relative to or proceeding along the rotational axis of the container), and where the first blade end of each first and second blade leads its corresponding second blade end in a first rotational direction for the container. The following discussion, up to the start of the discussion of a third aspect of the present invention, pertains to such a configuration. The first blade end of each first blade may be located at or at least generally proximate to the first container end, while the first blade end of each second blade may be located at or at least generally proximate to the second container end (where the first and second container ends again are spaced along the rotational axis of the horizontal mixer). The horizontal mixer may be characterized as including a plurality of blade pairs, where each blade pair includes one first blade and one second blade. The first and second blades of each blade pair may be oriented as the mirror image of each other. Each blade pair may define at least generally V-shaped configuration. Each blade pair may collectively define a concave profile relative to the first rotational direction. A space between the blades of each blade pair may define the trailing portion of the blade pair when the container is rotated about its rotational axis in the first rotational direction. The position of the plurality of second blades could be staggered in relation to the position of the plurality of first blades. The first blade end of each first blade could be disposed at a different angular position (relative to the rotational axis of the container) than the first blade end of each second blade. Consider the case where there are 6 first blades and 6 second blades. The first blade ends of the 6 first blades could be disposed at the 1, 3, 5, 7, 9, and 11 o'clock positions in a first static position for the container, while the first ends of the 6 second blades could be disposed at the 2, 4, 6, 8, 10, and 12 o'clock positions in this same first static position, or vice versa. The length dimension of the various first and second blades may be disposed at a common angle relative to a reference axis that intersects their corresponding second blade end and that is parallel to the rotational axis of the horizontal mixer. Stated another way, the same angle may be defined between the length of each blade and a reference axis that intersects its second blade end and that is parallel to the rotational axis. Another option would be for the length dimension of the plurality of first blades to be disposed at a common first angle relative to a reference axis that intersects their corresponding second blade end and that is parallel to the rotational axis of the horizontal mixer, for the length dimension of the plurality of second blades to be disposed at a common second angle relative to a reference axis that intersects their corresponding second blade end and that is parallel to the rotational axis of the horizontal mixer, and for the magnitudes of the first and second angles to be different. The plurality of first blades may coincide with or define a first longitudinal segment of the horizontal mixer, the plurality of second blades may coincide with or define a third longitudinal segment of the horizontal mixer, and a second longitudinal segment of the horizontal mixer may be located between the first and third longitudinal segments. The longitudinal dimension may coincide with the rotational axis of the horizontal mixer. In any case, the second longitudinal segment may include the outlet. One embodiment has the first, second, and third longitudinal segments being disposed in non-overlapping relation. Another embodiment has the first, second, and third longitudinal segments being disposed in end-to-end relation and in the noted order. The outlet from the mixing chamber may be located between the second ends of the various first blades and the second ends of the various second blades. The second ends of the various first blades may be spaced from the second ends of the various second blades in a direction coinciding with the rotational axis of the horizontal mixer, and the outlet from the mixing chamber may be located within this space. In one embodiment, the outlet from the mixing chamber may be at least substantially mid-way between the first and second container ends of the horizontal mixer. The first container end may include an aperture, and the horizontal mixer may further include an outlet conduit that extends through this aperture and into the mixing chamber. The aperture may be significantly larger than the outer diameter of the portion of the outlet conduit that passes therethrough. A first outlet conduit section may extend through this aperture and at least generally in the direction of the oppositely disposed second container end (e.g., at least generally parallel with the rotational axis of the horizontal mixer), and a second outlet conduit section may extend from the first outlet conduit section in at least a generally downward direction and may terminate prior to reaching the inner sidewall of the container to define the outlet from the mixing chamber. This second outlet conduit section may be disposed within the space between the second blade ends of the various first blades and the second blade ends of the various second blades. Other outlet configurations may be appropriate. It should be noted that the fluid level within the mixing chamber may be controlled such fluid does not spill out of the noted aperture in the first container end (e.g., the fluid level may be below the rotational axis of the container, including significantly below). A third aspect of the present invention is directed to a fluid system that utilizes a horizontal mixer, at least one feed source, and a slurry target. The horizontal mixer includes a container that may rotate about an at least substantially horizontally disposed axis (“rotational axis”). An inner sidewall of this container is disposed about the rotational axis and at least partially defines a mixing chamber for the horizontal mixer. The horizontal mixer further includes a plurality of blades that extend from and rotate with the inner sidewall (e.g., such that the blades extend within the mixing chamber). An outlet exists for the mixing chamber. Fluid and a plurality of particles may be directed into the horizontal mixer in any appropriate manner, and a discharge from the outlet of the horizontal mixer may be in the form of a slurry that is directed to the slurry target. A number of feature refinements and additional features are applicable to the third aspect of the present invention. These feature refinements and additional features may be used individually or in any combination. As such, each of the following features that will be discussed may be, but are not required to be, used with any other feature or combination of features of the third aspect. The following discussion is applicable to the third aspect, up to the start of the discussion of a fourth aspect of the present invention. Initially, the horizontal mixer discussed above in relation to the first aspect may be used by this third aspect. The horizontal mixer discussed above in relation to the second aspect may be used by this third aspect as well. Any of the features of the horizontal mixer discussed above in relation to the first and/or second aspects may be utilized by the horizontal mixer that is utilized by this third aspect, individually or in any combination. The fluid system may utilize two or more separate feed sources. One feed source may contain a supply of particles, while another feed source may contain a supply of an appropriate fluid (e.g., one or more appropriate liquids). Each feed source could provide a direct flow or a separate stream to the horizontal mixer. Alternatively, the output from two or more feed sources could be combined before actually being directed into the horizontal mixer (e.g., into a common inlet manifold or header). A given feed source could contain both particles and fluid for a slurry. Any appropriate type of particulates may be introduced into the horizontal mixer and in any appropriate manner. In one embodiment, alumina is directed into the horizontal mixer, and alumina slurry is removed from the horizontal mixer and is ultimately directed into a glass column, vial, container, or the like for use in the process of column chromatography. Solvents and other chemicals may be added to the column of alumina to initiate a chemical process that produces radioisotopes. The resulting radioisotopes may be used for any appropriate application, such as for medical diagnosis, medical treatment, or medical research. As such, the fluid system of the third aspect may be characterized as one that provides slurry from which isotopes may be produced, including radioisotopes. If the column of alumina contains particles that are unevenly distributed, the chemical process that produces the radioisotope may be skewed. The horizontal mixer described in relation to the first and second aspects may provide a desired degree of homogeneity for slurry from which isotopes may be produced. The slurry target may be of any appropriate type. One embodiment has the slurry target in the form of a dispenser that is used to provide slurry to an end-use container (e.g., a glass column, vial, or other container). Another embodiment has the slurry target being in the form of an end-use container. Although the slurry may be of any appropriate type and used for any appropriate application, in one embodiment the slurry contains abrasive particulate matter for nuclear medicine applications. A fourth aspect of the present invention is embodied by a method of providing slurry. A mixer is used to provide the slurry, and includes first and second mixer ends that are spaced along a first axis that is at least substantially horizontally disposed. A plurality of particles and fluid may be directed into the mixer. The mixer may be rotated about the first axis. A first flow is directed from the first mixer end toward a first location within the mixer that is located between the first and second mixer ends. Similarly, a second flow is directed from the second mixer and toward this same first location. The slurry is withdrawn from the first location of the mixer, and includes a distribution of the particles in the fluid. A number of feature refinements and additional features are applicable to the fourth aspect of the present invention. These feature refinements and additional features may be used individually or in any combination. As such, each of the following features that will be discussed may be, but are not required to be, used with any other feature or combination of features of the fourth aspect. The following discussion is applicable to at least the fourth aspect. Initially, the horizontal mixer discussed above in relation to the first aspect may be used by this fourth aspect to mix the particles and fluid to define the slurry. The horizontal mixer discussed above in relation to the second aspect may be used by this fourth aspect as well to mix the particles and fluid to define the slurry. Any of the features of the horizontal mixer discussed above in relation to the first and/or second aspects may be utilized by the horizontal mixer that is part of this fourth aspect, individually or in any combination. A first stream of particles may be directed into the mixer. A separate, second stream of fluid may be directed into the mixer. Another option is for a first stream of particles and a second stream of fluid to be combined before being introduced into the mixer. A single stream of particles and fluid could be directed into the mixer as well. In one embodiment, the particles are in the form of alumina. Fluid may be directed to the first location using gravitational forces. For instance, the orientation of the blades discussed above in relation to the first, second, and third aspects may be used to induce a gravitational flow along the blades in the direction of the first location through at least a certain rotational angle of the mixer. The induced flow toward the first location within the mixer may be the result of exerting a lifting force on a portion of the contents within the mixer and simultaneously inducing a pressure gradient on this portion of the contents. For instance, a blade on an inner sidewall of the mixer may be rotated into the fluid, and during continued rotation may exert both a lifting force on a portion of the fluid (and any particles therein) and may direct this fluid portion toward the first location. Slurry may be withdrawn from the horizontal mixture (e.g., via pump, such as a peristaltic pump) and provided to a dispenser of any appropriate type. Slurry provided to the dispenser may be directed to multiple locations. One is a container (e.g., a glass column, vial, or the like). Another is a recirculation loop back to the horizontal mixer. In one embodiment, slurry enters the dispenser and is provided to a container. In one embodiment, at least part of the slurry that is directed into the dispenser is recirculated back to the horizontal mixer. Slurry that is delivered to a container may be used to produce isotopes, and including radioisotopes. A fifth aspect of the present invention is embodied by a slurry dispensing system that uses a slurry mixer and a slurry dispenser, where at least one flow path extends between the slurry mixer and slurry dispenser. The slurry mixer includes a mixer outlet and a mixer recirculation port. The slurry dispenser includes a slurry bypass channel, a metering chamber, a metering chamber inlet valve (which may also be referred to herein as a “slurry bypass valve”) that is disposed between the slurry bypass channel and the metering chamber (e.g., to control a flow of slurry into the metering chamber), and a metering chamber outlet valve (which may also be referred to herein as a “dispensing valve”) for the metering chamber (e.g., to control a flow of slurry out of the metering chamber). A number of feature refinements and additional features are applicable to the fifth aspect of the present invention. These feature refinements and additional features may be used individually or in any combination. As such, each of the following features that will be discussed may be, but are not required to be, used with any other feature or combination of features of the fifth aspect. The following discussion is applicable to the fifth aspect, up to the start of the discussion of a sixth aspect of the present invention. Initially, the horizontal mixer discussed above in relation to the first and second aspects may be used by this fifth aspect. Moreover, the slurry dispenser from this fifth aspect may be used in conjunction with each of the third and fourth aspects discussed above. At least one feed source may be fluidly connected with the slurry mixer (e.g., via a flow path extending therebetween, including where the flow through this flow path may be controlled in any appropriate manner, for instance by one or more valves). The slurry dispensing system may utilize two or more separate feed sources. One feed source may contain a supply of particles (e.g., alumina), while another feed source may contain a supply of an appropriate fluid (e.g., one or more appropriate liquids, such as distilled water). Each feed source could provide a direct flow or a separate stream to the mixer. Alternatively, the output from two or more feed sources could be combined before actually being directed into the mixer (e.g., into a common inlet manifold or header). A given feed source could contain both particles and an appropriate fluid for a slurry (e.g., a single feed source could be utilized in relation to this fifth aspect). Any appropriate type of particulates may be introduced into the mixer and in any appropriate manner. In one embodiment, alumina is directed into the mixer, and alumina slurry is removed from the mixer and ultimately may be directed into a glass column, vial, container, or the like for use in the process of column chromatography. Solvents and other chemicals may be added to the column of alumina to initiate a chemical process that produces radioisotopes. The resulting radioisotopes may be used for any appropriate application, such as for medical diagnosis, medical treatment, or medical research. As such, the slurry dispensing system of the fifth aspect may be characterized as one that provides slurry from which isotopes may be produced, including radioisotopes. A pump may be used to direct slurry from the mixer to the slurry dispenser. For instance, such a pump may be disposed in a line or flow path extending from the mixer outlet to a dispenser inlet port of the slurry dispenser. In one embodiment, the pump is a peristaltic pump. A peristaltic pump typically uses one or more rollers or the like (e.g., free-spinning structures) that are mounted on a rotatable rotor, where each such roller may progressively occlude tubing located in a tubing channel between the rotor (e.g., a rotating structure) and a stator (e.g., a stationary structure) of the peristaltic pump. The slurry bypass channel may extend from a dispenser inlet port to a dispenser recirculation port. An outlet line (e.g., tubing or conduit of any appropriate type) may extend from the mixer outlet to the dispenser inlet port. A recirculation line may extend from the dispenser recirculation port to a mixer recirculation port. As such, slurry from the mixer may flow into the slurry dispenser and back to the mixer. The slurry dispenser may further include a slurry inlet channel. This slurry inlet channel may extend from the slurry bypass channel to the metering chamber. For instance, the slurry inlet channel may intersect the slurry bypass channel somewhere between the dispenser inlet port and the dispenser recirculation port. The metering chamber inlet valve may control a flow of slurry through the slurry inlet channel, and thereby a flow of slurry from the slurry bypass channel into the metering chamber. The slurry dispenser may also utilize an injection needle (or more generally a fluid injector) that may be placed in fluid communication with the metering chamber. This injection needle may extend through the slurry bypass channel and at least into the above-noted slurry inlet channel. It is also contemplated that the injection needle may extend completely through the slurry inlet channel, and either terminate at the inlet to the metering chamber or extend at least partially within the metering chamber. In any case, the metering chamber inlet valve may fluidly isolate the slurry bypass channel from the metering chamber by sealing against an exterior of this injection needle. The injection needle may be disposed within a flow of slurry through the slurry bypass channel (including whenever a flow of slurry is being directed through the slurry bypass channel), within a flow of slurry through the slurry inlet channel (including whenever a flow of slurry is being directed through the slurry inlet channel), or both. In one embodiment, the injection needle is disposed transversely to a flow of slurry through the slurry bypass channel and is disposed parallel to a flow of slurry through the slurry inlet channel. The injection needle may be sized so that slurry may flow around the injection needle when slurry is being directed through the slurry bypass channel, through the slurry inlet channel, or both. For instance, the effective outer diameter of the injection needle may be smaller than the effective inner diameter of each of the slurry bypass channel and the slurry inlet channel to allow slurry to flow around the injection needle in the above-noted manner and still remain within the confines of the corresponding slurry bypass/inlet channel. The term “effective outer diameter” is intended to allow the injection needle to have other than a circular outer diameter, and for one or each of the slurry bypass channel and the slurry inlet channel to have other than a circular cross-section taken perpendicularly to a flow therethrough. The slurry dispenser may include a controller of any appropriate type that is configured to execute a container slurry-loading sequence or protocol, including when the above-noted injection needle is utilized. This container slurry-loading sequence may entail closing the metering chamber outlet valve (e.g., via appropriate signaling; to fluidly isolate the metering chamber from a container into which slurry is to be dispensed), simultaneously or thereafter opening the metering chamber inlet valve, (e.g., via appropriate signaling; to allow at least part of the slurry from the slurry bypass channel to flow into the metering chamber), thereafter closing the metering chamber inlet valve (e.g., via appropriate signaling; to fluidly isolate the metering chamber from the slurry bypass channel), and simultaneously/thereafter opening the metering chamber outlet valve (e.g., via appropriate signaling; to allow a metered quantity of slurry to be dispensed from the slurry dispenser and into any appropriate container). In the case where the above-noted injection needle is being used by the slurry dispensing system, the container slurry-loading sequence/protocol may be further configured to initiate a fluid flow through the injection needle at any appropriate type and for any appropriate purpose. For instance, fluid may be discharge from the injection needle and into the metering chamber some time after the metering chamber inlet valve has been closed. This introduction of fluid into the slurry-containing metering chamber may be used to facilitate the dispensing of the metered quantity of slurry from the metering chamber. This introduction of fluid into the metering chamber also may be used to flush the metering chamber. In any case, representative fluids for such introduction into the metering chamber include without limitation air, water, acidic or caustic solution, or solvents. Each of the metering chamber inlet valve and the metering chamber outlet valve may be of any appropriate size, shape, configuration, and/or type. For instance, each of these valves may include a flexible or deflectable portion that may be flexed/deflected to close or block an associated flow path. In one embodiment, each of the metering chamber inlet and outlet valves is air-actuated (or using some other appropriate activating fluid). Air pressure may be exerted on the metering chamber inlet valve to configure this valve to block a flow of slurry through the above-noted slurry inlet channel (e.g., to fluidly isolate the metering chamber from the slurry bypass channel). Air pressure may be exerted on the metering chamber outlet valve to configure this valve to block a flow of slurry out of the metering chamber, for instance by sealing an outlet extending from the metering chamber (e.g., to fluidly isolate the metering chamber from a container into which the slurry is to be dispensed). An elasticity of the flexible or deflectable portions of both the metering chamber inlet and outlet valves may provide the sole force to return these valves return to their original shape (after the activating air pressure is terminated or is at least sufficiently reduced) and which may then re-open the associated flow path. Therefore, each of the metering chamber inlet and outlet valves may be two-state valves of sorts—either allowing flow through the associated flow path or terminating flow through the associated flow path. A sixth aspect of the present invention is directed to a method of dispensing slurry. The method includes mixing a fluid and a plurality of particles in the mixer, providing a slurry flow out of the mixer to a slurry dispenser, and discharging a metered quantity of slurry from the slurry dispenser into a container. This discharging of a metered quantity of slurry includes operating the slurry dispenser in accordance with a programmed protocol (e.g., automatically). A number of feature refinements and additional features are applicable to the sixth aspect of the present invention. These feature refinements and additional features may be used individually or in any combination. As such, each of the following features that will be discussed may be, but are not required to be, used with any other feature or combination of features of the sixth aspect. The following discussion is applicable to at least this sixth aspect. Any appropriate fluid and any appropriate particles may be mixed within the mixer and in any appropriate manner. However, in one embodiment, the horizontal mixer discussed above in relation to the first and second aspects is used by this sixth aspect as well. Slurry may be provided from the mixer to the slurry dispenser in any appropriate manner. In one embodiment, a peristaltic pump is operated to pump the slurry from the mixer to the slurry dispenser. Slurry from the mixer may be directed into a first flow path of the slurry dispenser (e.g., a slurry bypass channel). A first part of this slurry (e.g., less than the entirety of the slurry being directed into the first flow path) in turn may be directed into a metering chamber of the slurry dispenser. The first flow path may extend through a corresponding portion of the slurry dispenser to a dispenser recirculation port. The portion of the flow of slurry through the first flow path, that is not directed into the metering chamber, may be directed out of the dispenser recirculation port for recirculation back to the mixer. Directing a first part of the slurry, that is flowing through the first flow path, into the metering chamber may entail fluidly connecting a metering chamber inlet with the first flow path of the slurry dispenser. Slurry may continue to flow through the first flow path (e.g., and out the above-noted dispenser recirculation port for recirculation back to the mixer) as slurry is also be directed into the metering chamber. The discharging of a metered quantity of slurry may also entail fluidly isolating a metering chamber inlet from the first flow path, as well as fluidly connecting a metering chamber outlet with the container. Slurry may also continue to flow through the first flow path (e.g., and out the above-noted dispenser recirculation port for recirculation back to the mixer) when the metering chamber is fluidly isolated from this first flow path, including as slurry is being dispensed from the metering chamber and into the container. The slurry dispenser may include a metering chamber inlet valve and a metering chamber outlet valve for the noted metering chamber, and slurry from the mixer may be initially directed into a first flow path of the slurry dispenser. A programmed protocol may be executed to control the operation of these two valves for each container that is to be loaded with slurry using the method of the sixth aspect. The programmed protocol may alleviate the need for operation interaction to manually control these two valves. Initially, the metering chamber outlet valve may be closed by programmed protocol (e.g., by appropriate signaling to the metering chamber outlet valve, for instance, from a controller). With the metering chamber outlet valve being closed, the metering chamber inlet valve may then be opened by the programmed protocol (e.g., by appropriate signaling to the metering chamber inlet valve, for instance, from a controller). Slurry flowing through the first flow path is thereby allowed to now flow into the metering chamber. Once a desired quantity of slurry has been directed into the metering chamber (e.g., on a timed basis), the metering chamber inlet valve may be closed by the programmed protocol (e.g., by appropriate signaling to the metering chamber inlet valve, for instance, from a controller). This then fluidly isolates the metering chamber from the first flow path through the slurry dispenser. With the metering chamber inlet valve now being closed, the metering chamber outlet valve may be opened by the programmed protocol (e.g., by appropriate signaling to the metering chamber outlet valve, for instance, from a controller). As such, slurry may be directed out of the metering chamber and into the container. Slurry that is directed into the slurry dispenser, but which does not flow into the metering chamber, may be recirculated back to the mixer. Slurry may continue to flow through the first flow path of the slurry dispenser and back to the mixer while the metering chamber is being loaded with slurry, as the slurry is being dispensed from the metering chamber, or both. Slurry may continually flow through the slurry dispenser. In any case, a first fluid (in addition to the slurry) may be directed into the metering chamber at any appropriate time and for any appropriate purpose, for instance after slurry has been loaded therein and with the metering chamber being fluidly isolated from the first flow path. This fluid may be pressurized to an appropriate level and may be in the form of air, water, or solvents. For instance, this fluid may be directed into the metering chamber through an injection needle as described above in relation to the fifth aspect. A number of feature refinements and additional features are separately applicable to each of above-noted first, second, third, and fourth aspects of the present invention. These feature refinements and additional features may be used individually or in any combination in relation to each of the above-noted first, second, third, fourth, fifth, and sixth aspects. Any feature of any other various aspects of the present invention that is intended to be limited to a “singular” context or the like will be clearly set forth herein by terms such as “only,” “single,” “limited to,” or the like. Merely introducing a feature in accordance with commonly accepted antecedent basis practice does not limit the corresponding feature to the singular (e.g., indicating that a slurry dispensing system includes “a pump” alone does not mean that the slurry dispensing system includes only a single pump). Any failure to use phrases such as “at least one” or the like also does not limit the corresponding feature to the singular (e.g., indicating that a slurry dispensing system includes “a pump” alone does not mean that the slurry dispensing system includes only a single pump). Use of the phrase “at least generally” or the like in relation to a particular feature encompasses the corresponding characteristic and insubstantial variations thereof (e.g., indicating that a mixer rotates about an axis that is at least generally horizontally disposed encompasses the mixer rotating about an axis that is in fact horizontal). Finally, a reference of a feature in conjunction with the phrase “in one embodiment” does not limit the use of the feature to a single embodiment. FIG. 1 is a schematic representation of one embodiment of a fluid system 10 that may be used to provide a slurry to a desired slurry target. As such, the fluid system 10 could also be referred to as a slurry dispensing system 10. The fluid system 10 utilizes as least one feed source to direct slurry components into a horizontal mixer 20. In the illustrated embodiment, a first feed source 12 is fluidly connected with the horizontal mixer 20 and contains a first slurry component (e.g., particles or particulates). A second feed source 14 is also fluidly connected with the horizontal mixer 20 and contains a second slurry component (e.g., a fluid). A single feed source could be used to provide the slurry components to the horizontal mixer 20. Three or more feed sources could also be used to provide different slurry components to the horizontal mixer 20. One or more feed sources could have a direct fluid connection with the horizontal mixer 20, two or more feed sources could have their outputs merged or combined prior to entering the horizontal mixer 20, or any combination thereof. A separate input or inlet line 16 may extend between the horizontal mixer 20 and each of the first feed source 12 and the second feed source 14 (indicated by the solid lines in FIG. 1). The output from the first feed source 12 and second feed source 14 alternatively may be directed into a common input or inlet line 18 (where their respective outputs are merged or combined, and indicated by the dashed line in FIG. 1) that extends to the horizontal mixer 20. The common input line 18 may include a common header or intake manifold that receives a flow, output, or discharge from each of the first feed source 12 and second feed source 14, and directs or introduces the same into the horizontal mixer 20 in the form of a single input or stream. The mixer 20 used by the fluid system 10 is of the horizontal type—a mixer that rotates about an at least substantially horizontally disposed rotational axis. The horizontal mixer 20 is rotatably driven by a drive source 22. The output from the drive source 22 rotates a drive shaft 24, which in turn is appropriately interconnected with the horizontal mixer 20 to rotate the same. The drive source 22 may be of any appropriate size, shape, configuration, and/or type. Multiple drive sources could also be used to rotate the horizontal mixer 20. Slurry from the horizontal mixer 20 may be withdrawn through an output or outline line 26. A pump 28 of any appropriate type (e.g., peristaltic) may be used to withdraw slurry from the horizontal mixer 20, to transfer the slurry to a desired slurry target, or both. In the illustrated embodiment, slurry from the horizontal mixer 20 is directed into a dispenser 30 via the output line 26. The dispenser 30 may be of any appropriate size, shape, configuration, and/or type. There are two available flow paths out of the dispenser 30. The dispenser 30 may direct slurry into a container 36 (e.g., a column, vial, or the like) via an output or outlet line 32. The dispenser 30 may also direct slurry back to the horizontal mixer 20 via a recirculation line 34. The dispenser 30 may be configured to direct a certain quantity of slurry into the container 36, while the remainder of the slurry being directed into the dispenser 30 may be recirculated back to the horizontal mixer 20 by the recirculation line 34. It should be appreciated that one or more valves, controllers, or the like (not shown) may be utilized by the fluid system 10 to control one or more aspects of its operation. One embodiment of a horizontal mixer that may be used by the fluid system 10 of FIG. 1 is illustrated in FIGS. 2-7 and is identified by reference numeral 50. The horizontal mixer 50 may be used for any appropriate application, including medical applications that utilize a slurry (e.g., for the production of radioisotopes). The horizontal mixer 50 includes a frame 52 that supports a tumbler, container, or mixer body 80, which in turn may be rotated relative to the frame 52 by a drive source 62 about an at least substantially horizontally disposed rotational axis 110. The frame 52 includes a bed 54. Multiple supports 56a-c extend from the bed 54 and may be integrated with the bed 54 in any appropriate manner. The drive source 62 may be supported by and mounted to the support 56a in any appropriate manner. The tumbler 80 may be located between the supports 56b, 56c. Further in this regard, a drive roller 58 extends between the supports 56b, 56c. Moreover, one idler roller 60 is rotatably supported by the support 56b, and another axially aligned idler roller 60 is rotatably supported by the support 56c. The rollers 58, 60 engage and support an exterior surface 84b of the tumbler 80 (e.g., the rollers 58, 60 collectively define a cradle that supports the tumbler 80). The pair of idler rollers 60 could be replaced by a single idler roller that extends between the supports 56b, 56c (not shown). The single drive roller 58 could be replaced by a pair of drive rollers (not shown, but where one such drive roller is rotatably supported by the support 56b and where another such drive roller is rotatably supported by the support 56c, for instance in the manner of the idler rollers 60). In the illustrated embodiment, the drive roller 58 is rotated by the drive source 62. In this regard, a drive gear 64 is disposed between the supports 56a, 56b, and is rotatably driven by the output from the drive source 62. A driven gear 66 is also located between the supports 56a, 56b, and is interconnected with the drive gear 64 by a drive belt 68. Rotation of the drive gear 64 is thereby transmitted to the driven gear 66 by the drive belt 68. The driven gear 66 is appropriately interconnected with the drive roller 58. Rotation of the driven gear 66 thereby rotates the drive roller 58 (e.g., the driven gear 66 and the drive roller 58 rotate together and in the same direction). The driver roller 58 is engaged with an exterior surface 84b of the tumbler 80 (specifically, its sidewall 82 or an outer sidewall 84b). Rotation of the drive roller 58 rotates (e.g., drives) the tumbler 80 about its rotational axis 110. The idler rollers 60 also engage the exterior surface 84b of the tumbler 80 (specifically, its outer sidewall 82). In the illustrated embodiment, the idler rollers 60 are “free spinning”, such that rotation of the tumbler 80 causes the idler rollers 60 to rotate. Any appropriate way of rotating the tumbler 80 may be utilized. Any appropriate way of rotatably supporting the tumbler 80 may be utilized as well. The tumbler 80 of the horizontal mixer 50 includes a tumbler or mixer sidewall 82 and a pair of tumbler or mixer ends 86a, 86b that are spaced along the rotational axis 110 and that collectively define a mixing chamber 90. One of the tumbler ends 86a (associated with the support 56b of the frame 52) includes an aperture or opening 88 through which an input/inlet line 70 and output/outlet line 72 may extend, and that will be discussed in more detail below. The tumbler end 86a could be disposed in sealing engagement with the support 56b (e.g., a seal that would allow the tumbler 80 to rotate relative to the support 56, and yet have a fluid-tight seal exist therebetween), or could be spaced therefrom. The tumbler end 86b is closed in the illustrated embodiment. The sidewall 82 may be of an at least generally cylindrical shape. An interior surface 84b of the sidewall 82 (or an inner sidewall 84b) includes a plurality of blades or fins 92. Generally, these blades 92 are orientated relative to the rotational axis 110 of the tumbler 80 or promote a desired mixing action within the mixing chamber 90 (e.g., providing a desired level of homogeneity of particles within the slurry). This mixing action may be characterized as slurry within the tumbler 80 being folded onto itself during rotation of the tumbler 80 and by the action of the various blades 92. This mixing action may also be characterized as the blades 92 funneling or directing a flow to a common region 78 within the mixing chamber 90 through at least a certain rotational angle, where slurry may be removed from this common region 78 through the above-noted output line 72 that extends therein. The mixing action may also be characterized as the blades 92 both lifting a portion of the slurry and inducing a pressure gradient within the lifted slurry portion that directs the same toward the common region 78, again where slurry may be removed from this common region 78 through the output line 72 that extends in this common region 78. In one embodiment, the common region 78 is located at least generally mid-way between the ends 86a, 86b of the tumbler 80. Other locations may be appropriate. The tumbler 80 of the horizontal mixer 50 is shown in each of FIGS. 2, 3, and 4. At least certain details regarding the blades 92 of the tumbler 80 are further shown in FIGS. 5A, 5B. Initially, it should be noted that the blades 92 extend from and rotate with the sidewall 82 of the tumbler 80 (specifically the interior surface 84a thereof). Any way of incorporating the blades 92 with the sidewall 82 of the tumbler 80 may be utilized (e.g., an integral or one-piece construction; having the blades 92 be separately attached or joined to the sidewall 82 and/or the corresponding tumbler end 86a, 86b in any appropriate manner). Generally, the blades 92 extend from the interior surface 84a of the sidewall 82 into the mixing chamber 90. This may be referred to as the “radial” direction or dimension. Although the blades 92 may extend orthogonally or perpendicularly from the interior surface 84a of the sidewall 82 (as shown in the illustrated embodiment), the blades 92 may extend from the interior surface 84a in other orientations. The blades 92 of the tumbler 80 also extend along the interior surface 84 of the sidewall 82. This may be referred to as a longitudinal or length dimension. Each blade 92 includes a pair of primary surfaces 98 that are oppositely disposed. In the illustrated embodiment, these primary surfaces are flat or planar, although other contours/shapes may be appropriate. There are basically two groups of blades 92 for the tumbler 80—a plurality of first blades 92a that extend at least generally from the first tumbler end 86a, and a plurality of second blades 92b that extend at least generally from the second tumbler end 86b. The outlet region 78 is located in the longitudinal dimension between the first blades 92a and the second blades 92b. As such, the plurality of first blades 92a may be characterized as being part of a first longitudinal segment of the tumbler 80, the outlet region 78 may be characterized as being part of a second longitudinal segment of the tumbler 80, and the plurality of second blades 92b may be characterized as being part of a third longitudinal segment of the tumbler 80. In the illustrated embodiment, these three longitudinal segments may be characterized as being disposed in non-overlapping relation. Another characterization may be that these three longitudinal segments are disposed in end-to-end relation and in the noted order, with the second longitudinal segment (including the outlet region 78) being located between the first longitudinal segment (including the first blades 92a) and the third longitudinal segment (including the second blades 92b) in the longitudinal dimension. The output line 72 extends into the above-noted outlet region 78, which may be characterized as an intermediate longitudinal segment of the tumbler 80. In the illustrated embodiment, the output line 72 includes a first section 74a that extends at least primarily in the longitudinal dimension (e.g., at least generally parallel with the rotational axis 110), and a second section 74b that extends at least primarily in a downward direction. An end of the second section 74b includes an output/outlet port 76. The output port 76 is spaced from the interior surface 84a of the sidewall 82 for the tumbler 80. In one embodiment, the spacing between the output port 76 and the interior surface 84a is within a range of about 0.125 inches to about 0.135 inches. Generally, the output port 76 should be spaced from the interior surface 84a of the sidewall 82 of the tumbler 80 a sufficient distance so that the output port 76 does not become clogged. However, spacing the output port 76 too far away from the interior surface 84a of the sidewall 82 of the tumbler 80 is also undesirable in that it will leave a large quantity of slurry within the tumbler 80. Each blade 92 includes a first blade end 94 and a second blade end 96. The length of a given blade 92 corresponds with the spacing between its first blade end 94 and its second blade end 96. In the case of the first blades 92a, the first blade end 94 may be located on or adjacent to the first tumbler end 86a and the second blade end 96 may be spaced from the first tumbler end 86a (e.g., each first blade 92a may be characterized as extending from the first tumbler end 86a at least generally in the direction of the second tumbler end 86b, but terminating prior to reaching the second tumbler end 86b). Stated another way, the second blade end 96 of each first blade 92a may be located between the second tumbler end 86b and its corresponding first blade end 94 in the longitudinal dimension. In the case of the second blades 92b, the first blade end 94 may be located on or adjacent to the second tumbler end 86b and the second blade end 96 may be spaced from the second tumbler end 86b (e.g., each second blade 92b may be characterized as extending from the second tumbler end 86b at least generally in the direction of the first tumbler end 86a, but terminating prior to reaching the first tumbler end 86a). Stated another way, the second blade end 96 of each second blade 92b may be located between the first tumbler end 86a and its corresponding first blade end 94 in the longitudinal dimension. Each of the blades 92 may be characterized as being “center angled.” Center angling of the various blades 92 may promote a desired mixing action within the mixing chamber 90 of the horizontal mixer 50. A number of characterizations may be made in relation to the orientation of each blade 92 relative to the rotational axis 110 of the tumbler 80, which may apply individually or in any combination. Consider the case where a plurality of reference axes 112 are on the sidewall 82 of the tumbler 80 and are parallel to the rotational axis 110 of the tumbler 80. The first blade end 94 may be on one such reference axis 112 and its corresponding second blade end 96 may be on a different reference axis (e.g., FIG. 6) for each of the various blades 92, and which may be used to promote a desired mixing action in the mixing chamber 90 of the tumbler 80. Each blade 92 may be of the same height, where “height” is the distance that the blades 92 extend away from where the blades 92 intersect with the interior surface 84a of the tumbler 80. The height of each blade 92 may be constant along the entire length thereof. In one embodiment, the first blade end 94 of each blade 92 at its intersection with the interior surface 84a of the tumbler 80 is at a different elevation than its corresponding second blade end 94 at its intersection with the interior surface 84a, where the elevation is measured relative to a horizontal reference plane located below the tumbler 80. In one embodiment, the elevation continually changes at the intersection between each blade 92 and the interior surface 84a of the tumbler 80 proceeding from its first blade end 94 to its corresponding second blade end 96, again where the elevation is measured relative to a horizontal reference plane located below the tumbler 80. The first blade end 94 may leads its corresponding second blade end 96 in a first rotational direction in the case of each blade 92, and which may be used to promote a desired mixing action in the mixing chamber 90 of the tumbler 80. In the view shown in FIGS. 5A and 5B, the first rotational direction is counterclockwise. The arrow about the rotational axis 110 indicates the first rotational direction in each of FIGS. 2, 5A, 5B, and 7 (again, counterclockwise). Stated another way, the second blade end 96 may lag its corresponding first blade end 94 in a first rotational direction in the case of each blade 92. FIG. 7 further illustrates the above-noted leading/lagging relationship, with the arrow about the rotational axis 110 being the first rotational direction. In FIG. 7, the first blade end 94 of each first blade 92a is shown in dashed lines, as is an edge corresponding with each corresponding second blade end 96. During rotation of the tumbler 80 in the first rotational direction, the first blade end 94 of each first blade 92a will reach and pass the 6 o'clock position (such a “clock” being measured about the rotational axis 110) before its corresponding second blade end 96 reaches and passes the 6 o'clock position. The various blades 92 for the mixer 50 are arranged so that there is a plurality of blade pairs 100 that are spaced about the rotational axis 110 (e.g., each blade pair being located at a different angular position relative to and measured about the rotational axis 110). Any number of blade pairs 100 may be utilized (6 blade pairs 100 in the illustrated embodiment). The blade pairs 100 are equally spaced about the rotational axis 100 in the illustrated embodiment, although other spacing arrangements could be utilized. Each blade pair 100 includes one first blade 92a and one second blade 92b. In the illustrated embodiment, the first blade 92a and its corresponding second blade 92b (one first blade 92a and its corresponding second blade 92b defining a blade pair 100) are disposed in a mirror image relationship to each other. Referring back to FIG. 6, there is an included angle 114a between each first blade 92a and a reference axis 112 that is tangent to its second blade end 96 (again, where each reference axis 112 is parallel to the rotational axis 110), and there is an included angle 114b between each second blade 92b and a reference axis 112 that is tangent to its second blade end 96. In the illustrated embodiment, the magnitude of each included angle 114a is the same for all first blades 92a, the magnitude of each included angle 114b is the same for all second blades 92b, and the magnitudes of the included angles 114a and 114b are the same. This allows for the above-noted mirror image relationship. In one embodiment, each included angle 114a, 114b is within a range of about 3° to about 4°. The incline of the various blades 92a, 92b allows the output line 72, more specifically its output port 76, to be disposed in a “deeper reservoir” of slurry within the tumbler 80. The various blade pairs 100 have an at least generally V-shaped profile. The second blade ends 96 of each blade pair 100 are separated by a gap 102 that coincides with the region 78 into which the output line 72 extends for withdrawing slurry from the mixer 50. The “V” of each blade pair 100 is oriented such that the noted gap 102 is the trailing portion of each blade pair 100 in the above-noted first rotational direction that is used for promoting a desired mixing action within the mixing chamber 90 during rotation of the tumbler 80 about its rotational axis 110 in the first rotational direction. Stated another way, the blade pairs 100 are orientated so each blade pair 100 is in the form of a concave structure in the first rotational direction (e.g., each blade pair 100 collectively defines an at least generally concave profile relative to the first rotational direction). There are other alternatives in relation to the arrangement of the various first blades 92a and the various second blades 92b. The magnitude of the included angle 114a of each first blade 92a may be the same, the magnitude of the included angle 114b of each second blade 92b may be the same, but the magnitudes of the included angles 114a and included angles 114b may be different. It may be such that one or more different magnitudes are utilized for the included angle 114a of the various first blades 92a (e.g., one or more first blades 92a may be disposed at one common included angle 114a, while one or more other first blades 92a may be disposed at another common included angle 114a), that one or more different magnitudes are utilized for the included angle 114b of the various second blades 92b (e.g., one or more second blades 92b may be disposed at one common included angle 114b, while one or more other second blades 92b may be disposed at another common included angle 114b), or both. Other arrangement of the first blades 92a relative to the second blades 92b may be utilized. For instance, the first blades 92a may be disposed about the rotational axis 110 in one pattern, and the second blades 92b may be disposed about the rotational axis 110 in a different pattern. The first blades 92a and second blades 92b may be disposed in staggered relation about the rotational axis 110. For instance, when the first blade end 94 of the first blades 92a are at the 2, 4, 6, 8, 10, and 12 o'clock positions in a first static position for the tumbler 80, the first blade end 94 of the second blades 92b may be at the 1, 3, 5, 7, 9, and 11 o'clock positions. The horizontal mixer 50 may be used in the fluid system 10 (in place of the horizontal mixer 20) to provide a slurry from which radioisotopes are produced. FIG. 8 illustrates one embodiment of such a production method 120. The production method 120 includes mixing a slurry (step 122). The horizontal mixer 50 may be used to mix such a slurry, including when incorporated into the fluid system 10. In one embodiment, the slurry includes particles of alumina. In other embodiments, other adsorbant or resin particles known in the chromatographic chemistry arts may be mixed in a slurry form. The slurry may be dispensed into an appropriate container (e.g., a glass column) pursuant to step 124 of the production method 120. This may entail using an appropriate dispensing apparatus, or it may be done by hand. Once the slurry is added to the column, the column may be loaded with a chemical or compound that adsorbs to the adsorbant materials that were part of the slurry (Step 126). In one embodiment, the column is utilized in a technetium generator wherein molybdenium-99 is added to the column, adsorbing onto the alumina column packing material. Over time, the molybdenium-99 decays to technetium-99m, a daughter radioisotope that is used in many nuclear medicine procedures (Step 128). While molybdenium-99 remains adsorbed to alumina, technetium-99m washes off of the alumina when water is passed through the column. Chromatographic separation of technetium-99m from molybdenum-99 may therefore occur by passing a water eluant through the column (Step 130). The technetium-99m is then isolated and utilized in medical applications such as medical diagnosis, medical treatment, and medical research. FIGS. 9-10 present one embodiment of a slurry dispenser 140. This slurry dispenser 140 may be used by the slurry dispensing system 10 of FIG. 1 in place of the dispenser 30, and including in the practice of the radioisotope production method 120 illustrated in FIG. 8. Generally, the slurry dispenser 140 is able to provide a metered quantity of slurry on an automated or at least semi-automated basis. The slurry dispenser 140 may provide a metered quantity of slurry to an appropriate container 36. Components of the slurry dispenser 140 include a slurry bypass section 150, a slurry bypass valve section 170, a metering section 190, a dispensing valve section 200, and a container holder/alignment section 220. A slurry flow from the mixer 20 (FIG. 1) may be introduced into the slurry bypass section 150. The dispensing valve section 200 may be configured (e.g., via programmed control) to fluidly isolate the metering section 190 from the container 36, and the slurry bypass valve section 170 may be configured (e.g., via programmed control) to establish a fluid flow path between the slurry bypass section 150 and the metering section 190 (e.g., to establish fluid communication). As such, at least part of the slurry flow being directed into the slurry dispenser 140 may, in turn, be directed into the metering section 190. Typically, part of the slurry flow will be directed from the slurry bypass section 150 into the metering section 190, while a remainder of the slurry flow being introduced into the slurry bypass section 150 will be recirculated back to the mixer 20 (FIG. 1). When a desired quantity of slurry exists within the metering section 190, the slurry bypass valve section 170 may be configured (e.g., via programmed control) to fluidly isolate the slurry bypass section 150 from the metering section 190. Thereafter, the dispensing valve section 200 may be configured (e.g., via programmed control) to provide a fluid flow path between the metering section 190 and the container 36. As such, slurry from the metering chamber section 190 may be dispensed into the container 36. This general protocol or sequence may be repeated for each container slurry-loading operation (e.g., to sequentially provide a metered quantity of slurry into a plurality of containers 36). The slurry bypass section 150 receives a slurry flow from the mixer 20 (FIG. 1). A slurry bypass channel 154 extends through a slurry bypass housing 152 of the slurry bypass section 150. One end of the slurry bypass channel 154 may be characterized as a dispenser inlet port 156. A flow path (e.g., output line 26 in FIG. 1) extends between the dispenser inlet port 156 and an outlet 20a of the mixer 20. An opposite end of the slurry bypass channel 154 may be characterized as a dispenser recirculation port 158. A flow path (e.g., recirculation line 34 in FIG. 1) extends between the dispenser recirculation port 158 and a recirculation port 20b of the mixer 20. The slurry flow from the mixer 20 may enter the slurry bypass channel 154 via the dispenser inlet port 156, may flow through the slurry bypass channel 154, and may exit the slurry bypass channel 154 via the dispenser recirculation port 158 where this slurry flow is then directed back to the mixer 20—all when the slurry bypass valve section 170 is configured (e.g., by programmed control) to fluidly isolate the slurry bypass channel 154 from the metering section 190. When a container 36 is appropriately positioned relative to the slurry dispenser 140 (e.g., interfacing with the container holder/alignment section 220), the slurry bypass valve section 170 may be configured (e.g., by programmed control) to allow slurry from the slurry bypass channel 154 to be directed into the metering section 190. At this time, slurry may continue to flow out of the dispenser recirculation port 158 and back to the mixer 20. In any case and to accommodate the provision of slurry from the slurry bypass channel 154 to the metering section 190, the slurry bypass section 150 further includes a slurry flow channel 160. This slurry flow channel 160 intersects with the slurry bypass channel 154 somewhere between its dispenser inlet port 156 and dispenser recirculation port 158, and extends to a perimeter or exterior of the slurry bypass housing 152. Each of the slurry bypass channel 154 and the slurry flow channel 160 may be of any appropriate size, shape, and/or configuration. For instance, although each of the slurry bypass channel 154 and the slurry flow channel 160 are linear in the illustrated embodiment, other orientations/configurations may be appropriate. In the illustrated embodiment, a flow through the slurry bypass channel 154 is orthogonal to a flow through the slurry flow channel 160. The slurry bypass valve section 170 controls the flow of slurry between the slurry bypass section 150 and the metering section 190. The slurry bypass valve section 170 includes a slurry bypass valve housing 178 that may be disposed in interfacing relation with an end of the slurry bypass housing 152. The slurry bypass housing 152 includes a slurry flow channel 180 that extends completely through the slurry bypass valve housing 178. One end of the slurry flow channel 180 adjoins a corresponding end of the slurry flow channel 160 of the slurry bypass section 150. As such, slurry may be directed from the slurry bypass channel 154 of the slurry bias pass section 150, into the slurry flow channel 160 of the slurry bypass section 150, and into the slurry flow channel 180 of the slurry bypass valve section 170, and ultimately into the metering section 190. Collectively, the slurry flow channel 160 and the slurry flow channel 180 may be characterized as a slurry inlet channel for the metering section 190, specifically, its metering chamber 194. A bypass valve 172 controls the flow through the slurry flow channel 180 of the slurry bypass valve section 170. The bypass valve 172 may be of any appropriate size, shape, configuration, and/or type. In the illustrated embodiment, the bypass valve 172 is in the form of a hollow, flexible structure (the slurry flow channel 180 extending through the bypass valve 172). The bypass valve 172 may be actuated in any appropriate manner. In the illustrated embodiment, the bypass valve 172 is air-actuated, although other appropriate actuating fluids could be utilized. As such, the slurry bypass valve housing 178 includes a pressurizing air chamber 174 that is disposed about the bypass valve 172, and a pressurizing air port 176 that extends to this pressurizing air chamber 174. Pressurized air from a pressurizing air source 182 may be directed through the pressurizing air port 176 and into the pressurizing air chamber 174 (e.g., via programmed control) to compress the bypass valve 172 (e.g., in a radially-inward direction). Compression of the bypass valve 172 blocks the slurry flow channel 180 to fluidly isolate the slurry bypass section 150 from the metering section 190. As such, slurry within the slurry flow channel 160 of the slurry bypass section 150 is not able to reach the metering section 190 at this time. The metering section 190 receives slurry from the slurry bypass section 150 and may dispense a metered quantity of slurry (e.g., via programmed control) to a container 36. The metering section 190 includes a metering chamber housing 192. One end of the metering chamber housing 192 may be disposed in interfacing relation with a corresponding end of the slurry bypass valve housing 178. Therefore and in the case of the illustrated embodiment, the slurry bypass valve housing 178 may be characterized as being sandwiched between the slurry bypass housing 152 and the metering chamber housing 192. A metering chamber 194 exists within the metering chamber housing 192. A metering chamber inlet 196 may be disposed adjacent to a corresponding end of the slurry flow channel 180 through the slurry bypass valve section 170. Although the bypass valve 172 is illustrated as being at least slightly spaced back from the metering chamber inlet 196, the bypass valve 172 could be disposed adjacent to the metering chamber inlet 196. However, part of the metered quantity of slurry to be dispensed from the slurry dispenser 140 could be contained within the portion of the slurry flow channel 180 that is located between the bypass valve 172 and the metering chamber 194. The metering chamber 194 also includes a metering chamber outlet 198 through which slurry may be dispensed to a container 36. The dispensing valve section 200 controls the flow of slurry between the metering section 190 and the container 36. The dispensing valve section 200 includes a dispensing valve housing 202 that may be disposed in interfacing relation with an end of the metering chamber housing 192. The dispensing valve housing 202 includes a slurry flow channel 210 that extends completely through the dispensing valve housing 202. One end of the slurry flow channel 210 adjoins a corresponding end of the metering chamber 194 of the metering section 190. As such, slurry may be directed from the metering chamber 194 of the metering section 190 and into the slurry flow channel 210 of the dispensing valve section 200. A dispensing valve 204 controls the flow through the slurry flow channel 210 of the dispensing valve section 200, and thereby the flow out of the metering section 190. The dispensing valve 204 may be of any appropriate size, shape, configuration, and/or type. In the illustrated embodiment, the dispensing valve 204 is in the form of a hollow, flexible structure (the slurry flow channel 210 extending through the dispensing valve 204). The dispensing valve 204 may be actuated in any appropriate manner. In the illustrated embodiment, the dispensing valve 204 2 is air-actuated, although other appropriate actuating fluids may be utilized. As such, the dispensing valve housing 202 includes a pressurizing air chamber 206 that is disposed about the dispensing valve 204, and a pressurizing air port 208 that extends to this pressurizing air chamber 206. Pressurized air from a pressurizing air source 214 may be directed through the pressurizing air port 208 and into the pressurizing air chamber 206 (e.g., via programmed control) to compress the dispensing valve 204 (e.g., in a radially-inward direction). Compression of the dispensing valve 204 blocks the slurry flow channel 210 to fluidly isolate the metering section 190 from the container 36. As such, slurry within the slurry flow channel 210 of the dispensing valve section 200 that is upstream of the dispensing valve 204 (and including slurry in the metering chamber 194) is not able to reach the container 36 at this time. Although the dispensing valve 204 is illustrated as being at least slightly spaced downstream of the metering chamber outlet 198 of the metering section 190, the dispensing valve 204 could be disposed adjacent to the metering chamber 198. However, part of the metered quantity of slurry to be dispensed from the slurry dispenser 140 could be contained within the portion of the slurry flow channel 210 that is located between the dispensing valve 204 and the metering chamber 194. The container holder/alignment section 220 receives slurry (e.g., a metered quantity) from the dispensing valve section 200 and directs the same into a properly positioned container 36. The container holder/alignment section 220 includes a container holder/alignment housing 222. One end of the container holder/alignment housing 222 may be disposed in interfacing relation with a corresponding end of the dispensing valve housing 202. Therefore and in the case of the illustrated embodiment, the dispensing valve housing 202 is sandwiched between the container holder/alignment housing 222 and the metering chamber housing 192. A slurry flow channel 226 extends through the container holder/alignment housing 222 to a container receptacle 224 in which at least an end portion of the container 36 may be disposed. A flow of slurry into the slurry flow channel 226 is thereby directed into the container 36. The container 36 may be maintained in position for receiving slurry from the slurry flow channel 226 of the container holder/alignment section 220 in any appropriate manner. Any appropriate way of providing a seal between the container 36 and the slurry dispenser 140 may be utilized. The slurry dispenser 140 as described may be used to deliver a metered quantity of slurry from the mixer 20 to a container 36 (FIG. 1). This metered quantity may coincide with introducing slurry into the metering chamber 194 on a timed basis. The slurry dispenser 140, however, is not limited to only providing a metered quantity of slurry. In any case, FIGS. 9 and 10 illustrate a further component that may be utilized by the slurry dispenser 140 and that may enhance one or more aspects relating to the delivery of slurry to the container 36. An injector or injection needle 230 extends into the slurry dispenser 140 in the illustrated embodiment. More specifically, the injection needle 230 extends through the slurry bypass channel 154 and slurry flow channel 160 of the slurry bypass section 150, and through the slurry flow channel 180 of the slurry bypass valve section 170. In the illustrated embodiment, the injection needle 230 terminates at the metering chamber inlet 196 of the metering chamber 194. Notwithstanding the illustrated relative positioning of the injection needle 230 and the internal flow path through the slurry dispenser 152 to the metering chamber 194, other relative positionings may be utilized. For instance, the injection needle 230 could merely extend through the slurry bypass channel 154, through the slurry flow channel 160, and at least slightly past the location of the bypass valve 172 in the slurry flow channel 180 (e.g. so that fluid may be discharged from the injection needle 230 at a location that is downstream of the bypass valve 172 when it is in its closed configuration). In this regard, when the bypass valve 172 is moved to its closed position (e.g., via programmed control), the bypass valve 172 may seal against an exterior of the injection needle 230 to block the flow of slurry into the metering chamber 194 from the slurry bypass channel 154. The injection needle 230 is disposed perpendicularly to a flow through the slurry bypass channel 154, and is disposed parallel to a flow through the slurry flow channel 160 and the slurry flow channel 180. The injection needle 230 is sized so that flow through the slurry bypass section 150 is able to flow around an exterior of the injection needle 230. Moreover, the injection needle 230 is sized so that flow through the slurry flow channel 160 and the slurry flow channel 180 is able to flow around an exterior of the injection needle 230. For instance, the effective diameter of the injection needle 230 within the slurry bypass channel 154 may be smaller than the effective diameter of the portion of the slurry bypass channel 154 through which the injection needle 230 extends. Moreover, the effective diameter of the injection needle 230 within the slurry flow channel 160 may be smaller than the effective diameter of the portion of the slurry flow channel 160 through which the injection needle 230 extends. Finally, the effective diameter of the injection needle 230 within the slurry flow channel 180 may be smaller than the effective diameter of the portion of the slurry flow channel 180 through which the injection needle 230 extends. Positioning the injection needle 230 within at least part of a flow path through the slurry dispenser 140 may be advantageous in maintaining a desired homogeneity of particles within the slurry. For instance, this may create a disturbance or eddy current, adding to the mixing of particles as the slurry passes the injection needle 230 (a secondary action (e.g., in the form of an eddy current) may also be present and/or generated when the slurry bypass valve 172 opens). This injection needle 230 again helps redirect the slurry into the metering chamber 194. A fluid source 232 is fluidly connected with the injection needle 230, and may contain a fluid of any appropriate type (e.g., air, water, or solvent). Generally, fluid from the fluid source 232 may be directed through the injection needle 230 and discharged into the metering chamber 194 at any appropriate time and for any appropriate purpose. For instance, this fluid injection may occur when the bypass valve 172 is in its closed position or configuration. More specifically, the fluid may be discharged from the injection needle 230 in conjunction with dispensing slurry from the metering chamber 194. This fluid from the injection needle 230 could be used to facilitate the flow of slurry out of the metering chamber 194 (e.g., by being directed into the metering chamber 194 under a suitable pressure before or after the dispensing valve 204 has been opened to “push” the slurry out of the dispensing chamber 194 and into the container 36). This fluid from the injection needle 230 may also be used to flush the metering chamber 194 after the slurry has been dispensed therefrom. The slurry dispenser 140 may be operated on automated or at least semi-automated basis in relation to the dispensing of a metered quantity of slurry into the container 36, including when the slurry dispenser 140 replaces the dispenser 30 in the slurry dispensing system 10 of FIG. 1. In this regard, a controller 260 may be operatively interconnected with the slurry dispenser 140. This controller 260 may be of any appropriate configuration, for instance including an appropriate microprocessor 262 and memory 264. A user interface 270 of any appropriate type may be used to communicate with the controller 260. The user interface 270 may be used to provide one or more inputs to the controller 260 in any appropriate manner relating to the desired manner of controlling at least the slurry dispenser 140, to display information relating to the controller 260 and/or the slurry dispenser 140, or both. Generally, the controller 260 may be configured to control the opening and closing of each of the bypass valve 172 and dispensing valve 204, as well as the delivery of fluid from the fluid source 232 to the injection needle 230. One embodiment of a container slurry-loading sequence or protocol that may be programmed into the controller 260 in any appropriate manner is illustrated in FIG. 11 and is identified by reference numeral 240. A flow of slurry from the mixer 22 to the slurry dispenser 140 may be initiated pursuant to step 242 of this container slurry-loading protocol 240. For instance, the controller 260 may signal one or more of the drive source 22 for the mixer 20, the peristaltic pump 28, and any valving in the output line 26. In any case, slurry is directed into the slurry bypass channel 154 of the slurry dispenser 140 pursuant to step 242. Again, at least part of this flow may be directed out of the dispenser recirculation port 158 of the slurry dispenser 140 and recirculated back to the mixer 20 via the recirculation line 34. The slurry dispensing valve 204 may be closed to fluidly isolate the metering chamber 194 from a container 36 that is in proper position for receiving slurry from the slurry dispenser 140 (e.g., disposed within the container receptacle 224 of the container holder/alignment section 220) pursuant to step 244 of the protocol 240. The controller 260 may signal the pressurizing air source 214 to initiate a delivery of air under pressure to the pressurizing air port 208, which then directs this pressurized air into the pressurizing air chamber 206 that surrounds the dispensing valve 204. A sufficient increase of pressure within the pressurizing air chamber 206 will compress the dispensing valve 204 to fluidly isolate the metering chamber 194 from the container 36, or to preclude flow between the metering chamber 194 and the container 36 (e.g. by having the valve 204 block the slurry channel 210. The slurry bypass valve 172 may be opened to provide a flow path between the slurry bypass section 150 of the slurry dispenser 140 (specifically the slurry bypass channel 154 and the slurry flow channel 160) and the metering chamber 194 pursuant to step 246 of the protocol 240. The controller 260 may signal the pressurizing air source 182 to terminate a delivery of air under pressure to the pressurizing air port 176 (or to at least reduce the air flow into the air pressurizing chamber 174 that surrounds the slurry bypass valve 172), to allow the slurry bypass valve 172 to move to its open position (FIG. 10). The elasticity of the slurry bypass valve 172 may provide the sole force for moving the slurry bypass valve 172 from its closed position (where it fluidly isolates the slurry bypass section 150 from the metering chamber 194) to its open position (where a flow path exists from the slurry bypass channel 154 to the metering chamber 194). There may be circumstances where different configurations of the bypass valve 172 may be appropriate, including where an actuation signal is used to provide a motive force to move the bypass valve 172 to its open position and where an elasticity of the bypass valve 172 is used to move the bypass valve 172 from its open position to its closed position, or where an actuation signal is used to provide a motive force to move the bypass valve 172 to each of its open and closed positions (not shown). Step 246 of the container slurry-loading protocol 240 (opening of the slurry bypass valve 172 via programmed control) may be executed after step 244 (closure of the slurry dispensing valve 244 via programmed control). In at least some circumstances it may be appropriate for steps 244 and 246 of the container slurry-loading protocol 240 to be executed simultaneously. For instance, this simultaneous opening of the slurry bypass valve 172 and closing of the slurry dispensing valve 244 may be utilized to allow for “filling” of the metering chamber 194 where accuracy is less important. The loading in this case is controlled by flow over time and for instances where exact metering is not needed and/or is not as important. After the slurry dispensing valve 204 has been closed (step 244) and after the slurry bypass valve 172 has been opened (step 246), the container slurry-loading protocol 240 directs slurry into the metering chamber 194 (step 248). The slurry flowing through the slurry bypass channel 154 of the slurry bypass section 150 is able to flow into the slurry flow channel 160 of the slurry bypass section 150, into the slurry flow channel 180 of the slurry bypass valve section 170, and into the metering chamber 194. As the slurry dispensing valve 204 has been previously closed (step 244), slurry is unable to progress to the container 36 at this time. When a desired or metered quantity of slurry has been directed into the metering chamber 194, the slurry bypass valve 172 may be closed via programmed control (step 250). This once again fluidly isolates the slurry bypass section 150 from the metering chamber 194—slurry is no longer able to flow from slurry bypass channel 154 and slurry flow channel 160 of the slurry bypass section 150 into the metering chamber 194. Any appropriate basis may be used to determine how much slurry should be directed into the metering chamber 194. For instance, the controller 260 may be configured to maintain the slurry bypass valve 172 in an open configuration for a predetermined amount of time, which should correspond with providing a certain quantity of slurry into the metering chamber 194 assuming a constant flow rate through the slurry bypass channel 154. In any case, when a determination has been made that the slurry bypass valve 172 should be closed via programmed control (step 250), the controller 260 may signal the pressurizing air source 182 to initiate a delivery of air under pressure to the pressurizing air port 176, which then directs this pressurized air into the pressurizing air chamber 174 that surrounds the slurry bypass valve 172. A sufficient increase of pressure within the pressurizing air chamber 174 will compress the slurry bypass valve 172 to fluidly isolate the slurry bypass section 150 from the metering chamber 194 (e.g. by having the slurry bypass valve 172 block the slurry channel 180). Typically after the slurry bypass valve 172 has been closed (step 250), the slurry dispensing valve 204 may be opened via programmed control (252). However, there may be circumstances where the closing of the slurry bypass valve 172 (step 250) and the opening of the dispensing valve 204 (step 252) may be undertaken on a simultaneous basis. Opening the dispensing valve 204 provides a flow path between the metering chamber 194 and the container 36. The controller 260 may signal the pressurizing air source 214 to terminate a delivery of air under pressure to the pressurizing air port 208 (or to at least reduce the air flow into the air pressurizing chamber 206 that surrounds the dispensing valve 204), to allow the dispensing valve 204 to move to its open position (FIG. 10). The elasticity of the dispensing valve 204 may provide the sole force for moving the dispensing valve 204 from its closed position (where it fluidly isolates the metering chamber 194 from the container 36) to its open position (where a flow path exists from the metering chamber 194 and the container 36). As in the case of the slurry bypass valve 172, there may be circumstances where different configurations of the dispensing valve 204 may be appropriate, including where an actuation signal is used to provide a motive force to move the dispensing valve 204 to its open position and where an elasticity of the dispensing valve 204 is used to move the dispensing valve 204 from its open position to its closed position, or where an actuation signal is used to provide a motive force to move the dispensing valve 204 to each of its open and closed positions (not shown). After the dispensing valve 204 has been opened via programmed control (step 252), the slurry from the metering chamber 194 may be dispensed into the container 36 (e.g., via the slurry flow channel 226). Gravitational forces may provide the sole force for directing the slurry out of the metering chamber 194 and into the container 36. However and as discussed above, an appropriate fluid (e.g., air or water) may be introduced into the metering chamber 194 to facilitate the removal of the slurry from the bypass channel. In this regard, the controller 260 may signal the fluid source 232 to initiate a flow of fluid into the injection needle 230, and into the metering chamber 194. This flow of fluid may also be initiated to flush the metering chamber 194 after the slurry has been dispensed into the container 36. Based upon the foregoing, it should be appreciated that at the slurry dispenser 140 may be operated under programmed control. This programmed control may at least in part be time-based. For instance, the bypass valve 172 may be opened to initiate a flow of slurry into the metering chamber 194 with the dispensing valve 204 being in a closed configuration. After the expiration of a programmed amount of time (e.g., input to the controller 260 via the user interface 270), the bypass valve 172 may be closed by the controller 260 and the dispensing valve 204 may be opened. The foregoing description of the present invention has been presented for purposes of illustration and description. Furthermore, the description is not intended to limit the invention to the form disclosed herein. Consequently, variations and modifications commensurate with the above teachings, and skill and knowledge of the relevant art, are within the scope of the present invention. The embodiments described hereinabove are further intended to explain best modes known of practicing the invention and to enable others skilled in the art to utilize the invention in such, or other embodiments and with various modifications required by the particular application(s) or use(s) of the present invention. It is intended that the appended claims be construed to include alternative embodiments to the extent permitted by the prior art. |
|
054328349 | description | DESCRIPTION OF A PREFERRED EMBODIMENT Referring to FIGS. 1, 2A, 2B and 2C, a patient 1 lies horizontally during scanning on a table 2. X-ray radiation produced by an x-ray source 3 located beneath table 2 is transmitted through patient 1 to a detector 4 having an array of detector positions and located above patient 1. Both x-ray source 3 and detector 4 are supported on a rigid arm 5 which maintains a selected source-to-detector distance and alignment. In this example of the invention, x-ray source 3 has a stationary anode. Adjacent x-ray source 3 is a slit collimator 6 made of a material an x-ray opaque material such as lead or tungsten of sufficient thickness to substantially block x-rays from source 3. One or more selectable slits have been machined into collimator 6 to allow passage of the x-rays therethrough. The preferred embodiment includes a 1 mm wide collimator slit. The x-ray radiation from the x-ray source 3 passes through the slit in the collimator 6 and forms a fan shaped beam of x-rays 3a. The angle subtended by beam 3a and the distance between its origin at the focal spot of the x-ray tube and patient 1 are selected such that beam 3a would not cover the entire cross-section of a typical adult patient at any one time but would cover only a selected portion of the width. In the preferred embodiment, fan beam 3a has a maximum fan angle of 22 degrees, whereas a fan angle of 65 degrees may be required to completely cover patient 1 for whole body analysis. Of course, x-ray beam 3a not only has width (along the X-axis illustrated in the Figures) but also has a thickness along the Y-axis that is defined by the width of the slit in collimator 6 and its distance from the origin of beam 3a. A scan line is defined by the area of the patient irradiated at any one time, i.e. the width and thickness of the x-ray beam over which data is collected at one point in time. A complete pass or scan consists of a set of adjacent scan lines obtained over a period of time such that the entire region of interest has been measured. Opposite x-ray source 3 is detector 4 which in this embodiment comprises approximately 200 detector elements arranged in a linear configuration along the XZ plane which is about 16" long and is about 42" from the origin of beam 3a (42" source-to-detector spacing) and subtends a 22 degree fan angle. The detector elements making up detector 4 are fixed with respect to x-ray source 3. However, both x-ray source 3 and detector 4 can move with respect to patient 1 and table 2. One motion translates fan beam 3a along the patient axis defined by the spine, i.e., in the Y-direction. Another motion rotates beam 3a around the patient. The center of rotation is at a point C (see FIG. 5A) determined by the support arm 5 and the method of rotation employed. In this embodiment, the detectors and x-ray source are mounted to C-arm 5 which rotates on a set of rollers 7. Thus, the center of rotation is determined by the outer radius R of the C-arm, and is not at the origin (focal spot) of beam 3a. Patient 1 lies on a table 2, for example in the supine position. Table 2 can move horizontally along the X-axis as well as vertically along the Z-axis. These motions can be carried out by using a toothed-belt driven by a stepping motor or a DC servo motor, although other implementations such as stepper-motor driven lead-screws can also be employed. To perform a scan, a series of scan lines of data must be acquired. To do this, C-arm 5 carrying the x-ray source 3 and detector 4 is moved along the Y-axis along the length of patient 1. This motion moves detector 4 and x-ray source 3 to form a succession of spatially overlapping scan lines adding up to a scanned rectangular area. The signals produced by the detectors in response to x-rays impinging thereon at successive scan lines are digitized by an analog to digital (A/D) converter and are stored, for example on disk. A computer processes the signals from the A/D converter into density representations and images using the principles disclosed in the prior art discussed in the background section of this disclosure. For body structures of interest such as the spine, hip and wrist, only a single pass of fan beam 3a along the Y-axis is required because typically the area of interest in the patient's body is covered by fan beam 3a as shown in FIG. 2A for the Posteroanterior (PA) spine and in FIG. 2B for the hip. Indeed, a fan beam of only 14 degrees can be sufficient for the geometry of this embodiment to fully illuminate these body areas with x-rays. FIG. 2C shows the positioning for a lateral scan of the spine in which the view is orthogonal to the standard PA spine view. To attain this position, a series of movements of C-arm 5 and table 2 are required to ensure that the table and C-arm clear each other. In this embodiment, table 2 is moved along the X-axis and the Z-axis appropriately while C-arm 5 is rotated about an Y-axis passing through point C until the desired lateral position is reached. Whole body analysis requires that the entire body be illuminated with x-rays. Referring to FIG. 3A, a fan beam 3b of approximately 65 degrees can be suitable for completely illuminating the entire cross-section of patient 1. As illustrated in FIG. 3B, this fan beam can be simulated by utilizing multiple passes from a smaller, 22 degree fan beam 3a as long as all of the fan beams emanate from the same focal spot location to maintain the focal spot to patient body relationship. With a fan beam 3a of 22 degrees and the nominal dimensions of the system in this embodiment, three passes along the Y-axis can be made to cover the entire patient 1. Thus, data from passes 1, 2 and 3 from the smaller fan beam 3a can be added together using a computer to provide data that is substantially equivalent to data that would have been obtained if one large fan beam 3b had been used. To provide the smaller fan beams implies rotation of fan beam 3a with the focal spot thereof as the center of rotation. With fan beam 3a in a vertical orientation as in the middle position of fan 3a in FIG. 3B, fan beam 3a for pass 1 is rotated 21.5 degrees from the vertical while fan beam 3a for pass 3 is rotated -21.5 degrees from the vertical. The data from the 0.5 degrees of overlap is blended, e.g., by progressively using more of the data from the next pass as one moves in angle toward the next pass, using for example principle known in second generation CT technology. FIG. 3C shows an enlargement of the area designated P in FIG. 3B, where beams 3a for passes 1 and 2 overlap spatially. Fan beam 3a is slightly wider than the required 21.5 degrees so that there is an overlap of 0.5 degrees between the two passes. The overlapping areas imply that at least two different elements of detector 4 have measured the x-rays attenuated through the same body area. If rotation of beam 3a around its focal spot is possible, implementation of the multiple passes is relatively easy because the only required motion between passes is rotation. However, in the preferred embodiment, the center of rotation C does not coincide with the focal spot. To overcome this, in accordance with the invention the focal spot is made the effective center of rotation through motion of table 2. Referring to FIGS. 4A, 4B and 4C, the three views depict the relative position of table 2 and C-arm 5 for the three passes in the preferred embodiment. Collimator 6 is not shown in these views. Each position maintains constant the spacing between the focal spot of beam 3a and table 2 as well as the location of a vertical intercept from the focal spot to table 2. In FIG. 5A the geometry of pass 1 in relation to pass 2 is detailed. In pass 1, patient 1 lies supine on patient table 2 at position P1 with the focal spot of x-ray source 3 located at F1. In this position, only the left side of patient 1 is illuminated with x-rays within fan beam 3a. If C-arm 5 could now be rotated about the focal spot, the conditions of pass 2' would be achieved in which the central part of the patient would be illuminated. However, the focal spot rotates about the center of rotation of C-arm 5 located at C with a radius R. A rotation through an angle of -.theta. about a pivot axis at point C attains the positioning of pass 2 in which the focal spot is located at F2. To maintain the focal spot of beam 3a as the effective center of rotation, patient table 2 moves to position P2 (without moving patient 1 relative to table 2) in which the spatial relations between F1 and P1 are identical to the spatial relations between F2 and P2, i.e., a vertical drawn from the focal spot intersects patient table 2 at the same point and extends over the same distance. To attain position P2 requires two motions of table 2, one over a distance DX along the X-axis and another over a distance DZ along the Z-axis. These two motions can be consecutive or concurrent. These distances DX and DZ correspond to the differences in X and Z coordinates for focal spot positions F1 and F2. Referring to-FIG. 5B, where the terms are graphically defined, the distances DX and DZ are given by the relationships: EQU DX=(X2-X1)=R[cos .phi.(cos .theta.-1)+sin .phi. sin .theta.] EQU DZ=(Z2-Z1)=R[sin .phi.(cos .theta.-1)-cos .phi. sin .theta.] Patient table 2 is translated along the Z-axis over a distance DX and along the Z-axis over a distance DZ, where .phi. is the angle that F1 makes with the center of rotation C as the origin and .theta. is the angle of rotation between F1 and F2 which in the preferred embodiment is about -21.5 degrees, with the negative angle denoting a clockwise rotation around C between passes 1 and 2. Similarly, for pass 3, the focal spot is translated by DX and DZ with .theta.=-43 degrees. Although the preferred embodiment uses translations of table 2 along the X-axis and Z-axis to maintain the table/focal spot relationship, other embodiments are possible within the scope of the disclosed inventions without loss of generality. For instance, C-arm 5 can be made movable along the X-axis and the Z-axis while table 2 remains stationary, or table 2 and C-arm 5 can share the translations, i.e., C-arm 5 can move along the X-axis (or the Z-axis) while table 2 moves along the Z-axis (or along the X-axis). As illustrated in FIG. 6, an additional analysis called the "oblique hip" can be performed in accordance with the invention by suitably rotating C-arm 5 and translating patient table 2 along the X-axis and the Z-axis. The actual position can be determined beforehand by performing a "scout" scan which is usually a high speed, low dosage scan for the AP hip. In FIG. 6, F1 is the location of the focal spot of beam 3a, and line a-a' represents the field of radiation in patient 1, at a distance L from the focal spot of beam 3a. For convenience and clarity, patient table 2 is not shown in FIG. 6, but its position can be seen in FIG. 4a. A hip designated H1 is offset from the central ray of beam 3a by a distance D which can be quantitatively determined from the scout scan. Upon rotation of C-arm 5 through an angle .theta. (or 23 degrees in the preferred embodiment) the focal spot is now at F2. Table 2 is translated along the X-axis and the Z-axis while patient 1 remains stationary on table 2 so that the patient's hip is at position H2 which is now located in the central ray F2-H2 of the radiation field b-b' in patient 1. In this geometry, the X and Z translations, DX and DZ, of table 2 made to place the hip at H2 are given by the relationships: EQU DX=R cos .phi.[cos .theta.-1]-sin .phi.[R sin .theta.-L]+D EQU DZ=[R sin .phi.+L][cos .theta.-1]+R cos .phi. sin .theta. where R is the distance of the focal spot F1 from the center of rotation C of the focal spot of beam 3a, and .phi. is the angle of the focal spot F1 with respect to the center of rotation C. The distance L from the focal spot to the hip is estimated as the sum of the known distances from F1 to the table plus the estimated distance from the table to the field a-a'. FIG. 7 illustrates an embodiment in accordance with the invention in block diagram form. Gantry 10 includes the structure illustrated in FIG. 1 as well as a suitable power supply for the x-ray tube and the motors needed to move table 2 and C-arm 5 and to operate collimator 6 in a manner similar to that in said QDR-2000 system. Detector 4 supplies x-ray measurements to A/D convertor and preliminary processor 12 which carries out processing similar to that carried out in said QDR-2000 system. The output of element 12 is supplied to a processor 14 which performs various calculations and forms an image in a manner similar to that used in said QDR-2000 system and, additionally, blends the data from successive scans in a manner similar to that used in second generation CT technology to form whole-body images. Data and images from processor 14 are supplied to a console 16, display 18 and a recording device 20 for purposes and in a manner similar to those in said QDR-2000 system. Two-way arrows connect the elements of FIG. 8 to illustrate the fact that two-way communication can take place therebetween. Conventional elements have been omitted from the Figures and from this description for the sake of conciseness. While a preferred embodiment of the invention has been described in detail, it should be understood that changes and variations will be apparent to those skilled in the art which are within the scope of the invention recited in the appended claims. |
abstract | Disclosed are an apparatus and method of filtering a narrow band X-ray. The apparatus includes a micro filter array to configure a plurality of micro filters in an array, a filter array storing unit to store a filter table having information about an angle of each of the plurality of micro filters within the micro filter array, and a control unit to retrieve the filter table to ascertain the angle of each of the plurality of micro filters. The control unit also controls an angle between each of the plurality of micro filters and a panel supporting the plurality of micro filters to be the ascertained angle, and filters and output a received broadband polychromatic X-ray to be the narrow band X-ray. |
|
06310931& | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1a shows a boiling water fuel assembly 1 which comprises a long tubular container, of substantially rectangular cross section, referred to as fuel channel 2. The fuel channel 2 is open at both ends so as to form a continuous flow passage through which the coolant of the reactor flows. The fuel assembly 1 comprises a large number of equally long tubular fuel rods 3, arranged in parallel in a bundle, in which pellets 4 of a nuclear fuel are arranged. The fuel rods 3, are arranged spaced from each other in four orthogonal sub-bundles by means of a cruciform support means 8 (see also FIG. 1b). The respective sub-bundle of fuel rods 3 is retained at the top by a top tie plate 5 and at the bottom by a bottom tie plate 6. The fuel rods 3 in the respective sub-bundle are kept spaced apart from each other by means of spacers 7 and are prevented from bending or vibrating when the reactor is in operation. The bottom tie plate 6 comprises a plurality of through-holes 6a for passage of coolant. Further, the bottom tie plate 6 comprises a plurality of plug holes 6b for guiding the elongated elements 3, 3a with associated end plugs 3b (see FIG. 4). In FIG. 1a, the rod which is arranged nearest the centre line is made as a part-length rod. The part-length rod extends from the bottom tie plate 6 and upwards through the fuel assembly 1 without reaching the top tie plate 5. FIGS. 2a, 2b show a fuel assembly 1 of pressurized-water type which comprises a number of elongated tubular fuel rods 3 and control rod guide tubes 3a, arranged in parallel. The fuel rods 3 accommodate pellets 4 of a nuclear fuel. The control rod guide tubes 3a are retained at the top by a top nozzle 5 and at the bottom by a bottom nozzle 6. The fuel rods 3 are kept spaced apart from each other by means of spacers 7. The holes in FIG. 2b provided with centre lines are plug holes 6b for receiving elongated elements. The other holes 6a are intended for passage of coolant flow. FIG. 3a shows in a view from the side an end plug 3b connected to an elongated element 3, 3a. The end plug 3b is provided with a first locking member in the form of a recess 9a in the form of a slot with a substantially spherical shape which extends around the periphery of the end plug. The lower part of the end plug 3b, designated 3c', may be provided with a bevelled edge to facilitate guiding of the end plug into the plug 6b in the bottom tie plate 6. FIG. 3b shows an alternative embodiment of a first locking member 9b arranged in the end plug 3b. Here, the first locking member is made as a recess in the form of a cavity with a substantially spherical shape. FIG. 4 shows part of a bottom tie plate 6 which comprises two adjacently located plug holes 6b and a locking hole 6c extending between these plug holes 6b and opening out thereinto. Further, a second locking member 10 arranged in the locking hole 6c is shown. In the embodiment chosen, the second locking member 10 made as a substantially circular-cylindrical body 10a which is slidable in the axial direction of the locking hole 6c. Each end of the substantially circular-cylindrical body 10a is made with a radius and has a spherical shape 10b. FIG. 5 shows how the locking plug 10 with its spherical end 10b cooperates with the recess 9a of an end plug 3b according to FIG. 3a. During mounting, the end plug 3b" which is to be locked in the plug hole 6b", that is in the plug hole 6b' shown on the righthand side in FIG. 5, is first inserted, whereby the locking plug 10 is moved laterally thorough the locking hole 6c and partly into the plug hole 6b' which is shown on the lefthand side in FIG. 5. Then, an adjacently located end plug 3b' is inserted into the plug hole 6b'. The end plug 3b' pushes the locking plug 10 in the opposite direction through the locking hole 6c such that it is arranged, with its spherical end 10b, in the spherical recess 9a in the end plug 3b". Thus, one of the end plug 3b" is locked to the bottom tie plate 6 whereas the other end plug 3b' is arranged guided in the bottom tie plate 6. When dismantling elongated elements 3, 3a which are arranged guided with their respective end plugs 3b' in the bottom tie plate, these end plugs are lifted from the plug holes 6b'. To dismantle elongated elements which are arranged with their respective end plugs 3b" locked to the bottom tie plate 6, a certain lifting force in the axial direction is required to achieve a displacement of the locking plug 10 in the lateral direction in the locking hole 6c such that the end plug 3b" with the first locking member 9a is separated from the locking plug 10. By arranging the first locking member as an opening 9b in the end plug 3b, the end plug 3b may be locked so as to prevent rotation in the bottom tie plate 6. Prevention of rotation in the bottom tie plate 6 is desirable, especially when it is a question of locking of spacer holder rods. To prevent the locking plug 10 from leaving the locking hole 6c, when the elongated elements 3, 3a are not mounted in the bottom tie plate 6, a locking pin 11 may be arranged from one of the flat surfaces of the bottom tie plate, in FIGS. 4 and 5 shown from the upper flat surface and at an angle to the locking plug 10. The locking pin 11 may be fixed to the bottom tie plate 6, for example by welding, and is arranged to extend into the locking hole 6c such that the possible displacement of the locking plug 10 between two adjacently located plug holes 6b is limited to a distance which may correspond to the length of a part 10c of the circular-cylindrical body 10a of the locking plug which is provided with a smaller diameter than the rest of the body 10a. The locking plug 10 is suitably placed protected inside the bottom tie plate and is advantageously made of stainless steel, which is good from the point of view of corrosion. Alternatively, the locking plug 10 is made of a nickel-base alloy, for example Inconel. By placing the locking plug 10 inside the bottom tie plate 6, the entire arrangement is protected against the coolant flowing through the fuel assembly 1, thus preventing vibration and abrasion associated therewith. The first and second locking members 9a, 9b, 10 need not, of course, be made with spherical surfaces. The surface may have an arbitrary double-curved shape, a plane shape, or a bevelled shape. The essential point is that the concave shape of the first locking member 9a, 9b may cooperate with the shape of the second locking member 10 for locking the end plug 3b" to the bottom tie plate 6. The locking plug 10 is thus provided at each end with surfaces which are suitable for cooperation with the first locking member 9a, 9b in that end plug 3b which it is to lock and to facilitate dismantling thereof from the bottom tie plate 6. FIG. 6 shows a locking plug 10 which at each end is provided with bevelled edges designated 10d. The end plug 3b" which is to be locked is provided with a waist with corresponding bevelled edges designated 3c" to cooperate with the locking plug 10. FIG. 7 shows a locking plug 10 which at each end is provided with plane end surfaces designated 10e. The end plug 3b" which is to be locked is provided with a waist with corresponding plane surfaces to cooperate with the locking plug 10. To facilitate mounting and dismantling of the elongated elements 3, 3a, the end plug 3b" is further provided with a bevelled edge 3d. FIGS. 5, 6 and 7 show the end plug 3b" with a bevelled edge designated 3e for cooperation with a bevelled edge 6d formed in the bottom tie plate in connection with the mounting of the elongated elements 3, 3a in the bottom tie plate 6. FIG. 8 shows a locking plug 10, the diameter of which substantially corresponds to the diameter of the locking hole 6c. Further, it is shown that a hole for insertion of the locking plug 10 into the bottom tie plate is plugged up (see reference numeral 12). The hole may, for example, be welded up after the locking plug 10 has been inserted into the locking hole 6c. In this way, particles in the coolant are prevented from entering the locking hole 6c, thus causing possible seizing between the locking plug 10 and the locking hole 6c. Plugging of the hole also ensures that the locking plug 10 does not leave the bottom tie plate 6. |
060312416 | summary | This invention relates to capillary discharges for use as imaging sources in Extreme Ultraviolet Lithography (EUVL) and other technologies such as EUV microscopy, interferometry, inspection, metrology, and the like. The invention describes characteristics of sources that radiate intense light in the wavelength region between 10 and 14 nm. The operation of these sources can be determined by: (1) the gas or vapor pressure within the capillary which generates optimum emission flux; (2) the range of discharge currents at which sufficient radiation flux occurs but above which significant detrimental debris and bore erosion begins; (3) the desired range of capillary bore sizes and lengths, some specific gaseous media that radiate effectively in the capillary discharges under the conditions described above, and (4) two specific configurations for housing the capillary discharge system. BACKGROUND AND PRIOR ART A commercially suitable Soft-X-Ray (or EUV) lithography facility will require an intense soft x-ray/EUV light source that can radiate within a specific wavelength region of approximately 11 to 14 nm in the EUV part of the electromagnetic spectrum. This region is determined by the wavelength range over which high reflectivity multilayer coatings exist. The multilayer coatings can be used to manufacture mirrors which can be integrated into EUVL stepper machines. Specifically, these coatings are either Mo:Be multilayer reflective coatings (consisting of alternate ultrathin layers of molybdenum and beryllium) which provide high reflectivity between 11.2 and 12.4 nm, or Mo:Si multilayer reflective coatings (consisting of alternate ultrathin layers of molybdenum and silicon) which provide high reflectivity between 12.4 nm and 14 nm. Thus any intense EUV source emitting in the wavelength range of 11-14 nm may be applicable to lithography. Two proposed EUV sources are synchrotrons which generate synchrotron radiation and soft-x-ray emitting laser-produced plasmas (LPP's). Synchrotron sources have the following drawbacks: the synchrotron and synchrotron support facilities cost up to $100 million or more; together they occupy a space of approximately 1,000,000 cubic feet. Such a volume is incompatible with a typical microlithography fabrication line. Laser produced plasmas that have the necessary wavelength and flux for a microlithography system require a high power laser to be focused onto a target material such that sufficient plasma density can be produced to efficiently absorb the incident laser radiation. Laser produced plasmas have the following drawback: if a solid target material is used, the interaction of the focused laser beam with the target produces an abundant quantity of debris which are ejected from the laser focal region in the form of atoms, ions, and particulates. Such ejecta can accumulate on and thereby damage the optics that are used in collecting the light emitted from the plasma. The use of volatile target materials in LPP sources has been successful in overcoming the debris problem. A volatile target material is simply a material which is unstable to evaporation in a room temperature vacuum, examples of these are liquified or solidified gases such as oxygen or xenon, and also liquids such as water. For these materials, any bulk mass not directly vaporized by the laser pulse will evaporate and will be subsequently pumped away. Thus the excess target material does not collect or condense on the optics. Although such laser-produced plasma sources have been developed for EUVL using oxygen and xenon as radiating species, there still exist two prohibitive drawbacks for which no realistic scenarios of significant improvement have been proposed. First, the total electrical efficiency of such sources is of the order of only 0.005-0.025%. This results from considering the multiplicative combination of the laser efficiency, which is of the order of 1-5%, and the conversion efficiency of laser light to useful EUV radiation (within the reflectivity bandwidth of a multilayer-coated reflecting mirror) of approximately 0.5%. Second, the cost of a laser that would necessarily operate at repetition rates of over 1 kHz would be a minimum of several million dollars. To overcome the unique problems specific to the synchrotron sources and to the LPP sources we have invented a compact electrically produced intense capillary discharge plasma source which could be incorporated into an EUV lithography machine. Compared to synchrotrons and LPP's this source would be significantly more efficient, compact, and of lower cost (both to manufacture and to operate). We envision that one of these sources (along with all the necessary support equipment) would occupy the space of less than 10 cubic feet and would cost less than $ 100,000. One such embodiment of the proposed capillary discharge source was first described in U.S. Pat. No. 5,499,282 by William T. Silfvast issued on Mar. 12, 1996. That particular proposed source would operate in a lithium vapor electrically excited to within specific ranges of plasma electron temperatures (10-20 eV) and electron densities (10.sup.16 to 10.sup.21 cm.sup.31 ) which are required for optimally operating a lithium vapor discharge lamp at 13.5 nm. That same patent also proposed soft-x-ray lamps at wavelength of 7.6, 4.86, and 3.38 nm in beryllium, boron, and carbon plasmas. These wavelengths, however, are not within the range of wavelengths required for EUV lithography Although that patent described the general features of these lamps, it did not give the specific discharge current operating range that would minimize bore erosion and the emission of debris from the lithium lamp, or the appropriate range of bore sizes for operating such a lamp. That patent did not mention the use of other materials, such as atomic or molecular gases that could be successfully operated in the lamp configurations described in that patent; it naturally follows that neither could it have mentioned what are the preferred operating pressure ranges of those gases that would be suitable for EUV lithography. SUMMARY OF THE INVENTION Although gaseous plasma discharge sources have been produced previously in many different kinds of gases for use as light sources and as laser gain media, none have been demonstrated to have sufficient flux at appropriate EUV wavelengths for operating a commercial EUV lithography machine. Consequently the necessary plasma discharge current and gas pressure necessary to obtain the required flux for use in an EUV lithography system and/or related applications have not previously been identified and described. Likewise the required capillary discharge bore size range for EUV lithography, as well as some specific capillary discharge configurations for use with gases and metal vapors have not been previously identified. The subject invention specifically indicates the range of gas pressures the range of discharge currents and/or current densities under which debris ejected from the capillary is minimized, as well as some specific gases to be used under those conditions. Also described, are two specific discharge configurations one of which is designed specifically for gases or vapors and requires no vacuum window. We have termed this the "differentially pumped capillary discharge". The other is designed specifically for metal vapors or liquid vapors. We have termed this the "heat pipe capillary discharge." It contains a wick which is located only beyond the discharge capillary (unlike that described in U.S. Pat. No. 5,499,282 by William T. Silfvast issued on Mar. 12, 1996, in which the wick is located inside the capillary). For purposes of definition of a capillary discharge, we are operating an electrical current within an open channel of an insulating material where the open channel is filled with a gas or vapor that allows for electrical conduction within the capillary. The channel or capillary is typically of cylindrical shape with a diameter in the range of 0.5 mm to 3 mm and a length varying from 0.5 mm to 10 mm. The ends of the capillary are attached to conducting materials to serve as electrical interfaces between the electrical current within the capillary and the electrical current of the external circuit. The capillary is filled with a gaseous medium that becomes ionized so as to provide a low resistance for conduction of the electrical discharge current within the capillary. The electrical discharge current excites the gas or vapor within the capillary which then provides the desired radiation in the spectral region between 11 nm and 14 nm. The gas or vapor within the capillary when ionized by the discharge current thus acts as both an electrically conducting medium and an EUV radiator. The following objectives relate to capillary discharge sources operating in the wavelength range of 11-14 nm and which, within that wavelength region, provide the necessary flux for their particular applications. The objectives relate to: debris formation, materials considerations, discharge geometry, and applications. The first objective of the present invention is to define the necessary capillary bore diameter and length ranges of a capillary discharge source. These dimensions are determined by experimental evidence in which strong EUV emission was observed. The second objective of the present invention is to define the currents and current densities of operation of a capillary discharge source containing a gas or liquid vapor or metal vapor such that it will not produce debris destructive to the optics for a duration of at least the industry-defined lifetime of those optics. The third objective of the present invention is to describe a method of pre-treating the capillary bore region so as to make it resistant to erosion or other changes in the capillary during subsequent normal operation. The fourth objective of the present invention is to define the necessary operating pressure range of a gas or metal vapor or liquid vapor or other atomic or molecular species present within the capillary of a capillary discharge source. The fifth objective of the present invention is to describe the "differentially pumped capillary geometry." This geometry obviates the need for an EUV transmitting window which would provide a barrier between the vacuum within the condenser system and the gas required for the source plasma emission. The sixth objective of the present invention is to describe the "heat pipe capillary discharge" which contains a wick within a heat pipe configuration such that the wick is mounted only outside of the capillary discharge region. The seventh objective of the present invention is to describe various materials which may be used in the "differentially pumped capillary discharge" and/or the "heat pipe capillary discharge." The eighth objective of the present invention is to provide a capillary discharge source for use in any of the following applications: microscopy, interferometry, metrology, biological imaging, pathology, alignment, resist exposure testing for microlithography, and extreme ultraviolet lithography (EUVL). A preferred method of operating a capillary discharge source in the 11 nm to 14 nm wavelength region includes forming a discharge within a capillary source having a bore size of approximately 1 mm, and at least one radiating gas, with a discharge current of approximately 2000 to approximately 10,000 amperes, and radiating selected wavelength regions between approximately 11 to approximately 14 nm from the discharge source. The gases can include one radiating gas such as xenon or an oxygen containing molecule to provide oxygen as the one radiating gas, each having a pressure of approximately 0.1 to approximately 20 Torr. The gas can include a metal vapor such as lithium, to radiate the selected wavelength regions and has a pressure of approximately 0.1 to approximately 20 Torr. Besides the radiating gas, a buffer gas can be used, wherein the total pressure in the capillary can range from approximately 0.1 to approximately 50 Torr. The use of multiple plural gases can include lithium radiating the selected wavelength region between approximately 11 to approximately 14 nm, and helium as a buffer gas. Another preferred method of operating a capillary discharge source in the 11 nm to 14 nm wavelength region includes forming a discharge across a capillary source having a bore size diameter of approximately 0.5 to approximately 3 mm, and a length of approximately 1 to approximately 10 mm, and at least one radiating gas, with a discharge current density of approximately 250,000 to approximately 1,300,000 Amperes/cm.sup.2, and radiating selected wavelength regions between approximately 11 to approximately 14 nm from the discharge source. A method of constructing the capillary discharge lamp source operating in the ultraviolet wavelength region includes constructing a capillary from an electrically insulating material, inserting at least one gaseous species in the capillary, wherein the capillary is used to generate ultraviolet discharges. A metallic conductor such as molybdenum, Kovar, and stainless steel, can be used as electrodes on opposite sides of the capillary. A nonconducting and the insulating material can be used such as quartz, saphire, aluminum nitride, silicon carbide, and alumina. Furthermore, the capillary can be a segmented bore of alternating conductive and nonconductive materials. Another preferred embodiment of the discharge lamp source operating the ultraviolet wavelength region can include a capillary, a first electrode on one side of the capillary, a second electrode on a second side of the capillary opposite to the first side, a pipe having a first end for supporting the second electrode and a second end, a discharge port connected to the second end of the pipe, a wick passing through the pipe from the discharge port to a portion of the pipe adjacent to but not within the capillary having a lithium wetted mesh for operation as a heat pipe, and means for operating the capillary as a discharge source for generating ultraviolet wavelengths signals. Pre-processing techinques of the capillary discharge bore source is when the bore is used with an optical element that operates in the ultraviolet region, prior to operating the source, in order to prevent rupturing of the optical element or contaminating mirrors that receive radiation, are disclosed. The pre-processing techniques include the steps of pre-conditioning interior bore surface walls of a capillary discharge source that operates in the ultraviolet region, and continuing the pre-conditioning until a selected impulse value is reached. The pre-processing technique can use a heat source, such as an excimer laser, a Nd:Yag laser, and a Copper Vapor laser. The laser can be focussed within the bore, and operated at a focussed intensity in the range of approximately 10.sup.7 to approximately 10.sup.11 Watts/cm.sup.2. Another version of the pre-processing technique has the selected value less than approximately 20 Torr-.mu.s, wherein initiating discharge current discharge pulses within the capillary with a second gas having a pressure range of approximately 1 to approximately 20 Torr., and the pre-operation pulses are approximately 3000 pulses. Further objects and advantages of this invention will be apparent from the following detailed description of a presently preferred embodiment which is illustrated schematically in the accompanying drawings. |
summary | ||
052788830 | description | DETAILED DESCRIPTION Referring to FIG. 1, a boiling water reactor fuel assembly is generally shown at 10 having elongated fuel rods 12 containing nuclear fuel pellets. The fuel rods are supported between a lower tie plate 14 and upper tie plate 16. Fuel rods 12 pass through low pressure drop spacer grids 18, only two of which are shown in this fragmentary view. Assuming present reactor designs, up to nine low pressure drop spacer grids could be used. Low pressure drop spacer grids 18 provide intermediate support of rods 12 over the length of fuel assembly 10 and maintain them in a spaced relationship while restraining them from lateral vibration. A central rectangular channel 44 is at the center of the array of fuel rods 12. Outer rectangular channel 11 is shown around the fuel rods 12 and spacers 18. FIG. 2 is a perspective fragmentary view looking from the side and down upon assembly 10 in FIG. 1 and shows one of the low pressure drop spacer grids 18 with the outer channel 11 partially removed. Assembly 10 houses a 9.times.9 fuel array although most of the fuel rods 12 are not shown in FIG. 2 for clarity of illustration. Although reference is made in the specification to a 9.times.9 fuel rod array, such an array has been selected for illustrative purposes only. The present invention can be used with other arrays including, but not limited, to 8.times.8, 10.times.10, and 11.times.11. Referring to FIG. 2, low pressure drop spacer grid 18 includes a perimeter strip 20 which is a band forming four walls 20a, 20b, 20c, and 20d. Each of walls 20a, 20b, 20c, 20d have a leading or upstream edge 21b and a trailing or downstream edge 21a. The direction of coolant flow through the assembly is shown by the arrow marked F in FIG. 2. Grid members 22 and 24 are arranged at right angles to one another and divide the region within perimeter strip into nine separate subregions. Grid members 22 and 24 are secured to perimeter strip 20. Grid members 22, 24 have a leading or upstream edge (not shown) as well as a trailing or downstream edge 22a, 24a, respectively. Both the upstream and downstream edges of the walls 20a, 20b, 20c, 20d as well as grid members 22 and 24 can be convexly contoured to decrease the resistance to coolant flow. Eight of the subregions house fuel rods 12 and the central region houses central water channel 44 which is formed by channel walls 46. Most of the fuel rods are not shown in FIG. 2 for clarity. A square central water channel 44 is shown in FIG. 2 although other shapes are known and can be used. Central channel walls 46 extend the length of fuel assembly 10 allowing water to flow through central water channel 44 from the bottom to the top of fuel assembly 10. Perimeter strip walls 20a, 20b, 20c, and 20d, and grid members 22 and grid members 24 make up a basic egg-crate structure shown in FIG. 4. Zircaloy, an alloy of zirconium, is a preferred material for the egg-crate structure because of its low neutron absorption characteristics. Two of the most commonly known forms of zircaloy are Zircaloy 2 and Zircaloy 4 which are described in ASTM standard B350-91 (1991); compositions R60802 and R60804, respectively and is hereby incorporated by reference. Each low pressure drop spacer 18 includes four spring forks, two of which are lower spring forks 36, and two are the upper spring forks 34. Each spring fork is formed of six pairs of spring strips of three different lengths 38a, 38b, 38c attached to an end support 39 and is shown in FIG. 3. Upper spring forks 34 extend into the egg-crate structure of the spacer through apertures 40 positioned in the upper portion of perimeter strip walls 20a, 20c and grid members 24. Shown in FIG. 2 is a portion of the upper spring fork 34 with spring strip pairs 38a which extend through apertures 40 in side wall 20a. Spring strip pairs 38a further extend through apertures 40 in grid member 24 and into the adjacent subregion. The length of spring strips 38a are such that they extend into but not completely through the adjacent subregion. Spring strips 38b of upper spring fork 34 extend through apertures 40 in side wall 20a and into the middle subregion. Although not shown in FIG. 2 for clarity, spring strips 38c of upper fork 34 similarly extend through apertures 40 in side wall 20a, into and through the corner subregion formed in part by walls 20a and 20b, and extend further through apertures 40 in grid member 24 into the next adjacent subregion. A second upper spring fork 34 (not shown in FIG. 2 for clarity) similarly extends into the egg-crate structure through apertures 40 in perimeter strip wall 20c. Both upper spring forks 34 are shown in FIG. 4A. Pairs of spring strips 38a, 38b and 38c extend into the subregions adjacent to sidewall 20c. As is further shown in FIG. 4A, two upper spring forks 34 are positioned in the fuel assembly in the same plane in which their spring strip pairs extend. In addition to upper spring forks 34, lower spring forks 36 are provided which are positioned below the upper spring forks. Pairs of spring strips 38a, 38b and 38c of lower spring fork 36 extend through apertures 42 which are positioned in the lower or upstream portion of perimeter wall 20b and guide members 22 (FIGS. 2 and 4B). Apertures 40 in sidewalls 20a, 20c and grid members 24 are positioned at a higher axial location than apertures 42 in sidewalls 20b, 20d and grid members 22. Although not shown in FIG. 2 for clarity, a second lower spring fork 36 extends into the egg-crate structure through apertures 42 in sidewall 20d and through apertures 42 in guide members 22 (FIG. 4B). Each spring strip pair of both of the upper spring forks 34 and both of the lower spring forks 36 extend into the fuel assembly. The two lower spring forks 36 are positioned in a plane which is parallel to the plane occupied the upper spring forks. As is shown in FIGS. 2, 4A and 4B, the spring strips of the lower spring forks extend in a direction orthogonal to the direction of the spring strips of the upper spring fork. The intersection and superposition of spring forks 34, 36, grid members 22, 24, and perimeter strip 20 form fuel rod passageways 55 (FIG. 4C) through which fuel rods 12 extend. Seventy two passageways 55 are defined for the 9.times.9 fuel assembly shown in FIG. 2. Although a fuel rod occupies each passageway 55 in a fully loaded fuel assembly, one fuel rod from each of the eight subregions has been removed from the view shown in FIG. 4C for purposes of illustration. Each spring strip 38 of each of spring forks 34, 36 has spring members 41 which act against fuel rods 12. FIG. 3 is a top plan view of the spring fork and shows the position of spring members 41 in each spring strip. At least one spring member 41 from each of upper spring fork 34 and lower spring fork 36 provide reaction loads in at least two different directions perpendicular to each other and restrain fuel rod 12 within passageway 55 against lateral vibration (FIG. 4C). Each fuel rod 12 is restrained in passageway 55 in four directions solely by spring members 41, or by spring members 41 in conjunction with dimples 43a and 43b which are formed in perimeter strips 20 and grid members 22, 24 (FIGS. 2 and 4C). Dimples 43b are positioned towards the upstream or trailing edges of perimeter strip 20 and grid members 22, 24, whereas dimples 43a are positioned towards the downstream or leading edge of perimeter strip 20 and grid members 22, 24. A dimple pair is formed by a dimple 43a and a dimple 43b. Each dimple pair is positioned at the same radial location in the fuel assembly. Dimples 43a and 43b are preferably of the "flow-through" type, i.e., open at their tops and bottoms to reduce the pressure drop. Referring to FIG. 4C, each fuel rod 12 within the assembly is restrained within a passageway 55 by the combined action of either: (a) two spring members 41 and two dimple pairs; (b) three spring members and one dimple pair; or (c) four spring members. Although the present invention is shown and described for purposes of illustration with a square 9.times.9 fuel assembly, it is apparent to those skilled in the art that a fuel configuration other than a square or rectangular array can be selected and that the number of spring members and dimples which restrain each fuel rod within passageway 55 will vary. FIGS. 5A-5D show one pair of spring strips 38a of the spring fork shown in FIG. 3. Spring strip pairs 38b and 38c have been omitted from FIGS. 5A-5D for clarity but have the same structure as spring strip pairs 38a except that they are shorter and have fewer spring members 41. Referring to FIG. 5A, spring strips 38a have spring members 41 which are formed of convolutions 58 which alternate in opposite directions. Spring members 41 and convolusions 58 extend vertically to form a three sided groove or channel. Once loaded with fuel rods, convolusions 58 exert a retaining force on the fuel rods. Pairs of spring strips 38a are joined by spot welds 60 between alternating abutting matching convolutions 58 with the opposing convolutions forming unobstructed flow spaces 62 as shown in FIG. 5A. Unobstructed flow spaces 62 formed by convolutions 58 are hexagonal in shape and allow coolant to flow unobstructed through low pressure drop spacer 18. The matching convolutions 58 which are not spot welded are positioned to form gaps 64 at trailing edge of spring strips 38a due to the shape of and resilience of spring strips 38a. The leading or upstream edge 68 of each spring strip 38a except for the leading edge of spring members 41 form abutting edges 70 (FIG. 5D). In a preferred embodiment, abutting edges of leading or bottom edge 68 are welded together. The preferred material for the spring fork is Inconel, a nickel alloy, which has high strength and exhibits less spring relaxation during irradiation. The strip material is preferably relatively thin, 8 to 12 mils in thickness, to minimize the resistance to coolant flow and to reduce the mass and volume of neutron absorbing material. In the unloaded condition (without fuel rods inserted), convolutions 58 are not in line along a row, but rather displaced and tilted by the integral spring force provided by spring strips 38a. When a fuel rod 12 is positioned in a passageway 55, convolusions 58 exert a retaining force on fuel rods 12 and gap 64 is closed as shown in FIG. 5B. The leading edge 68 and trailing edge 69 of each spring strip 38 can be convexly contoured to minimize the resistance to coolant flow and reduce the pressure drop. Abutting edges 70 can be convexly contoured to further reduce the pressure drop. In order to reduce the volume of neutron absorbing material, windows 72 may be formed in spring strips 38a. Each pair of spring strips is attached to an end support 39 by welds 73. End support 39 extends vertically, and in a preferred embodiment, is contoured to provide a seal surface 82. The height of end support 39 extends beyond that of spring strip pairs 38a and provides spring resilience to keep seal surface 82 seated against the inner wall of outer channel 11 to reduce, if not eliminate, bypass flow. For each low pressure drop spacer 18, upper spring forks 34 and lower spring forks 36 are positioned at slightly different elevations relative to each other. Bypass low which would ordinarily pass between perimeter walls 20a, 20b, 20c, 20d of spacer 18 and the inner wall of outer channel 11 is reduced. However, it may be desirable to permit bypass flow, particularly in the regions of the four corner fuel rods. The corner fuel rods of a fuel assembly are ordinarily undercooled because the coolant flow rate through the corner regions of the fuel assembly is usually low. In order to compensate for such low flow rate, the enrichment of the corner fuel rods is ordinarily reduced to prevent them from overheating. If bypass flow is selectively provided to the corner fuel rods, then the enrichment of such rods need not be reduced as much which results in a net increase in the linear power rate for such rods. By utilizing a spring fork with a seal surface which does not extend the full width of the fuel assembly, selectively limited bypass flow to the corner fuel rods and optimum flow in the corner subregions of the assembly can be obtained. Such optimum flow maximizes power production from the corner fuel rods as well as the other fuel rods in the assembly. Spring forks 34, 36 are preferably made of a material much stronger than perimeter walls 20a, b, c, d and grid members 22 and 24 which form the egg-crate structure. Because limited relative motion is possible between the spring forks 34, 36 and the egg-crate structure, the possibility of damaging low pressure drop spacer 18 from the installation or removal of channel 11 is reduced. The possibility of damaging the spacer from the installation or removal of channel 11 is further reduced by making the spring forks of material(s) stronger and less embrittled by irradiation as well as more resilient than the material of the egg-crate structure. The possibility of damaging the spacer due to the installation or removal of the outer channel is reduced even further because relative motion between the seal and the outer channel as well as between the spring forks and fuel rods is permitted. As stated above, one way in which improved uranium utilization as well as increased moderation in the upper region of the reactor core can be achieved is to decrease the diameter(s) of the fuel rods in the upper half of the reactor core. If one or more fuel rods fail during reactor operation, it is often desirable to replace such failed rods to avoid the costly premature discharge of the entire fuel assembly from the reactor. After the discharge from the reactor, repair of a fuel assembly involves the removal of the fuel assembly upper tie plate, upward withdrawal of the failed fuel rod(s), replacement of the failed rods, and replacement of the tie plate. A fuel assembly which utilizes a spacer grid design which accommodates fuel rods having reduced diameters at their upper end cannot be repaired using conventional methods to replace the failed fuel rod because the larger diameter lower portion of the fuel rod cannot be removed through the upper spacer grids. Repair methods of fuel assemblies utilizing fuel rods with such variable diameters involve upending the fuel assembly, and removing the lower instead of the upper tie plate. The upending of the fuel assembly is difficult and expensive, and can require nuclear fuel assembly redesign and subsequent operational risks. Spring forks 34 and 36 are neither welded nor bolted to other elements of the low pressure drop spacer and may be easily removed. Both single and/or multidiameter fuel rods may be removed from the top of the fuel assembly due to the resilience of spring forks 34, 36 without having to first remove the spring forks. If as a result of reactor operations, one or more fuel rods undergo physical changes or deformations which prohibit removal from the fuel assembly, the present invention makes conventional repair methods possible for a fuel assembly with or without reduced fuel rod diameters. According to the present invention, and referring to FIG. 2, the spring forks 34, 36 which restrain the failed fuel rods 12 are removed from the egg-crate structure. The removal of the spring forks to such failed fuel rods enables the upward withdrawal of failed fuel rods without damage to the fuel assembly or the spacer grid. After fuel rod replacement, spring forks 34, 36 are reinserted into the egg-crate structure. Low pressure drop spacer grid 18 is secured to the central water channel by any conventional method. In a preferred embodiment, retainer strips 86 are secured to central water channel walls 46 by spot welds 88 at locations just below and above the desired axial position of spacer grid 18. Four retainer strips 86 are located just above spacer grid 18 (two are shown in FIG. 2) and have flow tabs 90 extending into the coolant stream which serve the additional function of directing liquid condensing on central water channel walls 46 towards fuel rods 12 where it can collect as a water film on the rods and thereby improve local heat transfer. Retainer strips are similarly positioned and secured just below the axial position of spacer grid 18. As stated above, the present invention has several advantages. The low pressure drop spacer enables the use of larger fuel rod diameters improving the fuel cycle cost. Increased moderation of the fuel assembly is achieved by decreasing the diameters of the fuel rods in the upper portion of the core which improves the fuel cycle cost by approximately $20/kg equivalent fuel weight. Replacement of conventional fuel rods or fuel rods in assemblies incorporating reduced diameter fuel rods in the upper region of the fuel assembly is achieved without damage to the spacer or the other fuel rods. The power capability of the fuel assembly is increased by improving the transfer of condensing liquid water from the surrounding outer channel and central water channel to the fuel rod surfaces. Because of the reduced pressure drop at least one extra spacer can be installed within a fuel assembly which decreases the span between spacer grids in the upper region of the core, and as a result, improves even further the heat transfer to the coolant. While the foregoing description and drawings represent the preferred embodiments of the present invention, it will be apparent to those skilled in the art that various changes and modifications may be made therein without departing form the true spirit and scope of the present invention. |
summary | ||
046648801 | description | DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also, in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a fuel assembly, represented in vertically foreshortened form and being generally designated by the numeral 10. The fuel assembly 10 is the type used in a pressurized wate reactor and basically includes a lower end structure or bottom nozzle 12 for supporting the assembly on a lower core support plate 14 in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 16 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 18 axially spaced along the guide thimbles 16 and an organized array of elongated fuel rods 20 transversely spaced and axially supported by the grids 18. Also, the assembly 10 has an instrumentation tube 22 located in the center thereof and an upper end structure or top nozzle 24 attached to the upper ends of the guide thimbles 16. With such an arrangement of parts, the fuel assembly 10 forms an integral unit capable of being conveniently handled without damaging the assembly parts. As mentioned above, the fuel rods 20 in the array thereof in the assembly 10 are held in spaced relationsihp with one another by the grids 18 spaced along the fuel assembly length. Each fuel rod 20 includes nuclear fuel pellets (not shown) and is closed at its opposite ends by upper and lower end plugs 26,28. The fuel pellets composed of fissile material are responsible for creating the reactive power of the reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through a plurality of flow openings 30 in the lower core plate 14 to the fuel assemblies. The bottom nozzle 12 of each assembly 10 has a series of flow holes 32 defined in its upper central adapter plate 34 through which the coolant flows upwardly through the guide thimbles 16 and along the fuel rods 20 of the fuel assembly in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods (not shown) are reciprocally movable in the guide thimbles 16 located at predetermined positions in the fuel assembly 10. Specifically, the top nozzle 24 includes a rod cluster control mechanism 36 having an internally threaded cylindrical member 38 with a plurality of radially extending flukes or arms 40. Each arm 40 is interconnected to a control rod such that the control mechanism 36 is operable to move the control rods vertically in the guide thimbles 16 to thereby control the fission process in the fuel assembly 10, all in a well-known manner. Debris Trap Mounted in Bottom Nozzle As mentioned above, fuel assembly damage due to debris trapped at the lowermost one of the grids 18 has been noticed in recent years. Therefore, to prevent occurrence of such damage, it is highly desirable to trap and remove this debris before it reaches the lowermost grid 18. The present invention relates to a debris trap, generally indicated by the numeral 42, mounted inside or within the bottom nozzle 12 adjacent to and below its upper central adapter plate 34 and between its corner legs 44, as illustrated in FIG. 1. The trap 42 is positioned across the path of coolant flow from the lower core plate openings 30 to the adapter plate holes 32 so as to capture debris, such as small loose parts or pieces, from the flowing coolant and thereby prevent it from entering the fuel assembly 10. Instead, the debris is retained within the trap 42 which permits removal of the debris along with the trap 42 and fuel assembly 10 at the next refueling. Turning now to FIGS. 2 and 3, the debris trap 42 includes a hollow enclosure 46 having upper and lower walls 48,50 and a continuous side wall 52 which interconnects the upper and lower walls at their respective peripheries and spaces them apart so as to define a debris capturing and retaining chamber, generally indicated 54, within the enclosure 46. The walls 48,50,52 of the enclosure 46 are composed of any suitable material permeable to the liquid coolant but impermeable to debris carried by the coolant. For instance, in the illustrated embodiment, the walls 48,50,52 are composed of a wire mesh material in screen or layer form. As the liquid coolant flows upwardly from the openings 30 in the lower core plate 14 through the debris trap enclosure 46, means on the lower wall 50 of the hollow enclosure 46 in the form of a plurality of flap-like wall sections 56 define a plurality of openings 58 into the hollow enclosure 46 through which debris carried by the coolant flow can enter the trap chamber 54. In the illustrated embodiment, being an example of one way in which to form the openings 58, each of the wall sections 56 is a portion of the lower wall 50 which has been partially severed therefrom and then bent inwardly into the chamber 54 so as to extend at an acute angle, for instance thirty degrees, to the remainder of the lower wall 50. The wall sections 56 forming the openings 58 are matched in number and alignment with the plurality of coolant flow openings 30 in the lower core plate 14 so as to place the openings 58 into the trap enclosure chamber 54 directly above the lower core plate openings 30. The angle at which each of the wall sections 56 is stationarily disposed relative to adjacent portions of the lower wall 50 places the wall section 56 in a generally transverse or inclined position across the direction of the coolant flow path, as indicated by arrow A in FIG. 3, from the lower core plate openings 30 through the trap enclosure 46. Because of such positional relationship of the wall section 56 to the remainder of the lower wall 50, each of the entry openings 58 defined between the inner edge 60 of the wall section 56 and adjacent portions of the lower wall 50 lie in a plane extending generally parallel to the direction of coolant flow through the hollow enclosure 46. Thus, in order for debris carried by the coolant flow to enter the debris capturing and retaining chamber 54, the debris must impact one of the wall sections 56 and be deflected laterally therefrom through one of the openings 58. Once the debris has entered the chamber 54, it will be substantially detered from exiting back through one of the openings 58 due to their orientation parallel to the coolant flow path. Instead, once the debris is within the chamber 54, the coolant flow will tend to press the debris against the upper wall 48 of the trap enclosure 46. Parenthetically, it will be noticed that the inner edge 60 of each wall section 56 is U-shaped and thereby is made up of multiple edge portions. Thus, the opening 58 defined between such multiple portions of the inner edge 60 and the adjacent portions of the remainder of the lower wall 48 lies in multiple planes which all extend parallel to the direction A of coolant flow through the hollow enclosure 46. As seen in FIGS. 1 and 2, the debris trap enclosure 46 has overall cross-sectional dimensions sized to allow the enclosure to fit within the peripheral skirt 62 of the bottom nozzle 12 between the corner legs 44 thereof and extend generally coplanar with the adapter plate 34 of the nozzle. Generally arcuate-shaped depressions 64 are defined in the corners of the enclosure 46. One diagonal pair of the depressions 64 provide adequate space for a diagonal pair of alignment pins 66 which extend upright from the lower core plate 14 and fit through openings 68 formed through flanges 70 of one diagonal pair of the corner legs 44. The other diagonal pair of the depressions 64 provide adequate space for means in the form of a pair of leaf springs 72 disposed in the depressions 64 and anchored on the trap enclosure 46 to engage the flanges 70 of the other diagonal pair of corner legs 44 for locking the enclosure 46 within the bottom nozzle 12 upon installation of the trap 42 therein. Preferably, in the installed position of the trap 42, the upper wall 48 of its enclosure 46 is spaced a short distance below the adapter plate 34 so that water flow through the holes 32 of the adapter plate is not obstructed. The trap 42 is installed from the bottom of the fuel assembly 10 when the assembly has been removed from the reactor core. The retaining or locking leaf springs 72 deflect inwardly due to contact with the bottom flanges 70 of the nozzle legs 44 as the trap 42 is inserted into the bottom nozzle 12. The springs 72 then snap outwardly over the flanges 70 when the springs have cleared the top thereof. The trap 42 is then locked in place in the sense that it will not drop out of the bottom nozzle 12 when the fuel assembly 10 is moved. The hollow enclosure 46 of the debris trap 42 also has a central annular sleeve 74 mounted between the upper and lower walls 48,50 of the enclosure for two purposes. First, the sleeve 74 which rests on the lower core plate 14 serves to bolster the structural integrity of the hollow enclosure 46. Second, it allows access to the lower end of the instrumentation tube 22 to where it is attached to the bottom nozzle adapter plate 34. It is thought that the debris trap of the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof. |
054066115 | claims | 1. An x-ray apparatus comprising: means adapted for receiving a patient; an x-ray source which emits an x-ray beam directed at said patient for medical interaction with said patient; a gating device disposed in the path of said x-ray beam preceding said means adapted for receiving said patient, said gating device including a housing; first and second rectangular diaphragm plates in said housing consisting at least partially of x-ray opaque material and disposed at opposite sides of said x-ray beam, said diaphragm plates each having a longitudinal axis and at least one of said diaphragm plates having a recess therein extending obliquely relative to said longitudinal axis; means in said housing attached at one end of said at least one of said diaphragm plates for moving said at least one of said diaphragm plates along its longitudinal axis; and a guide pin attached to said housing and engaging said at least one diaphragm plate by projecting into said recess for causing said at least one diaphragm plate, when moved along its longitudinal axis, also to move within said x-ray beam in a direction perpendicular to its longitudinal axis. a carriage; a rotatable spindle threadably engaged in said carriage so that said carriage moves in opposite directions along said longitudinal axis dependent on the direction of rotation of said spindle; and an articulated mechanical connection between said one diaphragm plate and said carriage. means adapted to receive a patient; an x-ray source with emits an x-ray beam directed at said patient; means for detecting x-rays attenuated by said patient and for generating electrical signals corresponding to the attenuated x-rays; means for generating an image of said patient from said electrical signals; a gating device disposed in the path of said x-ray beam preceding said means adapted for receiving a patient, said gating device including a housing; first and second rectangular diaphragm plates in said housing consisting at least partially of x-ray opaque material and disposed at opposite sides of said x-ray beam, said diaphragm plates each having a longitudinal axis and at least one of said diaphragm plates having a recess therein extending obliquely relative to its longitudinal axis; means in said housing attached at one end of said one diaphragm plate for moving said one diaphragm plate along its longitudinal axis; and a guide pin attached to said housing and engaging said one diaphragm plate by projecting into said recess for causing said one diaphragm plate, when moved along its longitudinal axis, also to move within said x-ray beam in a direction perpendicular to its longitudinal axis. a carriage; a rotatable spindle threadably engaged in said carriage so that said carriage moves in opposite directions along said longitudinal axis dependent on the direction of rotation of said spindle; and an articulated mechanical connection between said one diaphragm plate and said carriage. 2. An x-ray apparatus as claimed in claim 1 wherein said means for moving said one diaphragm plate along said longitudinal axis comprises: 3. An x-ray apparatus as claimed in claim 2 wherein said carriage has a bore extending therethrough in which said spindle is disposed, and said carriage having roller bearings disposed in said bore and engaging threads of said spindle. 4. An x-ray apparatus as claimed in claim 1 comprising a plurality of first diaphragm plates corresponding to said first diaphragm plate and connected to form an arc and a plurality of second diaphragm plates corresponding to said second diaphragm plate and connected to form an arc. 5. An x-ray apparatus as claimed in claim 1 further comprising a rolling bearing carried on said guide pin and disposed to roll against said diaphragm plate as said diaphragm plate is moved along its longitudinal axis and perpendicular to its longitudinal axis. 6. An x-ray apparatus comprising: 7. An x-ray apparatus as claimed in claim 6 wherein said means for moving said one diaphragm plate along its longitudinal axes comprises: 8. A gating device as claimed in claim 7 wherein said carriage has a bore extending therethrough in which said spindle is disposed, and said carriage having roller bearings disposed in said bore and engaging threads of said spindle. 9. An x-ray apparatus as claimed in claim 6 further comprising a rolling bearing carried on said guide pin and disposed to roll against said diaphragm plate as said diaphragm plate is moved along its longitudinal axis and perpendicular to its longitudinal axis. 10. A gating device as claimed in claim 6 comprising a plurality of first diaphragm plates corresponding to said first diaphragm plate and connected to form an arc and a plurality of second diaphragm plates corresponding to said second diaphragm plate and connected to form an arc. |
050930710 | abstract | Method and apparatus for avoiding potential accidents in water-cooled nuclear reactors of the type having an enclosing containment, due to the formation of an explosive gas mixture in the containment. Air is withdrawn from the containment and fed to at least one internal combustion engine as combustion air for the engine. The exhaust gases created by the internal combustion engine are then recycled back into the containment. The result is the lowering of the oxygen partial pressure in the containment to below the critical limit for oxyhydrogen explosion. |
044302916 | description | DETAILED DESCRIPTION Refer to FIG. 1 which is a schematic section of a Tokamak fusion reactor utilizing a packed-fluidized bed blanket. In FIG. 1, a section of the doughnut-like reactor is shown in which a core region 1 is surrounded by a plurality of pressure tubes 2, several of which are shown in FIG. 1, the rest being omitted for clarity. In practice, sufficient tubes 2 are installed such that most if not all radiation 3 emitted by the plasma in core region 1 is interdicted by tubes 2. The overall blanket is a grouping of inner pressure tubes 4 and outer pressure tubes 5. Tubes 2 contain the fuel particles of the packed fluidized bed. FIG. 2 is a schematical plan view of a typical Tokamak hybrid reactor. FIG. 2 shows field coil 6 and shield 7 which surround and restrict access to the blanket of the reactor. Vacuum vessel 8 contains core region 1. Inner pressure tubes 4 and outer pressure tubes 5 have coolant inlets 9 and coolant outlets 10 served by inlet manifolds 11 and outlet manifolds 12, which manifolds 11 and 12 are used to distribute (or collect) coolant flow to (or from) all pressure tubes 4 or 5. Refer to FIG. 3 which is a schematic of one pressure tube 2 from FIG. 1 or 2, which could be either an inner pressure tube 4 or an outer pressure tube 5. Tube 2 has tube inlet 13 and tube outlet 14 for routing of coolant flow to and from the manifolds 11 and 12 shown in FIG. 2. Pressure tube 2 is shown to have a fuel outlet and inlet port 15 for fuel particle 17 replacement during refueling. Port 15 may be arranged to distribute particles to many tubes 2 via a manifold or may be provided individually to each tube as shown in FIG. 3. All pressure tubes 2 have fluidization rakes 18 at various elevations. These rakes 18 have a source of fluidization flow (not shown) which may be individual to each rake 18 or which may have a grouping such as, for example, all rakes 18 of all tubes 4 and 5 at a common elevation. Refer to FIG. 4. This Figure is a section as indicated from FIG. 3. Arrow 19 shows the direction of coolant flow during reactor operation. Support walls 20 function to form coolant inlet and coolant outlet volume manifolds 21 and 22. Walls 20 have holes 23 to permit coolant flow passage. Screens 24, supported by walls 20 as shown, prevent carryover of particles 17 in the coolant flow. Screens 24 have a mesh, or hole size, considerably smaller than the particle diameter such that the screens 24 do not become plugged or blocked but do function to prevent particle passage. The pressure tubes need not have the circular cross-section as indicated in FIG. 4 but could be square, rectangular, or of other geometry. Refer to FIG. 5. This schematic section through a typical rake in FIG. 3 shows one possible geometric shape of this component which serves to distribute fluidization flow over the cross-sectional area of the tube which contains particles and to direct the flow upward. Refer to FIG. 6. Because of the geometric shape of the reactor, the perimeter of a circle drawn on the inside surface of the reactor (L.sub.1) is less than the perimeter of a circle drawn on the outside surface (L.sub.2). In order for sufficient pressure tubes 2 to be installed to interdict all radiation from the reactor, an overlap 25 of tubes must occur in certain regions (core top and bottom) as illustrated in FIG. 6. In other regions, tubes 2 abut without overlap (see region 29). An operational cycle of the reactor will be described to illustrate the packed fluidized bed blanket concept. Refer again to FIG. 3. Prior to reactor operation, all pressure tubes 2 are filled with particles 17 using port 15. Packing of the bed to a high density is desirable since a high density enhances neutron absorption rates which produce useful nuclear transformations, permits efficient use of blanket space, and improves the efficiency of energy deposition in the bed. Isolation valves in the port 15 piping (not shown) are then closed. The flow of the main coolant is begun, entering via inlet tube 13, passing through inlet plenum 21, (in FIG. 4) through screen 24 and into the packed bed 26 of particles 17. The coolant flow is in the radial direction through the packed bed 26, as shown by arrow 19, and exits via outlet plenum 22 and outlet tube 14 (in FIG. 3). External systems may be used to recover heat from this coolant flow. During reactor shutdown, coolant flow is stopped and fluidization flow is initiated. This flow enters via rakes 18, passes axially upward through packed bed 26 and exits via outlet port 15. This flow can be used to remove blanket heat. Fluidization is a process technology which has been successfully utilized in a variety of applications. The basic principle is as follows: a coolant, usually a gas, is passed upward through a bed of granular particles at such a rate that the drag on the particles opposes gravity and causes the particle to be suspended. A further increase in gas flow causes the appearance of gas bubbles which rise through the bed causing vigorous circulation and mixing of the bed solids around the bubbles. The mixture of particles in fluidizing gas forms a dynamic froth of particles in gas defined here as a "fluidized" bed, which, besides having excellent thermal conductivity, also can be arranged to have a high density while still being easily transferable by pumping. When it is time to remove particles for processing and replacement, the fluidization flow is introduced via the staged fluidization rakes 18 at a sufficient flow rate to cause particle streaming out of the blanket via outlet 15 (FIG. 3). The containing walls 28 in FIG. 3 must sustain the pressure applied to pressure tube 2 by both the coolant and the fluidization flow streams. Naturally, the strength and thickness of walls 28 are determined by the greater of these two pressures. Since the radial path of the coolant flow is relatively short, as compared to the axial fluidization flow path, the tendency is for the required pressure to achieve the necessary fluidization flow rate to be greater than the required coolant flow pressure, potentially requiring thick walls 28. Consequently, a plurality of flow rakes 18 are provided to reduce the pressure needed to produce fluidization and removal of particles. In practice, the flow rakes 18 would be actuated in sequence from A to F (see FIG. 3) to remove the bed of particles in stages. Sufficient flow rakes 18 may be provided such that the necessary fluidization and coolant pressures are about the same, thereby minimizing the required wall 28 thickness. The fluidization flow can serve purposes in addition to fuel particle removal. This flow can serve for backup or emergency heat removal and can be used to mix the fuel particles when desired to achieve uniform radiation exposure. Since the particle bed expands during fluidization operation, staged fluidization reduces any void volume which must be maintained in the pressure tubes to accommodate this expansion, since only a fraction of the bed is fluidized at any one time. Table 1 is included to illustrate parameters presently considered pertinent to a preferred embodiment of the packed fluidized bed blanket as applied to a commercial Tokamak hybrid reactor. TABLE 1 ______________________________________ Packed Bed Operation Helium inlet pressure = 50 Atm Helium inlet temperature = 350.degree. C. Helium flowrate = 1800 kg/s Helium pressure drop through = .166 MPa the packed bed Total helium pumping power = 8.8 MWe (<0.5 percent of blanket thermal power) Peak local power density =50 W/cm.sup.3 (assumed) Maximum film temperature drop =0.65.degree. C. (particle to coolant) Maximum particle temperature = 480.degree. C. Fluidized Bed Operation Stage height = 2 m No. of stages = 5 Pressure drop for fluidization = 0.141 MPa Fluid (helium) inlet pressure = 30 Atm Helium temperature = 77.degree. C. Fluidization velocity = 0.41 m/s Helium flowrate for full = 265 kg/s blanket Helium pumping power (refuel- = 7.8 MWe ing one blanket segment at a time, total of 32 seg- ments assumed) Blanket Auxiliary or Emergency Cooling Parameters Coolant path length = 10 meters (flow through the lowermost rake) Coolant pressure, average = 30 Atm Coolant inlet temperature = 100.degree. C. Coolant .DELTA.T = 300.degree. C. Helium Flowrate = 126 kg/s Decay Heat Level = 0.01 of full power Pressure drop through blanket = 0.209 MPa Total pressure drop = 0.251 MPa Coolant pumping power (85 = 0.66 MWe percent efficient com- pressor) ______________________________________ The above specification and the drawings are susceptible to various modifications without deviation from the true spirit and scope of the invention. For example, the fluidization flow and coolant flow described, if never commingled but rather separated by differing periods of operation, may be of different composition, perhaps helium and carbon dioxide respectively, or may even include water. Therefore, this disclosure should be interpreted as illustrative rather than limiting. |
description | This application claims priority to and is a continuation-in-part of U.S. application Ser. No. 14/859,100, filed Sep. 18, 2015, and entitled SYSTEMS AND METHODS FOR PROVIDING A MOLTEN SALT REACTOR, the entire disclosure of which is hereby incorporated by reference. The present invention relates to molten salt reactors. More particularly, some implementations of the described invention relate to systems and methods for providing and using molten salt reactors. While the described systems can include any suitable component, in some implementations, they include a graphite reactor core defining an internal space that houses one or more fuel wedges, where each wedge defines one or more fuel channels that extend from a first end to a second end of the wedge. In some implementations, one or more of the fuel wedges comprise multiple wedge sections that are coupled together end to end and/or in any other suitable manner. Thus, in some cases, the reactor core can be relatively long. Additionally, in some implementations, one or more sections of the fuel wedges and/or parts of other reactor components are configured to be replaced relatively easily. The need for electrical energy across the world appears to be ever growing. In this regard, electricity for power grids across the world is generated through a wide variety of methods. In one example, coal, natural gas, petroleum, another fossil fuel, wood, waste, and/or one or more other fuel sources are burned to create heat, which is then used to turn a turbine (e.g., via pressure applied to the turbine by steam that is created, and/or by air that is expanded, by the heat) and ultimately to turn an electrical generator. In another example, wind or water is used to create electricity as such media move past (or otherwise interact with) a generator. For instance, water passing through a hydroelectric dam, water passing a water wheel, air passing a wind turbine, and tidal water passing a tidal energy converter have each been found to be effective methods for generating electricity. In still other examples, sunlight (e.g., via solar cells, solar thermal energy generators) and/or geothermal energy (e.g., via vapor-dominated reservoirs, liquid-dominated reservoirs, enhanced geothermal systems, geothermal heat pumps, etc.) are used to generate electricity. Moreover, in still another example, nuclear energy is used to generate electricity. In this regard, uranium or another fissionable material is typically used to generate heat that converts water to steam, which, in turn, rotates one or more turbines that are coupled to one or more electric generators. Although many conventional methods for generating electricity have proven to be useful, such methods are not necessarily without their shortcomings. For instance, some methods that generate electricity by burning fossil fuels, also produce relatively large amounts of pollution and carbon dioxide gas, while depleting the Earth's limited natural resources. Additionally, some methods for generating electricity via solar-power and/or wind-power systems are only able to generate electricity when they are exposed to a sufficient amount of sunlight and/or wind—factors that are not necessarily available 24 hours a day and 365 days a year. Moreover, as some geothermal and hydroelectric power systems rely upon, and are limited by, the natural conditions on which such systems rely, many such systems are optimally (and sometimes only) placed in specific locations (e.g., at tectonic plate boundaries, rivers, reservoirs, coast lines, etc.) that have the requisite conditions. Furthermore, some nuclear power plants also have shortcomings, which can include potential environmental damage associated with potential meltdowns, accident, uranium mining, and nuclear waste generated by the power plants. Thus, while systems and methods currently exist that are used to generate electricity, challenges still exist, including those listed above. Accordingly, it would be an improvement in the art to augment or even replace current techniques with other techniques. The present invention relates to molten salt reactors. More particularly, some implementations of the described invention relate to systems and methods for providing and using molten salt reactors. While the described systems can include any suitable component, in some implementations, they include a graphite reactor core defining an internal space that houses one or more fuel wedges, where each wedge defines one or more fuel channels that extend from a first end to a second end of the wedge. In some implementations, one or more of the fuel wedges comprise multiple wedge sections that are coupled together end to end and/or in any other suitable manner. In some cases, one or more alignment pins also extend between two sections of a fuel wedge to align the sections. In some cases, one or more seals are also disposed between two sections of a fuel wedge. Thus, in some cases, the reactor core can be relatively long. Additionally, in some implementations, one or more sections of the wedges and/or parts of other reactor components are configured to be replaced relatively easily. In accordance with some implementations, the described molten salt reactor includes a reactor core that is made from graphite and that defines an internal space. In some such implementations, a graphite fuel wedge is disposed in the internal space, with the fuel wedge defining one or more fuel channels that are configured to allow a fissionable fuel to flow from a first end to a second end of the fuel wedge. Some implementations further include a molten salt reactor that includes a graphite reactor core that defines a tubular internal space. In some such implementations, a first fuel wedge defining a first set of fuel channels and a second fuel wedge defining a second set of fuel channels are disposed in the internal space. Additionally, in some such implementations, the first and second sets of fuel channels are configured to allow a fissionable fuel comprising a molten salt to flow from a first end of the internal space to a second end of the internal space through the first and second set of fuel channels. In yet other implementations, the described molten salt reactor includes a reactor core that is disposed in a reactor housing and that comprises graphite and defines multiple fuel channels that run between a first end and a second end of the reactor core. In some cases, the reactor core comprises one or more fuel ingress ports (or inlets) and egress ports (or outlets), and the reactor core is rotatably received within the reactor housing such that the fuel ingress and egress ports are configured to become at least one of more occluded (e.g., eclipsed, closed, etc.) and less occluded (e.g., more open) as the reactor core rotates within the housing. Additionally, some implementations include a molten salt reactor that includes a reactor core that is disposed in a reactor housing and that comprises graphite and defines an internal space with multiple fuel wedges being received within the internal space, wherein the fuel wedges each define a fuel channel that is configured to allow a fissionable fuel to flow from a first end to a second end of each of the wedges. In some cases, a fuel pin rod is disposed between at least two of the wedges, with the fuel pin rod defining an internal fuel conduit. Additionally, in some cases, the reactor core further comprises a fuel ingress port and a fuel egress port, and the reactor core is rotatably received within the reactor housing such that the fuel ingress and egress ports are configured to become at least one of more occluded and less occluded as the reactor core rotates within the housing. In still other implementations, in addition to (or in place of) rotating a portion of the reactor core to regulate the flow of fuel through the reactor, one or more pumps are configured to increase, decrease, and/or otherwise regulate the rate at which fuel is forced through the reactor. Accordingly, in some such implementations, pumps are used to increase and/or decrease the dwell time and/or flow rate of fuel within the reactor to increase and/or decrease a temperature of the fuel. In yet other implementations, the described methods include a method for using a molten salt reactor, where the method includes obtaining a molten salt reactor and flowing a fissionable fuel through one or more fuel channels in the reactor. While the salt reactor in such implementations can have any suitable characteristic, in some instances, it includes a graphite reactor core that defines an internal space and that includes one or more fuel wedges in the internal space, where the fuel wedges each define one or more fuel channels that are configured to allow the fissionable fuel to flow from a first end to a second end of the reactor. While the methods and processes of the present invention may be particularly useful for generation of electricity, those skilled in the art will appreciate that the described systems and methods can be used in a variety of different applications and in a variety of different areas of manufacture. For instance, instead of comprising a generator, some implementations of the described systems and methods are configured to provide heat to one or more buildings, stadiums, neighborhoods, and/or other structures and facilities. In some other cases, the described systems are configured for desalination and/or to distill water (e.g., to create drinking (or relatively clean) water from salt water or another non-potable and/or polluted water source). In still other cases, the described systems and methods are configured to provide energy for use in: oil shale and oil sand production, molten pool thermal electric sterling motors, onshore and offshore power plants, automobiles, trains, ships, submarines, airplanes, helicopters, space shuttles, off-planet applications (e.g., on the moon), the production of hydrogen fuels, the production of bio gas applications, locations where portable power stations are useful (e.g., by attaching the molten salt reactor to a trailer, a skid, a vehicle, etc.), providing geothermal liquid enhancers, heating water for aqua culture, and/or for a wide variety of other suitable purposes. These and other features and advantages of the present invention will be set forth or will become more fully apparent in the description that follows and in the appended claims. The features and advantages may be realized and obtained by means of the instruments and combinations particularly pointed out in the appended claims. Furthermore, the features and advantages of the invention may be learned by the practice of the invention or will be obvious from the description, as set forth hereinafter. The present invention relates to molten salt reactors. More particularly, some embodiments of the described invention relate to systems and methods for providing and using molten salt reactors. While the described systems can include any suitable component, in some embodiments, they include a graphite reactor core defining an internal space that houses one or more fuel wedges, where each wedge defines one or more fuel channels that extend from a first end to a second end of the wedge. In some embodiments, one or more of the fuel wedges comprise multiple wedge sections that are coupled together end to end and/or in any other suitable manner. In some cases, one or more alignment pins also extend between two sections of a fuel wedge to align the sections. In some cases, one or more seals are also disposed between two sections of a fuel wedge. Thus, in some cases, the reactor core can be relatively long. Additionally, in some embodiments, one or more sections of the wedges and/or parts of other reactor components are configured to be replaced relatively easily. The following disclosure is grouped into two subheadings, namely “MOLTEN SALT REACTOR” and “REPRESENTATIVE OPERATING ENVIRONMENT.” The utilization of the subheadings is for convenience of the reader only and is not to be construed as being limiting in any sense. While the described systems can comprise any suitable component, FIG. 1A shows that in accordance with some representative embodiments the described molten salt reactor system 10 optionally comprises one or more heaters 15, reactors 20, heat exchangers 25, steam generators 30, and/or electric generators 35. Additionally, while the described systems can function in any suitable manner, FIG. 1A shows that, in some embodiments, the heater 15 is configured to heat one or more fissionable fuel sources (not shown) and/or carrier mediums (not shown) (collectively, the “fuel”) into a molten state and to pass the molten fuel to the reactor 20. In some embodiments, the reactor 20 is configured to function as a neutron moderator that is designed to reduce the speed of fast neutrons in the molten fuel and to convert such neutrons into thermal neutrons that allow the fuel to sustain a nuclear chain reaction (or to be in a critical state), which further heats the fuel. In accordance with some embodiments, FIGS. 1A-1C show that heated fuel (not shown) is cycled in a first fluid line 40 between the heat exchanger 25 and the reactor 20 such that as the fuel passes through the heat exchanger, heat from the heated fuel is optionally passed to a heat transfer medium (not shown) running through a second fluid line 45 that is separate from the first fluid line. In some embodiments (as shown in FIGS. 1A-1C), the second fluid line 45 extends between the heat exchanger 25 and the steam generator 30 and/or any other suitable location. In some such embodiments, the system is optionally configured to move the heat transfer medium from the heat exchanger 25 (where the medium is heated), through the steam generator 30 (where heat from the heat transfer medium causes water in the steam generator to turn into steam), and the heat transfer medium is then returned to the heat exchanger (where the transfer medium is reheated). In accordance with some embodiments, FIG. 1A shows that steam from the steam generator 30 is optionally directed to the electric generator 35 (e.g., via a third line 50 and/or otherwise), where the steam is used to turn one or more turbines to generate electricity. To provide a better understanding of the described system 10, each of the aforementioned components is described below in more detail. With respect to the heater 15, the heater can comprise any suitable component that allows it to heat the fissionable fuel to a molten state and to then pass the molten fuel to the reactor 20. Indeed, in accordance with some embodiments, FIG. 1A shows the heater comprises a container 55, which is configured to hold the fuel, and a heat source 60 that is configured to heat the fuel. The container 55 can have any suitable characteristic that allows the heater 15 to function as intended. For instance, the container can: be any suitable size (e.g., hold a volume of fuel that is larger than, smaller than, and/or approximately equal in volume to an internal volume of a reactor core in the reactor 20), be made of any suitable materials (e.g., comprise one or more nickel alloys, low-chromium nickel-molybdenum alloys (such as HASTELLOY-N™), metals, cements, ceramics, synthetic materials, and/or any other suitable materials), and have any suitable component (e.g., one or more drains that are configured to drain molten fuel to the reactor and/or another container, pumps that are configured to force the fuel to the reactor and/or another suitable container, mixers that are configured to mix various components of the fissionable fuel, vents, valves, lids, seals, thermostats, fluid level sensors, fluid sensors, radiation sensors, sensors, fans, and/or other suitable components) that allows the heater to function as intended. Indeed, in some embodiments, the container comprises one or more agitators, shakers, orbital mixers, and/or other mixers that are capable of mixing the various components of the fuel as it is cracked. With regards to the heat source 60, the heat source can comprise any suitable heat source that is capable of converting (or cracking) one or more components of the fuel to a molten state. Some examples of suitable heat sources include, but are not limited to, one or more burners, heating coils, heating elements, ovens, fires, solar heaters, and/or other suitable heat sources that are capable of liquefying the fuel. The heat source may also use any suitable energy source to heat the container 55 to a desired temperature. Some non-limiting examples of such energy sources include fossil fuels, coal, electricity, wood, biomass, biofuel, and/or any other suitable source. Once the fuel has been cracked, the fuel can be moved from the heater 15 to the reactor 20 in any suitable manner. In one example, the fuel is pumped (e.g., via one or more pumps 22 (ceramic and/or any other suitable pump), as shown in FIG. 1A) from the heater 15 to the reactor 20. In another example, the fuel is allowed to drain into the reactor via gravity. In still another example, a reactor core comprises a vacuum that is configured to draw the fuel into the core (e.g., once a valve is opened). In some embodiments, once the heater 15 has cracked the fuel and the fuel has gone critical in the reactor 20, the heater is no longer needed to maintain the fuel in a molten state. Accordingly, while the heater 15 can have any suitable relationship with the reactor 20, in some embodiments, once the fuel has been cracked by the heater and been introduced into the reactor, the heater is disconnected from the reactor, a valve between the heater and the reactor is closed, and/or the system 10 is otherwise modified such that fuel in the reactor does not flow back into the heater until desired (e.g., if and when the fuel starts to cool and/or as the fuel is stored before being reintroduced into the reactor). Thus, in some embodiments, the heater is used to start and to restart (and/or to optimize) the system (e.g., when the system is started for the first time, after the system has been shut down for maintenance, when the fuel falls below a desired temperature before entering the reactor, and/or for any other reason). With respect to the fuel, the fuel can comprise any suitable ingredient or ingredients that allow the fuel to be heated into a molten state and to go critical in the reactor 20. Indeed, as mentioned above, in some embodiments, the fuel comprises a fissionable fuel source and a carrier medium. Some examples of suitable fissionable fuel sources include, but are not limited to, U-233, thorium U-232, U-235, Th-232, Th-228, Th-230, Th-234, nuclear waste from a nuclear reactor (e.g., one or more light water, and/or other nuclear reactors), fuel un-cladded nuclear spent fuel rods, nuclear spent fuel rod pellets, Pu-239, UF4—LiF, PuF3, and/or any other suitable fissionable material and/or precursor to a suitable fissionable material. Indeed, in some embodiments, the fissionable fuel source comprises U-232, U-233, and/or U-235. Additionally, in some embodiments, the fuel comprises one or more other atomic elements that are configured to be mixed (e.g., homogeneously or otherwise) into the fuel. The various components of the fissionable fuel source can be present in the fuel at any suitable concentrations. Indeed, in some embodiments in which the fuel comprises U-232 and U-233, the two components are respectively used at a molar ratio between about 100:1 and 1:100, or at any suitable subrange thereof. Indeed, in some embodiments, when the fuel is initially added to the reactor, the fuel respectively comprises U-232 and U-233 at a molar ratio between about 6:1 and about 2:1 (e.g., at a ratio of about 4:1) though other materials (e.g., atomic elements and/or other suitable materials) can also be mixed therein. With respect to the carrier medium, the fuel can comprise any suitable carrier medium that allows the fuel to go critical in, and that is safe for use with, the reactor 20. Some examples of such carrier mediums include, but are not limited to, KNO3 (potassium nitrate), NaNO3 (sodium nitrate), ThF4 (thorium fluoride), LiF (lithium fluoride), BeF2 (beryllium fluoride), FLiBe (a molten mixture of lithium fluoride and beryllium fluoride), FLiNaK (a metal salt mixture of LiF, NaF (sodium fluoride), and/or KF (potassium fluoride)), and/or any other suitable salt or salts. Indeed, in some embodiments, the carrier medium comprises potassium nitrate and/or sodium nitrate. In some other embodiments, the carrier medium comprises potassium fluoride and/or sodium fluoride along with one or more other high thermal salts that can become a homogenous atomic element blend in the fuel. Where the carrier medium comprises more than one ingredient, the various ingredients can be present at any suitable concentration in the fuel. Indeed, in some embodiments, the two components (e.g., potassium nitrate and sodium nitrate, potassium fluoride and sodium fluoride, etc.) are respectively used at a molar ratio between about 100:1 and 1:100, or at any suitable subrange thereof. In this regard, in some embodiments, the carrier medium respectively comprises potassium nitrate and sodium nitrate at a molar ratio between about 6:1 and about 0.5:1 (e.g., at a ratio of about 1.5:1). In some embodiments, the fuel includes a mixture of 60% potassium nitrate to 40% sodium nitrate, along with one or more other homogenous salt blends. Turning now to the reactor 20, the reactor can comprise any suitable component and characteristic that allows the fuel to obtain and/or sustain a nuclear chain reaction by passing through the reactor. By way of non-limiting illustration, FIGS. 2A-2B show that, in some embodiments, the reactor 20 optionally comprises one or more housings 65, reactor cores 70, reflectors 75, fuel inlets 80, fuel outlets 85, reactor control mechanisms 90, and/or drains 95. With regards to the housing 65, the housing can comprise any suitable component or characteristic that allows the housing to contain the reactor core 70 and to prevent undesired amounts of neutrons and/or gamma radiation from escaping the housing. While the housing can further comprise any suitable component that allows it to substantially envelope the reactor core, FIGS. 2A-3B show that, in some embodiments, the housing 65 includes a container 100 having a cover 105 that is selectively removable and/or openable to provide access to the reactor core 70, the reflectors 75, and/or any other suitable component. In some such embodiments, the housing 65 (as shown in FIGS. 2B-2C) further comprises one or more seals 110, which may include, but are not limited to, one or more carbon seals, carbon ropes, carbon-containing materials, rubber seals, gaskets, positive seals, mating seals (or objects that come together to form a seal), and/or any other suitable seal and/or sealing material. Indeed, in some embodiments, FIG. 2C shows the seal 110 between the cover 105 and the container 100 comprises one or more carbon ropes 115. The housing 65 can comprise any suitable material that allows it to function as intended. Indeed, in some embodiments, the housing comprises one or more metals (e.g., lead, steel, iron, tungsten, nuclear grade metals, and/or any other suitable metals), alloys (e.g., one or more nickel alloys, low-chromium nickel-molybdenum alloys (e.g., HASTELLOY-N™), nuclear grade alloys, and/or other suitable alloys), cements, types of nuclear gunnite, types of nuclear shotcretes, types of mortar, types of reinforced cement, ceramics, synthetic materials, natural materials, polymers, nano-metals, plastics, hydrogen-based materials, fiberglass, stone, and/or any other suitable materials. In some embodiments, however, the housing comprises a low-chromium nickel-molybdenum alloy, such as a HASTELLOY-N™ material. Additionally, in some embodiments, the housing further comprises one or more internal and/or external liners (e.g., lead, steel, ceramic, nano-composites, graphite, graphite foam, and/or plastic liners), a secondary containment housing (comprising the same materials as, or different materials than, the housing), and/or one or more reinforcement elements (e.g., steel rods, steel meshes, fiber reinforcements, composites, graphite foam, graphite metal composites, and/or any other suitable reinforcements). Turning now to the reactor core 70, the core can comprise any suitable component or characteristic that allows it to act as a moderator as the fuel passes through it, such that the core is able to help the fuel reach (and/or maintain) a critical state. Some non-limiting examples of such elements include, a reactor core tube and one or more end caps, internal moderators, and/or diffusers. With reference to the reactor core tube, the tube can comprise any suitable characteristic that allows it to function as described herein. In this regard, the tube can be any suitable shape, including, without limitation, being cylindrical, polygonal, cuboidal, symmetrical, asymmetrical, tubular, spherical, prism-shaped (e.g., hexagonal prism shaped, polygonal prism shaped, pentagonal prism shaped, cuboidal prism shaped, parallel-piped prism shaped, octagonal prism shaped, rectangular prism shaped, and/or any other suitable prism shape), and/or any other suitable shape. By way of non-limiting illustration, FIG. 4A shows an embodiment in which the reactor core tube 120 is substantially cylindrical and tubular in shape, having a first end 125 and a second end 130 with an internal space 135 defined between the two ends. The reactor core tube 120 can be any suitable size. Indeed, while the reactor core tube can be any suitable length, in some non-limiting embodiments, the tube has a length that is between about 0.05 meters (m) and about 150 m, or any length that falls in such range. In this regard, some embodiments comprise a reactor core tube having a length between about 0.1 m and about 61 m. In still other embodiments, the reactor core tube has a length between about 0.2 m and about 31 m. In yet other embodiments, the reactor core tube has a length between about 0.3 m and about 2.5 m (e.g., between about 0.5 m and about 0.8 m). In other embodiments, the reactor core tube has length that is even greater than or shorter than the lengths set forth herein. In this regard, it will be understood that the reactor core tube's size may vary greatly, depending on its particular use. While the reactor core tube 120 can have any suitable width or diameter, in some embodiments, the tube has an inner diameter or width (or ID) that is between about 0.04 m and about 10 m, or any width/diameter that falls in such range. In this regard, some embodiments of the reactor core tube comprise an ID that is between about 0.2 m and about 3 m (e.g., between about 0.25 m and about 1.3 m or between about 0.5 m and about 0.76 m). Indeed, in some embodiments, the ID (and/or other one or more other measurements of the reactor core tube) is adjusted or otherwise set to meet the needs of a particular fuel, application, and/or a desired energy output. The walls of the reactor core tube 120 can be any suitable thickness. Indeed, in some embodiments, the distance between the tube's outer diameter (OD) and ID (or wall thickness) is between about 0.1 centimeter (cm) and about 1 m, or any thickness that falls in such range. Indeed, in some embodiments, the tube has a wall thickness that falls between about 1 cm and about 13 cm (e.g., between about 1.5 cm and about 3.5 cm). In other embodiments, the tube's wall can be any other suitable thickness (e.g., based on energy output needs). With reference now to the end caps, although some embodiments of the reactor core 70 are formed with one or both ends (e.g., ends 140 and/or 145) being closed, in some embodiments, the first and/or second ends of the reactor core tube 120 open until they are capped with an end cap. While the end caps can perform any suitable function, in some embodiments, the end caps are configured to retain the fuel in the reactor core and to help direct the fuel into and out of the reactor core tube. While the end caps can comprise any suitable component that allows them to perform their desired function, FIG. 4A shows a representative embodiment in which the first end cap 140 and the second end cap 145 each comprises one or more (e.g., 1, 2, 3, 4, 5, 6, or more) fuel ports 150. Additionally, while the end caps can be any suitable shape, FIG. 4A shows an embodiment in which the first 140 and second 145 end caps are flared to respectively help channel fuel from the fuel port 150 in the first cap 140 to the internal space 135 of the reactor core tube 120, and then from the internal space 135 of the reactor core tube 120 to the fuel port 150 in the second cap 145. Where the reactor core 70 comprises a first 140 and/or second 145 end cap (or fuel heads), the end caps can be coupled to the core through any suitable method. Some example of such methods include, without limitation, being integrally formed with, being threaded together with, via a pressure and/or friction fitted together with, via one or more mating surfaces (e.g., grooves and corresponding ridges or otherwise), via a luer-taper connection, via one or more seals (e.g., carbon seals, carbon rope seals, rubber seals, positive seals, mating seals, nano-composites, and/or other suitable seals), via welding, via one or more adhesives, via one or more mechanical fasteners (e.g., rivets, clamps, clamping mechanisms, reflectors 75 and/or other objects that help press the caps into the reactor core tube 120, screws, bolts, clips, pegs, crimps, pins, brads, threads, brackets, catches, couplers, key-way splines, straps, cramps, heat shrink binding mechanisms, and/or any other suitable mechanical fasteners), and/or other suitable fastening mechanism. Indeed, in some embodiments, the end caps are coupled to the reactor core tube via a friction fitting, with one or more seals (e.g., carbon ropes, positive seals, and/or other suitable seals) being disposed between the end caps and the reactor core tube to help maintain an air-tight and/or fluid/fuel-tight seal between the caps and the reactor core tube. As mentioned, in some embodiments, the internal space 135 in the reactor core tube 120 comprises one or more internal moderators that are configured to help the fuel reach (and/or maintain) a critical state in the reactor core 70. In this regard, the internal moderators can comprise any suitable component or components that are capable of performing the described function. Some examples of suitable internal moderators include, but are not limited to, one or more rods, balls, pellets, beads, granules, particles, blocks, articles, pipes, graphite gels, gels, pieces, and/or other objects that can be surrounded by and/or filled with the fuel so as to allow the material of the moderator (e.g., carbon, graphite, and/or any other suitable material capable of bringing the cracked fuel to a critical state) to function as a moderator. Indeed, in some embodiments, the internal moderators comprise graphite balls, and more particularly substantially pure graphite having a purity level of about 99% or greater (e.g., having a graphite purity of at least about 99.9%). In some other examples, the internal moderators comprise one or more cylinders, blocks, wedges, pins, rods, balls, solid block inserts defining a plurality of holes, the reactor core 70 itself (e.g., wherein the internal space 135 comprises one or more fuel channels or holes extending through a portion of the reactor core), and/or other suitable objects that define one or more holes therein, wherein such holes are configured to channel the fuel from a first portion (e.g., a first end 125 portion, a first diffuser (as discussed below), and/or a first end cap 140) to a second portion (e.g., a second end 130 portion, a second diffuser (as discussed below), and/or a second end cap 145) of the reactor core. Indeed, in some embodiments, the reactor core itself acts as the internal moderator. In some other embodiments, however, the internal moderators comprise one or more fuel pin rods, fuel wedges, and/or graphite spheres. Where the reactor core 70 itself acts as the internal moderator, the reactor core can comprise any suitable characteristic that allows it to bring and/or maintain the fuel at a critical state. In some embodiments, the core comprises (e.g., by itself and/or houses) a solid block of material (e.g., graphite, as discussed below) defining one or more fuel channels. In this regard, the core can comprise any suitable number of fuel channels, including, without limitation, between about 1 fuel channel and about 2,000 fuel channels, or any number of channels falling within such range. Indeed, in some embodiments, the reactor core defines between about 3 and about 150 (e.g., between about 3 and about 60 or between about 80 and about 130) fuel channels. By way of non-limiting illustration, FIGS. 4B and 4C respectively show some embodiments in which the reactor core 70 itself defines 9 and 37 fuel channels 155. Additionally, FIG. 4D illustrates an embodiment in which the reactor core 70 comprises a cylindrical insert 156 that is disposed within the reactor core tube 120, and which defines 9 fuel channels 155. While the fuel channels 155 shown in FIGS. 4B-4D are shown to be substantially circular or cylindrical in shape, the channels can have any other suitable shape that also the reactor to function, including, without limitation, being tubular, prism shaped, round balls, egg-shaped balls, polygonal balls, and/or any other suitable shape. Where the reactor core 70 comprises one or more fuel pin rods, the fuel pin rods can comprise any suitable component or characteristic that allows them to bring a portion of the molten fuel to (and/or to be maintained at) a critical state. Indeed, while the pins can be any suitable length, in some embodiments, they are of a sufficient length that allows them to direct fuel from the first end 125 to the second end 130 of the reactor core tube 120. Additionally, in some embodiments, the pins define one or more holes, or fuel channels, that extend through a length of the pins to channel the fuel from the reactor core tube's first end 125 to its second end 130. The channels can be disposed in the pins in any suitable manner, including, without limitation, by running substantially parallel with a longitudinal axis running through a length of the pins, by cork-screwing through the pins, by twisting through the pins, by extending through the pins at an angle, by rotating though the pins, by spiraling through the pins, by extending through the pins in a serpentine manner, and/or in any other suitable manner. In accordance with some embodiments, however, FIGS. 4A-4E show that the fuel channels 155 (which may also be referred to as internal fuel conduits and holes) run substantially straight through the pins 160 (e.g., parallel with the pins' longitudinal axes). Where the reactor core 70 comprises one or more pins 160, the pins can each define any suitable number of holes that allow the core to bring and/or maintain the fuel at a critical state. In this regard, each pin can comprise 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, or more holes. By way of non-limiting illustration FIG. 4F shows an embodiment in which several pins 160 comprise four fuel channels 155, while a center pin 165 comprises eight fuel channels 155. In some embodiments, each hole in the pin is a fuel channel that extends through the pin. In some other embodiments, however, even though a pin defines multiple entry and/or exit holes, the holes are in fluid communication with a single fuel channel that flows through the pin. The pins 160 can be any suitable shape, including, without limitation, being substantially cylindrical; tubular; cuboidal; rectangular-prism-shaped; triangular-prism-shaped; polygonal-prism-shaped; hexagonal-prism-shaped; pentagonal-prism-shaped; cuboidal-prism-shaped; octagonal-prism-shaped; segment-prism-shaped; parallel-piped-prism-shaped; pill-shaped (e.g., cylindrical with rounded ends); having an outer perimeter with a cross-sectional appearance resembling that of a peanut, cells in anaphase, cells in telophase, and/or a double-barreled shotgun; having a cross-sectional view resembling 2, 3, 4, 5, 6, or more intersecting circles; having or more corresponding shapes that fit together to substantially fill a portion of the reactor core 70; and/or any other suitable shape. By way of non-limiting illustration, FIGS. 4A and 4F show some embodiments in which the pins 160 have a cylindrical shape and/or (in the case of the center pin 165 shown in FIGS. 4A and 4F) a cross-sectional view resembling cells in telophase. Where the reactor core 70 comprises one or more pins 160, the reactor core can comprise any suitable number of pins that allows the reactor core to function as described herein. In this regard, while some embodiments of the core comprise no pins, other embodiments comprise between about 1 and about 2,000 pins, or any subrange thereof. Indeed, in some embodiments, the reactor core comprises between about 1 and about 140 pins, or any subrange thereof (e.g., between about 12 and about 80 pins). By way of non-limiting illustration, FIG. 4F shows an embodiment in which the reactor core 70 comprises a total of 15 pins (as shown by pins 160 and 165). Although, in some embodiments, the internal space 135 is mostly (if not entirely) filled with fuel pin rods 160, in other embodiments, in addition to (or in place of) the pins, the internal space houses one or more wedges. In this regard, the term wedge may be used to describe any suitable internal moderator (including, without limitation, a graphite and/or other suitable moderator) defining one or more fuel channels 155 that run through a length of the moderator. In this regard, some embodiments of the described fuel pins may be described as belonging to a sub-class of fuel wedges (especially where the pins define two or more discrete fuel channels). In some embodiments, however, the term fuel wedge may refer to an elongate, graphite (and/or carbon) moderator that defines a plurality of fuel channels that extend along a length of the wedge, wherein the wedge is configured to fit in (and, in some embodiments, to stack or fit together with other wedges and/or pins to substantially fill) the internal space. Additionally, while the fuel wedges can have any suitable shape that allows them to act as moderators, in some embodiments, the wedges have a surface that is configured to substantially contour with an inner surface of the reactor core 70 (e.g., an inner surface of the reactor core tube 120) and/or to come into contact with such inner surface at more than one place. For instance, in some embodiments in which the reactor core tube 120 defines an interior surface having a polygonal, rounded, contoured, and/or irregular surface, an outer surface of one or more fuel wedges is configured to substantially contour such interior surface and/or to at least contact such surface in more than one location at a time. In this regard, FIG. 4F shows an embodiment in which the reactor core tube 120 defines a interior surface 170 (that is cylindrical, tubular, polygonal, irregular, symmetrical, and/or any other suitable shape), and in which an outer surface 175 of each of the fuel wedges 180 is curved, angled, and/or otherwise configured to substantially correspond in shape with the interior surface 170 of the reactor core tube 120. The fuel wedges 180 can have any suitable shape that allows the reactor 20 to function as intended. Some non-limiting examples of suitable shapes include that of geometrical sector-shaped prism, an arc-shaped prism, a polygonal prism, a rounded prism, a hexagonal prism, a pentagonal prism, a triangular prism, a cuboidal prism, a parallel-piped prism, a segment prism, a sector prism, a truncated sector prism, an elongated diamond shaped prism, and/or any other suitable shape. In accordance with some embodiments, however, FIG. 4F (and FIG. 4A) illustrates an embodiment in which the fuel wedges 180 comprise a substantially wedge-shaped prism 181, having a plurality of rounded, angled, and/or other suitable surfaces 185 that are configured to hold one or more pins (e.g., pins 160 and/or 165). FIG. 4G illustrates an embodiment in which the reactor core 70 comprises multiple substantially-sector-shaped wedges 182, having a pin 160 disposed between the wedges. In particular, while the reactor core 70 can comprise any suitable number of wedges (i.e., 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or more) FIG. 4G shows an embodiment in which the core 70 comprises four wedges 180. Additionally, FIG. 4H illustrates an embodiment in which the wedges 180 are substantially sector-shaped, and wherein there are no pins disposed within the reactor core 70. Furthermore, FIG. 4I illustrates an embodiment in which the reactor core 70 comprises a plurality of arc-shaped prism wedges 190 surrounding a plurality of arc-shaped prism internal moderators 195 and a fuel pin 160. In still other non-limiting examples, FIGS. 4N-4O show that in some embodiments, the fuel wedges 180 are shaped as prisms having the shape of square prisms, as prisms resembling segments of a cylinder, as truncated sector prisms, etc. Where the reactor core 70 itself, an insert in the core (e.g., the cylindrical insert 156), the pins 160, the wedges 180, and/or one or more other internal moderators each comprise one or more fuel channels 155 that are configured to direct fuel from a first portion (e.g., a first end 125 portion, a first diffuser (as discussed below), and/or a first end cap 140) to a second portion (e.g., a second end 130 portion, a second diffuser (as discussed below), and/or a second end cap 145) of the reactor core 70, the channels can be any suitable size that allows the fuel to flow through the channels. In some embodiments, the holes have an ID that is between about 0.05 cm and about 60 cm, or any ID that falls in such range (e.g., between about 0.5 cm and about 4 cm). Indeed, in some embodiments, the holes in the pins have an ID between about 0.9 cm and about 30.5 cm. In other embodiments, the fuel channels have an ID between about 0.95 cm and about 23 cm. By way of non-limiting illustration, FIG. 4B illustrates an embodiment in which the reactor core 70 defines fuel channels 155 that have an ID of about 0.95 cm (±0.9 cm). FIG. 4B illustrates an embodiment in which the reactor core 70 defines fuel channels 155 of two different sizes, which have an ID of between about 20 cm (±2 cm) and about 12 cm (±2 cm). FIG. 4C, on the other hand, illustrates an embodiment in which the reactor core 70 defines fuel channels 155 having an ID of about 7.6 cm (±2 cm). In still other embodiments, one or more fuel channels in the reactor core have an inner diameter of about 3.8 cm±1 cm. Although, in some embodiments, the internal moderator or moderators (e.g., the fuel pins 160, fuel wedges 180, cylindrical insert 156, and/or other suitable moderators) are configured to substantially fill the reactor core 70 when the core is cool, in some embodiments, internal moderators are sized so as to be slightly smaller than the internal space 135 of the reactor core tube 120—thus allowing the internal moderators to expand (as they are heated) to substantially fill the internal space without expanding so much that they crack or break the reactor core tube. While the internal moderators can be any suitable size at standard temperature and pressure (or STP) that allows the reactor 20 to function as intended, in some embodiments, the volume (and/or length) of all of the internal moderators is configured to be between about 0.01% and about 15%, or any subrange thereof, smaller than the internal volume (and/or diameter or length) of the reactor core tube 120 at STP. Indeed, in some embodiments, the internal moderators (as a whole) have a total volume (and/or diameter or length) that is anywhere between about 1% and about 10% (e.g., between about 2.5% and about 5.5%) smaller than the internal volume (and/or diameter or length) of the reactor core tube at STP. The ends of the internal moderators (e.g., the reactor core 70 itself, the cylindrical insert 156, the fuel pins 160, and/or the fuel wedges 180) can have any suitable shape that allows them to be used in the reactor core 70. Indeed, in some embodiments, the ends of the pins, wedges, inserts, etc. are substantially flat; are rounded; include one or more walls, spacers, seals, protuberances, and/or other standoffs that are configured to space openings to the various fuel channels 155 away from an object (e.g., an end cap 140 or 145, or a diffuser, as discussed below); and/or are otherwise shaped to allow the fuel to enter into one end of, and to exit from an opposite end of, the various moderators. By way of non-limiting illustration, FIGS. 4J-4K illustrate some embodiments in which the pins 160 and 165 and the wedges 180 each comprise one or more standoffs 200 that are configured to space openings for the fuel channels 155 away from an object (e.g., a diffuser 205, the first end cap 140, the second end cap 145, and/or any other suitable object). Where one or more of the internal moderators (e.g., the fuel pins 160, fuel wedges 180, etc.) comprise one or more standoffs, the standoffs can be any suitable length. Indeed, in some embodiments, the standoffs at a first end or second end of the fuel pins, and/or fuel wedges are, individually, any suitable length between about 0.01 cm and about 20 cm, or any subrange thereof. Indeed, in some embodiments, the standoffs at one or both ends of the pins and/or wedges are, at each end, between about 1 cm and about 5 cm. In still other embodiments, the standoffs at one or both ends of the pins and/or wedges are, individually, between about 2 cm and about 4 cm (e.g., about 3.8 cm±0.5 cm). In still other embodiments, the standoffs are any other suitable length (e.g., based on energy output needs, fuel flow needs, the size of the reactor core 70, and/or any other suitable factor). With reference now to the diffusers 205, some embodiments of the reactor core 70 optionally comprise one or more baffles, channels, meshes, tubing, blocks, and/or any other suitable diffusers that are capable of distributing fuel from the first end cap 140 into the fuel channels 155 in the pins 160 and/or wedges 180, and/or from the fuel channels in the pins and/or wedges and into the second end cap 145. More particularly, the diffuser can comprise any suitable component (e.g., a manifold connected, fuel lines, holes, flutes, and/or any other suitable characteristic) that allows the diffuser to direct fuel to one or more portions of the reactor core (or internal moderator). Where the reactor core 70 comprises a diffuser 205, the core can comprise any suitable number of diffusers, including, without limitation, 1, 2, 3, 4, 5, or more diffusers at one or both ends of the core. Indeed, in some embodiments (as illustrated in FIG. 4J), the reactor 20 comprises one diffuser 205 adjacent to the first end cap and another diffuser 205 adjacent to the second end cap 145. In some other embodiments, however (e.g., where the reactor core is used at an incline and/or vertically), the core comprises one or more diffusers at a bottom end of the core (e.g., adjacent to the first end cap) and does not necessarily have a diffuser near the top end of the core (e.g., adjacent to the second end cap). While the diffusers 205 can have any suitable characteristic that allows them to function as described herein, in accordance with some embodiments, FIG. 4J shows the diffuser 205 comprises a plate 210 with one or more holes 215, with the plate being disposed between the fuel port 150 of the corresponding end cap (e.g., end caps 140 and/or 145) and the pins 160 and/or wedges 180. Additionally, FIG. 4A shows an embodiment in which the diffusers 205 are formed with the end caps (e.g., end caps 140 and/or 145). In accordance with some other embodiments, however (and as shown in FIG. 4J), the diffusers 205 are formed separate from the end caps (e.g., end caps 140 and/or 145) so as to be inserted into one of the end caps, sandwiched between an end cap and a portion of the reactor core 70, and/or to be placed in any other suitable location. Where the reactor core 70 comprises one or more diffusers 205 defining a plurality of holes (see holes 215 in FIG. 4J), any suitable portion of the diffusers' surface area define holes that are configured to channel fuel. Indeed, in some embodiments, the area of the holes in a face of each diffuser is between about 50% and about 150% (or falls in any suitable subrange thereof) of the area of the fuel channels 155 in a face of the reactor core and/or the internal moderator. Indeed, in some embodiments, the area of the holes in a face of each diffuser is about equal (±10%) to the area of the fuel channels in a face of the reactor core and/or the internal moderator. Turning now to the fuel inlets 80 and fuel outlets 85, the reactor 20 can comprise any suitable number of fuel inlets and outlets (e.g., 1, 2, 3, 4, 5, 6, or more) that allows fuel to pass (selectively and/or otherwise) through one or more fuel ingress ports 151 (or inlets) at a first end of the reactor (e.g., the first end cap 140) and to then exit through one or more fuel egress ports 152 (or outlets) at a second end of the reactor (e.g., the first end cap 145). In one non-limiting illustration, however, FIG. 2B shows an embodiment in which the reactor 20 comprises one fuel inlet 80 and one fuel outlet 85. Additionally, while the fuel inlets can be any suitable shape (e.g., circular, polygonal, and/or any other suitable shape), in some embodiments, an egress from the fuel inlet and ingress to the fuel outlet substantially correspond with a shape of a corresponding fuel port 150. Indeed, in some embodiments, in which the fuel ports are substantially circular in shape, the egress from the fuel inlet and the ingress to the fuel outlet are also substantially circular in shape. While the fuel inlets 80 and fuel outlets 85 can be made of any suitable materials (e.g., graphite, one or more nickel alloys, low-chromium nickel-molybdenum alloys (such as HASTELLOY-N™), metals, cements, ceramics, synthetic materials, composites, nano-composites, and/or any other suitable materials), in some embodiments, the fuel inlet and outlet each comprise a low-chromium nickel-molybdenum alloy (e.g., HASTELLOY-N™ materials), with one or more seals (e.g., carbon seals, carbon rope seals, composites, and/or other suitable seals) being disposed between the inlet and outlet and the corresponding end cap (e.g., the first 140 or second 145 end cap) to which they extend. Indeed, in some embodiments, the fuel inlets 80 and outlets 85 comprise a HASTELLOY-N™ material that is lined with graphite. With reference now to the reactor control mechanism 90, some embodiments of the described system 10 are configured to selectively modify the rate at which fuel flows through the reactor core 120. In this regard, in some cases and within some limits, as fuel is forced through the reactor core 70 at higher and higher rates, the fuel is able to interact with the internal moderators to allow the fuel to reach higher and higher temperatures. Conversely, in some cases and within some limits, as the rate at which fuel flows through the reactor core is slowed, the temperature of the fuel also drops. Indeed, in some embodiments, if the fuel is allowed to stay stagnant in the reactor core for an extended period of time, the fuel will lose its critical state and will (if left long enough) even harden. Thus, by varying the rate at which fuel moves through the reactor core, the described system can vary the amount of heat (and hence the amount of electricity) that the system produces. Moreover, by stopping the flow of fuel through the core, the system can be permanently and/or temporarily shut down (e.g., by allowing the fuel to cool and harden). The fuel can flow through the reactor core 70 at any suitable rate that allows the reactor core to function as described herein. In this regard, the rate at which the fuel flows through the core can be varied based on the size of the core, the desired amount of heat generated by the reactor core, the amount of fissionable material in the fuel, a desired homogeneous balance, and/or a wide variety of other factors. Indeed, while the fuel can flow through the reactor core at absolutely any suitable rate, in some embodiments, the fuel is configured to flow through the core at a rate between about 0 Liters per min (L/min) and about 45,500 L/min, or within any suitable subrange thereof (e.g., based on the size, function, and/or any other suitable characteristic of the reactor). Indeed in some embodiments, the fuel is pumped (or otherwise caused to flow) through the reactor core at a rate between about 0 L/min and about 15,000 L/min, or within any subrange thereof (e.g., between about 19 L/min and about 150 L/min). By way of non-limiting illustration, in some embodiments in which the reactor core has an outer diameter of about 3 m, with a length of about 4.3 m, and with about 125 fuel channels 155 having an inner diameter between about 1.27 cm and about 6.35 cm (e.g., about 3.81 cm±0.5 cm), the fuel is pumped at (under some desired operating conditions) a rate of between about 18.9 L/min and about 50 189.3 L/min (e.g., between about 37 L/min and about 133 L/min). Where the described system 10 comprises one or more mechanisms for varying the rate at which fuel flows through the reactor core 70, the reactor control mechanisms 90 can comprise any suitable component or mechanism that is capable of performing such a function. In this regard, some non-limiting examples of suitable reactor control mechanisms include one or more variable frequency fuel pumps, fuel pumps, valves, mechanisms in which the reactor core is rotatable so as to move the fuel ports 150 and the corresponding fuel inlet 80 and outlet 85 into and out of alignment with each other, mechanisms that are capable of changing an angle of the reactor core (e.g., to have gravity affect the flow), and/or any other suitable mechanism. Indeed, in at least some embodiments, one or more pumps 22 (as shown in FIG. 1A, see also FIG. 3C) are configured to control (e.g., increase, decrease, stop, maintain substantially constant, vary, and/or otherwise control) the rate at which the fuel flows through the reactor 20. In still other embodiments, in addition to, or in place of, such pumps, the reactor core is configured to be rotated to increase and/or decrease the rate at which fuel passes through the reactor 20. Where the reactor core 70 is configured to rotate to vary the rate at which fuel passes through the reactor 20, the reactor core can be rotated in any suitable manner that allows a passage between the fuel inlet 80 and/or outlet 85 and a corresponding fuel port 150 (e.g., in the first 140 and/or second 145 end cap) to become more and/or less occluded as the reactor core rotates (e.g., as one opening is rotated into and/or out of alignment with the other). Indeed, in some embodiments, the reactor core is configured to be rotated manually and/or automatically (e.g., via one or more computer systems) via the reactor control mechanism 90, which comprises one or more motors, servos, actuators, gear drives, worm drives, kelley drives, and/or other suitable mechanisms. In this regard, FIGS. 2A, 4L, and 4M show some embodiments in which the reactor core 70 is coupled with a partial gear 220 (or a sector gear) that is intermeshed with a second gear 225 that is sealed within the housing 65 and that comprises a pinion, gear, and/or other contact surface 230 (e.g., a hex head, a head with splines, and/or any other suitable surface that can be engaged by a wrench, pry bar, motor, servo, pneumatic driver, kelley shaft, drill, and/or other suitable tool that can be used to turn the contact surface), which can be used to turn the second gear to rotate the reactor core, to thereby vary the rate at which fuel is moved through the reactor and, hence, the amount of energy that is produced by the system 10. Accordingly, in some embodiments, a user can use a wrench or other turning tool to rotate the contact surface 230 and hence the reactor core. Thus, even if one or more pumps go down and/or power is lost, in some embodiments, a user can manually rotate the reactor core to slow and/or stop fuel flowing though the reactor (e.g., by moving the fuel port 150 (e.g., in the first 140 and/or second 145 end cap) out of alignment with the corresponding inlet 80 and/or outlet 85. Additionally, FIGS. 4M and 6A-6D show that in some embodiments, at least one reflector 75 (e.g., the second reflector 240, as discussed below) is optionally configured to allow the partial gear 220 and, hence, the reactor core 70 to rotate clockwise and counterclockwise. While this ability to rotate the reactor core in two directions may serve many purposes, in some embodiments, it allows the reactor core to move back and forth to break any fuel that has solidified and become crusted between the core and a reflector. Where the reactor core 70 is configured to rotate to vary the rate at which fuel flows through the reactor 20, the core can be rotated by any suitable amount that allows the fuel inlet 80 and/or outlet 85 and a corresponding fuel port 150 (e.g., in the first 140 and/or second 145 end cap) to be aligned to allow for a maximum flow of fuel through the reactor and to be shifted with respect to each other (e.g., as the core rotates) such that fuel ports are moved out of alignment with the corresponding inlet and/or outlet to reduce the size of the aperture through which the fuel can enter or exit the core. Indeed, in some embodiments, the reactor core is configured to rotate (clockwise and/or counter clockwise) between about 0.2 degrees and about 180 degrees, or within any subrange thereof. Indeed, in some embodiments, the reactor core can move the fuel ports from being in maximum alignment with the corresponding inlet and/or outlet to having the inlet and/or outlet be completely out of alignment with the corresponding fuel port (e.g., to stop fuel from entering and/or exiting the core) when the core is rotated by about 25 degrees or less (less than about 20 degrees). In some embodiments, however, the core is configured to rotate less than 20 degrees (e.g., to stop and/or otherwise vary the flow of the fuel through the cell) in either the clockwise and/or the counter clockwise direction. Turning now to the reflectors 75, some embodiments of the described reactor 20 comprise one or more reflectors that are configured to reflect neutrons and/or gamma rays released from the fuel as the fuel moves through the reactor core 70. As a result, the reflectors may help the reactor bring and/or maintain the fuel at a critical state, while (in some embodiments) preventing radiation from escaping from the reactor 20 and harming individuals in proximity to the reactor. In this regard, the reflectors can comprise any suitable characteristic that allows them to function as intended. In one example of a suitable characteristic of the reflectors 75, the reflectors can be any suitable thickness that allows them to function as described herein. Indeed, in at least some embodiments, the reflectors ensure that an outer surface of the reactor core tube 120 and/or either of the end caps 140 or 145 is separated from an internal wall of the housing 65 by between about 2 cm and about 10 m (or any subrange thereof) by a suitable material (e.g., graphite and/or any other suitable material, as discussed below). Indeed, in some embodiments, the reflectors ensure that an outer surface of the reactor core tube 120 and/or either of the end caps 140 or 145 is separated from an internal wall of the housing 65 by between about 20 cm and about 6 m (e.g., about 40 cm±10 cm) of reflector material. More specifically, in some embodiments, the reflectors ensure that an outer surface of the reactor core tube and/or either of the end caps are separated from an internal wall of the housing by at least about 30 cm. As another example of a suitable characteristic of the reflectors 75, although some embodiments of the reactor core 70 are permanently enveloped in a reflector, in other embodiments, the reactor core is surrounded in the reactor housing 65 by one or more reflectors (and/or sections of reflectors) that are configured to be selectively removed and/or replaced. As a result, in some embodiments, if the reactor core, an internal moderator, a reflector, and/or another portion of the reactor 20 breaks, cracks, ages, and/or otherwise becomes damaged, one or more reflectors can be removed such that the damaged portion of the reactor can be removed, accessed, repaired, and/or replaced. In this regard, while the reflectors can be assembled in any suitable manner that allows them to surround the reactor core, FIGS. 4M, 5A-7C, and FIGS. 2A-2B show that, in some embodiments, the reflectors 75 comprise a first 235 and second 240 reflector that are configured to fit together to encase the reactor core 70 (e.g., as a clam shell), with a third 245 and fourth 250 reflector that each flank the first end cap 140 and the second end cap 145. Accordingly, in such embodiments, one or more reflectors can be removed and/or replaced relatively easily. The various components of the reactor core 70 (including, without limitation, the reactor core itself, the reactor core tube 120, the first 140 and second 145 end caps, the cylindrical insert 156, the fuel pins 160, the fuel wedges 180, the diffusers 205, the reflectors 75, the partial gear 220, alignment pins (as discussed below), and/or any other suitable portion of the reactor core) can be made of any suitable material. Some non-limiting examples of such materials include, but are not limited to, graphite (e.g., substantially pure graphite having a purity level of about 99% or greater (such as a graphite purity of at least about 99.9%), a boron-free graphite, a pyrolytic graphite, a CGB grade graphite, and/or any other suitable graphite) and/or any other suitable material. Indeed, in some embodiments, the reactor core, the reactor core tube, the end caps, the cylindrical insert, the fuel pins, the fuel wedges, the diffusers, the reflectors, the alignment pins, and/or the partial gear each comprise 99.9% pure, boron-free graphite. In some other embodiments, one or more portions of the reactor core comprise one or more other metals, cements, ceramics, graphite spheres, and/or other suitable materials. For instance, some embodiments of the partial gear comprise a metal (e.g., a HASTELLOY-N™ alloy) that is placed on and/or used to form teeth on the gear. Turning now to the drains 95, some embodiments of the reactor 20 optionally comprise one or more drains that are configured to drain (e.g., into a suitable holding tank) fuel: that seeps from the reactor core 70, that is released when (or if) the reactor core cracks and/or breaks, that can be drained to cool the reactor if the reactor starts to overheat, and/or that is otherwise desirable to drain from the reactor. While such drains can comprise any suitable component that allows them to function as intended, in some embodiments, the drains comprise one or more ball valves, butterfly valves, gate valves, diaphragm valves, and/or other suitable valves comprising one or more suitable ceramic materials, metals, alloys, composites, and/or other suitable materials. Indeed, in some embodiments, the drain 95 (as shown in FIGS. 1B-2B) comprises a ceramic ball valve. With reference now to the heat exchanger 25, in some embodiments of the described system 10, fuel that is brought to the critical state in the reactor core 70 is optionally pumped (or otherwise moved) through the first fluid line 40 (which can be any suitable size and length), from the reactor 20, through the heat exchanger 25, and then back into the reactor for reheating. In some such embodiments, the heat exchanger is optionally configured in such a manner that heat from fuel in the first fluid line is passed to a heat transfer medium running through the second fluid line (which can also be any suitable size and length). Accordingly, the described system can heat the heat transfer medium without ever contaminating it with radioactive materials from the fuel. While the transfer of heat from the first line 40 to the second line 45 can be done in any suitable manner and via any suitable known or novel heat transfer device (or heat exchanger), in some embodiments, the first fluid line is disposed in proximity to the second fluid line (e.g., as shown in FIGS. 8A-8E). Additionally, in some embodiments, in order to better pass heat from the first fluid line to the second fluid line, both lines are at least partially submerged in and/or are otherwise surrounded by the heat transfer medium. Moreover, while the first and second fluid lines can run through the heat exchanger 25 in any suitable manner (by having one run in a top portion of the heat exchanger while the other line runs in the bottom portion, by having portions of the lines disposed in close proximity to each other, etc.), in some embodiments, a portion of the first fluid line is configured to be disposed in a bottom portion of the heat exchanger while a portion of the second fluid line is configured to be disposed in an upper portion of the heat exchanger. With regards to the heat transfer medium, the heat transfer medium can comprise any suitable material or materials that allow it to safely absorb heat from the first fluid line 40 and, in some embodiments, to flow through the second fluid line 45. Some non-limiting examples of suitable heat transfer mediums include one or more salts that are free from fissionable materials, water, coolants, graphite gels, and/or other suitable materials. Indeed, in some embodiments, the heat transfer medium comprises one or more salts, which may include, but are not limited to, potassium nitrate; sodium nitrate; lithium fluoride; beryllium fluoride; a mixture of lithium fluoride and beryllium fluoride; a metal salt mixture of lithium fluoride, sodium fluoride, and/or potassium fluoride; a thermal graphite gel; and/or any other suitable salt or salts. Indeed, in some embodiments, the heat transfer medium comprises potassium nitrate and/or sodium nitrate. In some other embodiments, the carrier medium comprises potassium fluoride, sodium fluoride, and/or a graphite gel. Where the heat transfer medium comprises more than one ingredient, the various ingredients can be present at any suitable concentration in the fuel. Indeed, in some embodiments, at least two of the components of the heat transfer medium are respectively used at a molar ratio between about 100:1 and 1:100, or at any suitable subrange thereof. In this regard, in some embodiments, the carrier medium respectively comprises potassium nitrate and sodium nitrate at a molar ratio between about 6:1 and about 0.5:1 (e.g., at a ratio of about 1.5:1). In other embodiments, however, the carrier medium comprises potassium nitrate and sodium nitrate at any molar ratio that is suitable for a desired energy output, thermal fluid, system, and/or other suitable factor. The first 40 and second 45 fluid lines can be made of any suitable materials (e.g., one or more nickel alloys, low-chromium nickel-molybdenum alloys (such as a HASTELLOY-N™ material), metals, cements, ceramics, synthetic materials, composites, nano-metal composites, and/or any other suitable materials) that allow the lines to function as intended. In some embodiments, however, the lines each comprise a low-chromium nickel-molybdenum alloy. In addition to the aforementioned characteristics, the heat exchanger 25 can comprise any other suitable component, including, without limitation, a housing (e.g., a housing comprising one or more of the materials and components similar to those discussed above with respect to the reactor 20), one or more drains (e.g., drains comprising one or more of the materials and characteristics similar to those discussed above with respect to the drain 95), one or more baffles and/or supports, mixers (e.g., as discussed above with respect to the heater 15), pumps, seals (e.g., as discussed above with respect to the reactor), and/or other suitable components. By way of non-limiting illustration, FIGS. 8A-8E show some embodiments in which the heat exchanger 25 comprises one or more supports 255 with openings 260, drain 256, housings 265, and seals 270. With reference now to the steam generator 30, in some optional embodiments, once the fuel (which has been brought to a critical state by passing through the reactor core 70) heats the heat transfer medium in the second fluid line 45 of the heat exchanger 25, the heated heat transfer medium is circulated (e.g., via one or more pumps or otherwise) in the second line from the heat exchanger to the steam generator (and/or any other suitable device that is capable of using heat from the reactor 20 to expand a media such as air, gas, water, etc.), and then back to the heat exchanger. In some such embodiments, the second line (and/or an object heated thereby) is brought into contact and/or close proximity with water (and/or another suitable medium), such that heat from the heat transfer medium in the second line is able to convert the water to steam, which can then be used to turn a turbine connected to an electric generator 35 (which may include any suitable turbine and/or generator). In addition to the aforementioned components, the steam generator 30 can comprise any other suitable component that allows it to function as intended. Indeed, in some embodiments, the steam generator comprises a housing (e.g., a housing comprising one or more of the materials and components similar to those discussed above with respect to the reactor 20), one or more drains (e.g., drains comprising one or more of the materials and characteristics similar to those discussed above with respect to the emergency drain 95), one or more baffles and/or supports, mixers (e.g., as discussed above with respect to the heater 15), pumps, seals (e.g., as discussed above with respect to the reactor), water inlets, steam outlets, and/or other suitable components. By way of non-limiting illustration, FIGS. 9A-9E show some embodiments in which the steam generator 30 comprises one or more supports 280 with openings 285, drain 290, housings 295, seals 300, water inlets 305, and steam outlets 310. The various portions of the described system 10 can be made in any suitable manner. In this regard, some non-limiting examples of methods for making the described reactor core 70 include boring, machining, etching, cutting, drilling, grinding, shaping, plaining, molding, extruding, sanding, lathing, smoothing, buffing, polishing, and/or otherwise forming various pieces of graphite (and/or another suitable material) to form one or more pieces of the reactor core (e.g., the reactor core tube 120, end caps 140 and 145, fuel pins 160, fuel wedges 180, diffusers 205, reflectors 75, alignment pins (as discussed below), and/or any other suitable parts). Furthermore, the other portions of the described system can be formed in any suitable manner, including, without limitation, via cutting; bending; tapping; dying; sanding; plaining; shaping; molding; extruding; drilling; grinding; buffing; polishing; connecting various pieces with one or more adhesives, mechanical fasteners (e.g., nails, clamps, rivets, staples, clips, pegs, crimps, pins, brads, threads, brackets, etc.), welds, and/or by melting pieces together; and/or any other suitable method that allows the described system to perform its intended functions. The described system 10 and its various components can also be used in any suitable manner. Indeed, as previously described, in some embodiments a molten salt fuel source is added to the reactor core 70 where it is allowed to go and/or remain at a critical state before flowing into the heat exchanger 25 and then being recycled into the reactor core. In some embodiments, however, the described system comprises one or more sensors and/or other indicators that allow a user and/or computer system to monitor and/or control (e.g., automatically and/or manually) the reactor. Indeed, in some embodiments, the described system is configured to automatically and/or manually (e.g., based on sensor readings, programming, environmental conditions, emergency conditions, satellite control, and/or any other suitable factor) vary and/or stop the flow of the fuel through the reactor 20. Indeed, in some embodiments, the described system 10 is configured to automatically regulate the flow of fuel through the reactor 20 to optimize the reactor for desired operating parameters. In some other embodiments, the described system comprises one or more automatic and/or manual shutoffs that allow fuel to stop its flow through the reactor and/or to be drained from the reactor. In addition to the aforementioned features, the described system 10 can be modified in any suitable manner that allows the system to generate heat and/or electricity. In one example, the various components of the described system can be coupled together in any suitable manner (e.g., via the first fluid line 40, the second fluid line 45, one or more connectors, ball valves, valves, and/or in any other suitable manner). By way of non-limiting illustration, FIG. 1B shows an embodiment in which the reactor 20 is coupled to the heat generator 25, which (in turn) is coupled to the steam generator, via one or more connection points 315 (e.g., lugs, recesses, mechanical fasteners, hammer pin rocks, catches, etc.) and connectors 320 (e.g., brackets, catches, braces, couplers, ball connections, joints, etc.). In another example, one or more components of the described system 10 are coupled to a common object. In this regard, some examples of such objects include, but are not limited to, a trailer (e.g., for a truck), a skid, a platform, a pallet, a train car, a vehicle (e.g., a train, car, truck, tractor, boat, ship, submarine, submergible, airplane, hovercraft, trolley, tank, motorcycle, bus, transports, heavy machinery, machinery, motor home, van, helicopter, military vehicle, space shuttle, drone, UAV, etc.); and/or any other suitable object. In another example, some embodiments of the reactor core 70 comprise one or more fuel pins 160 having rounded ends with one or more fuel channels 155 running between the two ends. Indeed, in some embodiments, each such fuel pin comprises a single internal fuel channel. In each of the aforementioned embodiments, the pins can have any suitable characteristics that allows the reactor core to bring the fuel to (or to maintain the fuel at) a critical state. Indeed, in some embodiments, the rounded ends comprise one or more threads or other connection mechanisms configured to attach the rounded ends to the pin. The rounded ends of the pins 160 can further comprise any suitable number of holes, of any suitable size, that are configured to direct fuel into (and/or out of) the fuel channel(s) running in the pin. Indeed, in some embodiments, each of the rounded ends comprises 1, 2, 3, 4, 5, or more openings. Moreover, while the openings in the rounded ends of the pin can extend in any suitable manner, in some embodiments, the openings are disposed at an angle that directs fuel from the openings to (and/or from) the fuel channel in the pin. Furthermore, in some embodiments, a cross-sectional area of all of the openings in a rounded end of a pin are between about 80% and about 120% (or any subrange thereof) of a cross-sectional area of the fuel channel 155 in the pin. In one non-limiting illustration, FIG. 9F shows an embodiment in which a fuel pin 160 comprises two rounded ends 161 defining at least one opening 162, with a fuel channel 155 running through the pin. Again, while the rounded ends 161 can be coupled to the pin 160 in any suitable manner, FIG. 9F shows that in some embodiments, the end 161 is threadingly coupled to the pin 160. In another example, instead of being configured to generate steam, which is then used to generate heat, in some embodiments, the heat exchanger 25 and/or the second fluid line 45 are configured to heat and expand air. In turn, such expanded air can be used to turn a turbine (or otherwise actuate another suitable device) and generate electricity. In yet another example, instead of generating steam, the heat exchanger 25 and/or the second fluid line 45 are used to heat any other suitable object and/or medium. Indeed, in some embodiments, the heat exchanger and/or second fluid line are used to heat: a body of water (e.g., for distillation, desalination, evaporation, aquaculture, and/or any other suitable purpose), a building, a stadium, a neighborhood, an area, air, a complex, an underground reservoir containing fossil fuels, a heat transfer fluid, tar sands, oil shale, a biofuel waste water treatment plant, and/or any other suitable object and/or material. In still another example, instead of having the heat exchanger 25 and the steam generator 30 comprise two discrete components that are disposed next to each other, in some embodiments, one is contained (at least partially) within the other. Indeed, in some embodiments, at least a portion of the heat exchanger is disposed within the steam generator. In another example of a manner in which the described system 10 can be modified, in some embodiments, the rate at which fuel is passed through the reactor core 70 is controlled by a computer processor (e.g., as discussed below in the Representative Operating Environment system). Accordingly, in some embodiments, a computer (e.g., a special-purpose computer that is configured to regulate the reactor and/or a general purpose computer configured to perform the same function) is configured to increase the flow of fuel through the reactor core when more energy is needed (e.g., during peak hours of electrical consumption), to slow the flow of fuel through the reactor core when less energy is needed (e.g., during off-peak hours), and/or to shut down the reactor 20 when desired (e.g., in case of an emergency, maintenance, etc.). In yet another example, some embodiments of the described reactor 20 comprise one or more bearings and/or low friction surfaces that help allow for the reactor core 70 to rotate with respect to one or more reflectors 75. In another example of a modification, in some embodiments, one or more corners, edges, interfaces, and/or other boundaries of any suitable component of the described system 10 (e.g., the reactor core 70) are rounded, arched, chamfered, and/or otherwise shaped to remove stress risers and to reduce the likelihood of crack formation. In another example of a modification, in some embodiments, the reactor core 70 is non-rotatably fixed within the reactor 20. Thus, in some such embodiments, the flow rate of the fuel through the reactor is controlled via one or more pumps and/or valves, via changing an orientation of the reactor, and/or in any other suitable manner (besides by rotating the reactor core, as described earlier). In even another example of a suitable modification, some embodiments of the described system 10 comprise one or more condensers that are configured to recycle some or all of the steam produced by the steam generator 30. In still another example of a suitable modification, some embodiments of the described system 10 are configured to extract one or more materials (e.g., chemicals, composition, mixtures, gases, and/or other desired materials) from the fuel as it cycles through the system. Indeed, in some embodiments (as illustrated by FIG. 10) the described system 10 comprises a processing center 325 that is configured to remove isotopes (e.g., medical grade isotopes) and/or other materials that are generated as the fuel is cycled. In another example, the described system 10 can comprise any other suitable component, including, without limitation, a secondary containment structure; a tertiary containment structure; a radiator configured to dissipate heat from the reactor core and/or fuel; one or more dump tanks configured to receive the fuel and/or heat transfer medium; one or more additional reactors 20 used in parallel, series, and/or any other suitable manner with the first reactor core 70; one or more emergency programs that are configured to automatically slow and/or stop the flow of fuel through the reactor core; one or more other components and/or programs that are configured to shut in and/or to dump the fuel from the reactor core 70; and/or any other suitable component. In another example of a suitable modification, some embodiments of the described system 10 comprise one or more holding devices, such as the vessel 98 shown in FIG. 1A and/or the heater 15, that are configured to store fuel prior to its introduction into the reactor core 70. Accordingly, in some embodiments, after the fuel has passed through the heat exchanger 25 and/or the heater 15, the fuel is optionally pumped relatively rapidly to the holding device. Where the system 10 comprises a holding device (e.g., vessel 98), the holding device can comprise any suitable characteristic that allows it to hold the fuel prior to introduction into the reactor core. Indeed, in some embodiments, the holding device comprises one or more reflectors 75, a tank that is configured to hold the fuel, one or more heaters (e.g., as discussed above with respect to the heater 15) to keep the fuel at or above a desired temperature, insulation, shielding, and/or any other suitable component or characteristic that allows the holding device to function as described herein. In yet another example of a suitable modification, one or more components of the described system 10 are optionally coated with graphite, which may include, but is not limited to, graphite foam, graphite sheets, graphite plates, and/or any other suitable form of graphite that can be applied to such components. Indeed, in some embodiments, the container 100, one or more fluid lines 40, the heat exchanger 25, and/or any other suitable component of the system is coated and/or lined with graphite foam. In such embodiments, the graphite foam can have any suitable characteristic. For instance, the graphite foam can be any suitable thickness, including, without limitation, one or more layers of foam having a thickness between about 0.1 cm and about 60 cm or in any subrange thereof (e.g., between about 6 cm and about 18 cm thick). In even another example, some embodiments of the reactor 20 are disposed on a supporting surface, such as a foundation, a scaffold, a trailer, a train track, a boom, a pivoting table, floating river and ocean barges, and/or any other surface that is configured to support the reactor core 70 and/or the other components of the reactor. While such a support is configured to hold the reactor, in some embodiments, the support further strengthens the reactor to reduce deformation of the core under high temperatures, inclement weather, earthquakes and/or other acts of nature, due to gravity, and/or similar forces. In still another example of a suitable modification, as the reactor core tube 120 (and hence the reactor 20 and reactor core 70) can be any suitable length (as discussed earlier), in some embodiments, at least one component of the reactor comprise multiple pieces (or sections of the component) that are coupled together to form the component (e.g., a relatively long component and/or a portion of the component). Indeed, in some embodiments, one or more fuel wedges 180 in the reactor comprise two or more wedge sections that are placed end to end (or side to side, face to face, and/or are otherwise configured) to form a single wedge. Similarly, in some embodiments, the reflectors 75, the reactor core tube, the container 100, the cover 105, and/or any other suitable portion of the reactor respectively comprises two or more reflector sections, reactor core tube sections, container sections, cover sections, and/or other sections that are attached end to end (or side to side, face to face, and/or in any other suitable manner). Where one or more components of the reactor 20 comprise multiple sections that couple together to form a complete component (or at least a larger portion of the component), such components can provide some embodiments of the reactor core with one or more desirable characteristics. Indeed, in some embodiments, it is easier and/or less expensive to form a relatively long component of the reactor (e.g., a fuel wedge 180) out of shorter sections that couple together to form the full component than it is to simply form the full component as a monolithic object. For instance, in some embodiments, it is easier to form fuel channels 155 (e.g., with desired paths through the wedges, with desired spacing between channels, with inlets that correspond spatially with the corresponding outlets, and/or having any other suitable characteristic) through multiple short sections than it is to form such channels through a single long fuel wedge. As an additional benefit, in some embodiments in which a component of the reactor comprises multiple sections and one section becomes damaged, it can be easier and/or less expensive to replace that section than it would be to replace the entire component (e.g., if the component were formed as a single piece). Where one or more components of the reactor 20 comprise multiple sections that couple together (e.g., end to end and/or otherwise) to form a full-length (and/or full-sized) component (and/or portion of the component), the sections of the various components (e.g., the fuel wedge 180, the reactor core tube 120, the reflectors 75, and/or any other suitable component) can be virtually any suitable length that allows the reactor to function. In this regard, while component length will, in some embodiments, vary depending on reactor size, reactor configuration, and/or any other desired characteristic of the reactor, in some embodiments, a section of a fuel wedge (and/or any other suitable component of the reactor, including, without limitation, the reactor core tube, the reflectors, the container 100, etc.) is between about 1 cm and about 20 m in length, or any length that falls therein. Indeed, in some embodiments, a section of the fuel wedge is between about 0.3 m and about 3 m (e.g., between about 0.6 m and about 1.9 m). Thus, by way of non-limiting illustration, where an embodiment of a full-length fuel wedge is about 7 m, and each section is about 1 m long, the full-length wedge comprises seven sections coupled end to end. Again, however, lengths of various component sections may be longer or shorter than those described herein, based on a variety of factors, including, without limitation, manufacturing techniques, use conditions, and factors discussed above. Where one or more components of the reactor 20 comprises multiple sections that couple together end to end (or in any other suitable manner) to form a full-length component (and/or a larger portion of the component), the various sections can be coupled together in any suitable manner that allows the reactor to function. Indeed, in some embodiments, multiple component sections (e.g., multiple fuel wedge 180 sections and/or sections of any other suitable component) couple together to form a component (e.g., a fuel wedge) via one or more alignment pins that extend between two or more sections; one or more processes that extend from one section into corresponding recesses in another section; dovetail couplings; mechanical engagements; by welding the various sections together; via one or more cramps and/or other pieces of material that are configured to span a joint between two sections and to fit into a keyed recess in each section to retain the sections together; by one or more mechanical and/or frictional coupling mechanisms; by one or more threaded engagements; by one or more clamps; by one or more mating engagements; by one or more fasteners; by applying pressure to one or more sections with one or more components of the reactor (e.g., by having the end caps 140 and 145 force wedge sections together; by having the reflectors 75 force sections of the reactor core tube 120 together, etc.); and/or in any other suitable manner. In some embodiments, however, one or more sections of a component (e.g., a fuel wedge) of the reactor are coupled together via one or more alignment pins. By way of non-limiting illustration, FIG. 11A shows an embodiment in which a first 400 and a second 405 sections of a fuel wedge 180 are coupled together via one or more alignment pins 410 that extend between the two sections. Where multiple sections of a component of the reactor 20 (e.g., multiple wedge 180 sections, such as 400 and 405 and/or any other suitable components of the reactor) are coupled together via one or more alignment pins, the various sections can be coupled together with any suitable number of alignment pins, including, without limitation, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, or more. By way of non-limiting illustration, FIGS. 11B-11C show some embodiments in which the first 400 and second 405 sections of the fuel wedge 180 are configured to couple together via two alignment pins 410 that extend into recesses 415 in each section. Thus, in some such embodiments in which the reactor 20 comprises four full-length wedges where each full-length wedge comprises two sections joined together, eight alignment pins (e.g., two between each of the two corresponding sections) are used to couple the eight sections together to form the four full-length wedges. Where the reactor 20 comprises a component (e.g., a fuel wedge 180 and/or any other suitable component) that comprises two or more sections (e.g., sections 400 and 405) that are coupled together by one or more alignment pins 410, the alignment pins can be disposed in any suitable location that allows the reactor to function. Indeed, in some embodiments in which the fuel wedge is formed by coupling two or more wedge sections together, one or more pins are disposed in and extend from an end face (e.g., end face 417); are disposed in and extend from a side interface (e.g., side interface 418 and/or 419); are disposed in an end face towards a medial (e.g., portion 420), lateral (e.g., lateral portion 425), and/or interface 418 and/or 419 portion of the wedge; and/or are disposed in an any other suitable location. By way of non-limiting illustration, FIG. 11B shows an embodiment in which the alignment pins 410 and recesses 415 are disposed in an end face 418 and near a lateral edge 425 of the wedge section 400. The alignment pins 410 can have any suitable characteristic that allows them to couple two or more sections of a component (e.g., a fuel wedge 180, a reflector 75, and/or any other suitable component) together and/or to maintain such sections in proper alignment with each other (e.g., such that a first fuel channel 156 of first section 400 of a fuel wedge properly aligns with a corresponding second fuel channel 157 of a second corresponding section 405 of the fuel wedge, as (for example) shown in FIG. 11A). Indeed, the alignment pins can be any suitable shape, including, without limitation, being substantially cylindrical, prism-shaped, slat shaped, corkscrew shaped, bar-shaped, graphite bars, tubular, and/or any other suitable shape that allows them to join and/or align two sections of a reactor component. By way of non-limiting illustration, FIGS. 11A-11C show some embodiments in which the alignment pins 410 are substantially cylindrical in shape. The alignment pins 410 can be any suitable size that allows them to function as described herein. In this regard, the alignment pins' size can vary based on the size of the reactor 20, the size of the reactor component sections being joined by the alignment pins, and/or any other suitable characteristic of the reactor. In some embodiments, however, the alignment pins have a length that is between about 1.2 cm and about 1.8 m, or in any subrange thereof (e.g., between about 10 and about 45 cm). Indeed, in some embodiments, the alignment pins have a length that is between about 15 cm and about 35 cm (e.g., about 30 cm±2 cm). While the alignment pins 410 can have any suitable width or diameter that allows them to function as described herein, in some embodiments, the alignment pins have a width or diameter that is between about 0.5 cm and about 35 cm, or in any subrange thereof. Indeed, in some embodiments, the alignment pins have a diameter/width that is between about 2.5 cm and about 13 cm (e.g., between about 5 cm and about 10.2 cm). For instance, some embodiments of the alignment pins have a diameter between about 6.3 cm and about 8.9 cm. The alignment pins 410 can comprise any suitable material that allows them to function as described herein while allowing the reactor 20 to function. Some examples of suitable materials include, but are not limited to, one or more types of graphite, ceramic material, metal, metal alloy (e.g., one or more nickel alloys, low-chromium nickel-molybdenum alloys (such as HASTELLOY-N™)), cement, stone, synthetic material, and/or any other suitable material. In some embodiments, however (and as discussed above), the alignment pins comprise graphite. In some embodiments in which one or more components of the reactor 20 comprise multiple sections that couple together to form a larger component, such sections are configured to be at least partially sealed together. In this regard, the various sections can be sealed together in any suitable manner that provides at least a partial fuel seal between the sections and that allows the reactor to function. Some non-limiting examples of suitable seals comprise one or more positive seals, carbon seals, carbon rope seals, rubber seals, lip seals, mating seals, compression seals, nano-composites, composites, and/or other suitable sealing mechanisms. In some embodiments, however, the seal comprises a positive seal in which one section of a component (e.g., a first section of a fuel wedge 180 and/or any other section of any suitable component) comprises one or more processes that extend from the section and that are configured to extend into one or more corresponding grooves, slots, and/or other recesses in a corresponding component section. By way of non-limiting illustration, FIGS. 11A-11C show some embodiments in which the first section 400 of the fuel wedge 180 defines a seal recess 435 that is configured to receive a seal projection 440 (e.g., comprising graphite and/or any other suitable material) that extends from the second section 405 to form a seal between the first and second sections (e.g., to prevent fuel from escaping, and/or reduce the amount of fuel that escapes, from the wedge). Although FIGS. 11A-11C show some embodiments in which a seal 445 that comprises a semicircular recess 446 receives a semicircular ridge 447, the various portions of the seal can have any suitable shape that allows the seal to prevent at least some fuel from leaking past the seal. In this regard, some non-limiting examples of seal shapes include one or more triangular recesses that receive one or more triangular ridges, one or more square-shaped recesses that are configured to receive one or more square-shaped ridges, and/or any other suitable shape. By way of non-limiting illustration, FIG. 11D shows an embodiment in which the seal 445 comprises a mortise shaped recess 450 and a tenon shaped ridge 455; FIG. 11E shows an embodiment in which the seal 445 comprises two mating S-curve surfaces 460; and FIG. 11F shows an embodiment in which the seal 445 comprises multiple mating notches 465. Where one or more seals 445 are disposed between two or more sections of a component of the reactor 20 (e.g., between wedge sections and/or sections of any other suitable component), the seals can be disposed in any suitable location. Indeed, in some embodiments and as shown in FIGS. 11A-11G, the seal 445 is disposed at an end face of a reactor component, namely at an end face 417 of a wedge section (400 or 405). Accordingly, in some embodiments in which two wedge sections are abutted face to face, fuel is able to follow directly from a fuel channel in one section into a corresponding fuel channel in a second section without leaking out of the wedge 180 and/or becoming disposed between the wedge and the interior surface 170 of the reactor core tube 120. In addition to or in place of having one or more seals 445 at an end face (e.g., wedge face 417), in some embodiments, one or more seals are disposed between and along sides of reactor components of the reactor. By way of non-limiting illustration, FIG. 11I shows an embodiment which the seal 445 is disposed between side interfaces 418 and 419 of the wedges 180. Regardless of where the seal 445 located, the seal can extend around any suitable portion of the rector 20 components. In this regard, FIG. 11G shows an embodiment in which the seal 445 extends around an outer perimeter 470 of an end face 417 of a wedge section 400. In contrast, FIG. 11H shows an embodiment in which the seal 445 extends around an entire perimeter of each wedge 180. Where one or more components of the reactor 20 comprise multiple sections that are sealing coupled together, any suitable portion of such components and any suitable combination of the reactor's components can be comprise multiple sections that are sealing coupled together. Indeed, FIG. 11J shows that, in some embodiments, the reactor core tube 120 comprises 2, 3, 4, or more sections (e.g., sections 121, 122, 123, 124, etc.) that are connected at seams 126 and that house one or more wedges 180 (shown in FIG. 11J to be divided by horizontal line 404). In some such embodiments, while each wedge can comprise a single monolithic object, FIG. 11J shows an embodiment in which each of the wedges 180 comprise multiple wedge sections (e.g., sections 400, 405, 406, 407, 408, 409, etc.) that are coupled together at seams 411. Additionally, FIG. 11J shows an embodiment in which the reflectors (e.g., the first 230 and second 235 reflector) comprise multiple sections (e.g., sections 231-234 and 236-239) that meet at seams 241. While the seams for the various components (e.g., the wedges, the reactor tube, the reflectors, etc.) can be aligned with each other, FIG. 11J shows that, in some embodiments, one or more of the seams (e.g., 126, 241, and 411) of the various components are offset from each other to prevent undesired leakage in the reactor 20. In accordance with some embodiments, FIG. 11K shows that while the wedges 180 each comprise multiple sections (e.g., sections 400, 405, 406, and 407), the reactor core tube 120 comprises a monolithic object that houses the wedges 180. Indeed, in some cases, it is easier to make a full-length, monolithic reactor tube defining a single internal space than it may be to make a full-length wedge defining a plurality of fuel channels 155 that extend from a first to a second end of the wedge. Accordingly, by using a monolithic reactor tube with wedges comprising multiple sections, in some embodiments, the reactor can be relatively inexpensive to manufacture. Additionally, in some such embodiments, by having some components of the reactor be made up of smaller sections, the reactor can be larger that it could otherwise be. Indeed, in some embodiments, the reactor can be relatively long (as discussed above), giving it (e.g., the reactor core tube) the appearance of a pipeline and the ability to produce a relatively large amount of heat and/or electricity. In addition to the aforementioned features, the described system 10 can comprise any other suitable feature. Indeed, some embodiments of the described reactor core 70 are configured to be used in any orientation, including, without limitation, in a horizontal, vertical, diagonal, and/or variable orientation. Indeed, unlike some reactors, some embodiments of the described reactor core are configured to be used in a horizontal orientation (e.g., as shown in FIG. 1C). In some embodiments, the reactor 20 is configured to function when the reactor (e.g., the reactor core tube 120) is at an angle. Indeed, in some embodiments, by having the reactor tube slope down from the fluid inlet 80 to the fluid outlet 85, gravity helps to pull fuel through the fuel channels 155, which can be especially helpful when the fuel channels have a relatively small inner diameter and/or are relatively long. In contrast, by angling the reactor core to slope up from the fluid inlet to the fluid outlet, the core can use gravity to slow the flow of the fuel through the reactor and/or to increase the dwell time of the fuel within the core. Where the reactor core tube 120 is disposed at an angle, the tube can be disposed at any suitable angle. Indeed, in some embodiments, the reactor core is disposed at an incline or decline between about 0 degrees and about 90 degrees (or any subrange thereof) with respect to a horizontal plane (e.g., a floor or other horizontal supporting surface). Indeed, in some embodiments, the reactor tube is disposed at an angle θ (e.g., sloping from the tube's first end 125 to its second end 130) between about 0 degrees and about 45 degrees (e.g., between about 8 degrees and about 15 degrees). In some embodiments, the reactor 20 is configured to function as its orientation is changed (e.g., from vertical orientation, to diagonal orientation, and/or to a vertical orientation). Accordingly, some embodiments of the described reactor core are well suited for submarines, aircraft, and/other moving objects which may slightly or significantly vary the orientation of the reactor core. Additionally, in some embodiments, the reactor 20 is coupled to a device that is configured to change an angle of the reactor to vary a flow rate of fuel through the reactor. In this regard, the angle of the reactor can be changed in any suitable manner, including, without limitation, by being automatically done by a computer (e.g., based on a feedback loop, programming, user commands, and/or any other suitable factor), manually, via any suitable machinery (e.g., one or more hydraulic lifts, motorized lifts, servos, cranes, jacks, pivoting platforms, and/or other suitable machinery), and/or in any other suitable manner. As another example of a feature of the described system 10, some embodiments of the system are configured to drain out some or all of the fuel in the reactor core 70 to shut down the reactor 20. Indeed, in some embodiments, the system is configured to allow a significant portion of the fuel to be drained from the reactor core (e.g., via the fuel outlet 85) such that the remaining fuel in the reactor cools down and solidifies. In some such embodiments, the reactor can be restarted by cracking the fuel (e.g., via the heater 15), introducing the cracked fuel into the reactor, and then recirculating the cracked fuel until the solidified fuel in the core is heated and brought to a critical state. As still another example, unlike some nuclear power plants that require a relatively large amount of real estate, some embodiments of the described system 10 have a relatively small footprint. Indeed, as discussed above, some embodiments of the described system can fit on a trailer, a train car, and/or in a variety of other locations that are relatively small. In yet another example, unlike some nuclear reactors that require the reactor core to be shielded by thick magnetic cement, the reflectors 75 and the container 100 of some embodiments of the described reactor 20 control gamma radiation and neutronic escape sufficiently that additional cement shielding is unnecessary. In still another example, some embodiments of the described system 10 are configured to actually use or “burn” nuclear waste from other nuclear reactors. As a result, in some embodiments, the described systems are quite beneficial for the environment and relatively inexpensive to operate. In still another example of a feature of the described system 10, in some embodiments, as the various components of the fuel are mixed, such components become polluted from their pure state—thus making them relatively undesirable to terrorists or others who may seek to create weapons from such materials. In still another example, some embodiments of the described system 10 are configured to produce relatively small amounts of plutonium in comparison to other nuclear power plants. In even another example, some embodiments of the described reactor core 20 are configured to function in zero gravity—making such embodiments useful in space. In yet other examples of features associated with the described system, the reactor 20, in some embodiments of the described system, is configured to be air cooled, and to thus require rather small amounts of water when compared with some conventional nuclear power reactors. In even another example of a feature, some embodiments of the described system 10 comprise a reactor core 70 that has an internal space 135 that is relatively full with internal moderators. In this regard, some such embodiments leave relatively little room for gas (e.g., hydrogen, and/or other gases) to build-up in the reactor core 70. As a result, in some embodiments, some gases are prevented from forming and/or some gases are readily purged from the reactor core, thus reducing the chances of unwanted chemical reactions and/or explosions. In yet another example, some embodiments of the described system are readily made mobile, thus making them ideal for power and/or heat generation in locations with relatively little infrastructure (e.g., at oil drilling sites, offshore oil drilling platforms, off-planet locations, the theater of war, water desalination at a body of water, oil spill cleanup, on the moon and/or mars, etc.). As mentioned, some embodiments of the described system 10 are configured to be operated (at least in part) by one or more special-purpose computers (e.g., computers configured to control the reactor core 70) and/or general purpose computers. Indeed, the described systems and methods can be used with or in any suitable operating environment and/or software. In this regard, FIG. 12 and the corresponding discussion are intended to provide a general description of a suitable operating environment in accordance with some embodiments of the described systems and methods. As will be further discussed below, some embodiments embrace the use of one or more processing (including, without limitation, micro-processing) units in a variety of customizable enterprise configurations, including in a networked configuration, which may also include any suitable cloud-based service, such as a platform as a service or software as a service. Some embodiments of the described systems and methods embrace one or more computer readable media, wherein each medium may be configured to include or includes thereon data or computer executable instructions for manipulating data. The computer executable instructions include data structures, objects, programs, routines, or other program modules that may be accessed by one or more processors, such as one associated with a general-purpose processing unit capable of performing various different functions or one associated with a special-purpose processing unit capable of performing a limited number of functions. Computer executable instructions cause the one or more processors of the enterprise to perform a particular function or group of functions and are examples of program code means for implementing steps for methods of processing. Furthermore, a particular sequence of the executable instructions provides an example of corresponding acts that may be used to implement such steps. Examples of computer readable media (including non-transitory computer readable media) include random-access memory (“RAM”), read-only memory (“ROM”), programmable read-only memory (“PROM”), erasable programmable read-only memory (“EPROM”), electrically erasable programmable read-only memory (“EEPROM”), compact disk read-only memory (“CD-ROM”), or any other device or component that is capable of providing data or executable instructions that may be accessed by a processing unit. With reference to FIG. 12, a representative system includes computer device 500 (e.g., a monitoring system or other unit), which may be a general-purpose or (in accordance with some presently preferred embodiments) special-purpose computer. For example, computer device 500 may be a personal computer, a notebook computer, a PDA or other hand-held device, a workstation, a digital pen, a minicomputer, a mainframe, a supercomputer, a multi-processor system, a network computer, a processor-based consumer device, a cellular phone, a tablet computer, a smart phone, a feature phone, a smart appliance or device, a control system, or the like. Computer device 500 includes system bus 505, which may be configured to connect various components thereof and enables data to be exchanged between two or more components. System bus 505 may include one of a variety of bus structures including a memory bus or memory controller, a peripheral bus, or a local bus that uses any of a variety of bus architectures. Typical components connected by system bus 505 include processing system 510 and memory 520. Other components may include one or more mass storage device interfaces 530, input interfaces 540, output interfaces 550, and/or network interfaces 560, each of which will be discussed below. Processing system 510 includes one or more processors, such as a central processor and optionally one or more other processors designed to perform a particular function or task. It is typically processing system 510 that executes the instructions provided on computer readable media, such as on the memory 520, a magnetic hard disk, a removable magnetic disk, a magnetic cassette, an optical disk, or from a communication connection, which may also be viewed as a computer readable medium. Memory 520 includes one or more computer readable media (including, without limitation, non-transitory computer readable media) that may be configured to include or includes thereon data or instructions for manipulating data, and may be accessed by processing system 510 through system bus 505. Memory 520 may include, for example, ROM 522, used to permanently store information, and/or RAM 524, used to temporarily store information. ROM 522 may include a basic input/output system (“BIOS”) having one or more routines that are used to establish communication, such as during start-up of computer device 500. RAM 524 may include one or more program modules, such as one or more operating systems, application programs, and/or program data. One or more mass storage device interfaces 530 may be used to connect one or more mass storage devices 532 to the system bus 505. The mass storage devices 532 may be incorporated into or may be peripheral to the computer device 500 and allow the computer device 500 to retain large amounts of data. Optionally, one or more of the mass storage devices 532 may be removable from computer device 500. Examples of mass storage devices include hard disk drives, magnetic disk drives, tape drives, solid state mass storage, and optical disk drives. Examples of solid state mass storage include flash cards and memory sticks. A mass storage device 532 may read from and/or write to a magnetic hard disk, a removable magnetic disk, a magnetic cassette, an optical disk, or another computer readable medium. Mass storage devices 532 and their corresponding computer readable media provide nonvolatile storage of data and/or executable instructions that may include one or more program modules, such as an operating system, one or more application programs, other program modules, or program data. Such executable instructions are examples of program code means for implementing steps for methods disclosed herein. One or more input interfaces 540 may be employed to enable a user to enter data (e.g., initial information) and/or instructions to computer device 500 through one or more corresponding input devices 542. Examples of such input devices include a keyboard and/or alternate input devices, such as a digital camera, a sensor, bar code scanner, debit/credit card reader, signature and/or writing capture device, pin pad, touch screen, mouse, trackball, light pen, stylus, or other pointing device, a microphone, a joystick, a game pad, a scanner, a camcorder, and/or other input devices. Similarly, examples of input interfaces 540 that may be used to connect the input devices 542 to the system bus 505 include a serial port, a parallel port, a game port, a universal serial bus (“USB”), a firewire (IEEE 1394), a wireless receiver, a video adapter, an audio adapter, a parallel port, a wireless transmitter including, without limitation, interface satellite feeds, and/or any other suitable interface. One or more output interfaces 550 may be employed to connect one or more corresponding output devices 552 to system bus 505. Examples of output devices include a monitor or display screen, a speaker, a wireless transmitter, a printer, and the like. A particular output device 552 may be integrated with or peripheral to computer device 500. Examples of output interfaces include a video adapter, an audio adapter, a parallel port, and the like. One or more network interfaces 560 enable computer device 500 to exchange information with one or more local or remote computer devices, illustrated as computer devices 562, via a network 564 that may include one or more hardwired and/or wireless links. Examples of the network interfaces include a network adapter for connection to a local area network (“LAN”) or a modem, a wireless link, or another adapter for connection to a wide area network (“WAN”), such as the Internet. The network interface 560 may be incorporated with or be peripheral to computer device 500. In a networked system, accessible program modules or portions thereof may be stored in a remote memory storage device. Furthermore, in a networked system computer device 500 may participate in a distributed computing environment, where functions or tasks are performed by a plurality networked computer devices. While those skilled in the art will appreciate that the described systems and methods may be practiced in networked computing environments with many types of computer system configurations, FIG. 13 represents an embodiment of a portion of the described systems in a networked environment that includes clients (565, 570, 575, etc.) connected to a server 585 via a network 560. While FIG. 13 illustrates an embodiment that includes 3 clients connected to the network, alternative embodiments include at least one client connected to a network or many clients connected to a network. Moreover, embodiments in accordance with the described systems and methods also include a multitude of clients throughout the world connected to a network, where the network is a wide area network, such as the Internet. Accordingly, in some embodiments, the described systems and methods can allow for remote monitoring, observation, adjusting, and other controlling of one or more of the described systems 10 from many places throughout the world. Thus, as discussed herein, embodiments of the present invention embrace molten salt reactors. More particularly, some embodiments of the described invention relate to systems and methods for providing and using molten salt reactors. While the described systems can include any suitable component, in some embodiments, they include a graphite reactor core defining an internal space that houses one or more fuel wedges, where each wedge defines one or more fuel channels that extend from a first end to a second end of the wedge. In some embodiments, one or more of the fuel wedges comprise multiple wedge sections that are coupled together end to end and/or in any other suitable manner. In some cases, one or more alignment pins also extend between two sections of a fuel wedge to align the sections. In some cases, one or more seals are also disposed between two sections of a fuel wedge. Thus, in some cases, the reactor core can be relatively long. Additionally, in some embodiments, one or more sections of the wedges and/or parts of other reactor components are configured to be replaced relatively easily. The present invention may be embodied in other specific forms without departing from its spirit or essential characteristics. The described embodiments, examples, and illustrations are to be considered in all respects only as illustrative and not restrictive. The scope of the invention is, therefore, indicated by the appended claims rather than by the foregoing description. All changes that come within the meaning and range of equivalency of the claims are to be embraced within their scope. In addition, as the terms on, disposed on, attached to, connected to, coupled to, etc. are used herein, one object (e.g., a material, element, structure, member, etc.) can be on, disposed on, attached to, connected to, or coupled to another object—regardless of whether the one object is directly on, attached, connected, or coupled to the other object, or whether there are one or more intervening objects between the one object and the other object. Also, directions (e.g., front back, on top of, below, above, top, bottom, side, up, down, under, over, upper, lower, lateral, etc.), if provided, are relative and provided solely by way of example and for ease of illustration and discussion and not by way of limitation. Where reference is made to a list of elements (e.g., elements a, b, c), such reference is intended to include any one of the listed elements by itself, any combination of less than all of the listed elements, and/or a combination of all of the listed elements. Furthermore, as used herein, the terms a, an, and one may each be interchangeable with the terms at least one and one or more. |
|
abstract | Proposed is a system for closing a drum unit for storing radioactive waste, the system including: a supporting unit configured to be seated on the ground; a drum unit configured to be seated on a top part of the supporting unit and having a plurality of first fastening holes; a moving unit configured to move to a side of the drum unit; a cover unit provided at the inside of the moving unit or at one side of the drum unit and having a plurality of second fastening holes; tightening units configured to be inserted into the associated second fastening holes; a fastening unit configured to grip the cover unit through a gripping part to move the cover unit to the top part of the drum unit simultaneously, thereby closing a gap between the drum unit and the cover unit; and a controller configured to control the fastening unit. |
|
abstract | The present invention relates to a method, computer program and device for determining the crystal structure and/or the range of crystal structures of one or more crystalline tubular molecules from a set of calibration-free properties of a diffraction pattern of the one or more crystalline tubular molecules. |
|
abstract | The present (or current) nuclear shielding is bulky and difficult to handle due to the reduced stopping power of the neutral radiations (X, gamma, n) in materials. It is proven that these radiations are reflecting at grazing incidence angles on special substrates called super-mirrors that contain nano-layers of various materials. The usage of nano-structures in an ordered manner or of nano-tubes may create inside the nano-structure the super-mirror reflection conditions and makes these nano-structures act like wave-guide for this neutral radiation driving it and turning at angles greater than 90 degrees requiring a total thickness a few microns only. The usage of ferro or piezo electric nano-structures generates a “shield” structure that has the wave-guides inside with the path dependent on a control voltage. The resultant device is a kind of shield that can be applied inside the core for nuclear reactor criticality control, making an electric control of the power level by adjusting the shielding transmission or outside for minimizing the nuclear reactor shielding. Other devices such as X, n imaging device, or radiation funneling to increase the efficiency of thin absorbents use are some of the potential applications. |
|
044328940 | claims | 1. A process for the treatment of detergent-containing radioactive liquid waste, said waste comprising sodium sulfate and powdery ion exchange resin, said process comprising: (a) measuring a chemical oxygen demand concentration of a radioactive liquid waste, (b) adding an adsorbent to the waste in accordance with the measured concentration, and (c) concentrating and drying the waste by heating, thereby converting the waste into powder. the adsorbent added to the waste is at a concentration not lower than the measured concentration of the waste. the adsorbent added to the waste is at a concentration 2 to 4 times as high as the measured concentration of the waste. (a) providing detergent containing radioactive liquid waste; (b) concentrating the liquid waste to a known chemical oxidation demand concentration; (c) adding a fixed amount of adsorbent to the liquid waste from (b), the amount of adsorbent added being based on the known chemical oxidation demand concentration of the liquid waste from (b); (d) concentrating and drying the liquid waste from (c) thereby converting the liquid waste into powder. 2. A process according to claim 1, wherein the powder contains 15 wt. % moisture or less and which further comprises a step of shaping the powder into pellets. 3. A process according to claim 1 or 2, wherein 4. A process according to claim 3, wherein 5. A process according to claim 4, wherein powder of at least one member of substance selected from the group consisting of activated carbon, silica gel, molecular sieve and alumina is used as said adsorbent. 6. The process according to claim 4, wherein the adsorbent added to the waste is at a concentration 3 times as high as the measured concentration of the waste. 7. A process according to claim 3, wherein powder of at least one member selected from the group consisting of activated carbon, silica gel, molecular sieve, and alumina is used as the adsorbent. 8. A process for the treatment of detergent containing radioactive liquid waste, said waste comprising sodium sulfate and powdery ion exchange resin, said process comprising: 9. A process according to claims 1, 2 or 8, wherein the powder is formed by feeding the radioactive liquid waste to a thin film evaporator comprising a vessel having inside a rotary shaft provided with wiping blades and having a heating means, and concentrating and drying the liquid waste therein by heating, thereby converting the liquid waste to powder form. 10. A process according to claim 9, wherein the adsorbent added to the waste is at a concentration not lower than the measured concentration of the liquid waste. 11. A process according to claim 10, wherein the adsorbent added to the liquid waste is at a concentration 2 to 4 times as high as the measured concentration of the liquid waste. 12. A process according to claim 11, wherein powder of at least one member selected from the group consisting of activated carbon, silica gel, molecular sieve, and alumina is used as the adsorbent. 13. A process according to claim 10, wherein powder of at least one member selected from the group consisting of activated carbon, silica gel, molecular sieve, and alumina is used as the adsorbent. 14. A process according to claim 8, wherein the powder contained 15 wt. % moisture or less and which further comprises a step of shaping the powder into pellets. 15. A process according to claim 8, wherein the adsorbent added to the liquid waste is at a concentration not lower than the known concentration of the waste. 16. A process according to claim 15, wherein the adsorbent added to the waste is at a concentration 2 to 4 times as high as the known concentration of the waste. 17. The process according to claim 15, wherein the adsorbent added to the waste is at a concentration of 3 times the known concentration of the waste. 18. A process according to claim 8, wherein powder of at least one member selected from the group consisting of activated carbon, silica gel, molecular sieves, and alumina is used as the adsorbent. |
description | This invention was developed under Contract DE-AC04-94AL85000 between Sandia Corporation and the United States Department of Energy. The U.S. Government has certain rights in this invention. The present invention relates generally to methods and materials for sequestering and storage for disposal of temperature sensitive wastes. More specifically, the present invention is directed to sequestering and storage of temperature sensitive wastes from nuclear reactor fuel cycles and nuclear legacy wastes in a dense and durable waste form. Radioactive 129I is one of the longer-lived fission products (1.6×107 years) resulting from the generation of energy from nuclear fuels, and it is also one that is associated with considerable public concern by virtue of the mechanism whereby it may become concentrated in the human body where it can potentially have adverse health effects. Until recently in France, 129I was discharged to the ocean for isotope dilution with the natural iodine in seawater. With the growth of research on advanced fuel cycles in the United States and abroad, there is a strong interest in the separation and waste form development for all radioisotopes that are present in spent nuclear fuel once the components that can be incorporated into new fuel rods have been removed. This includes the initial trapping of gaseous iodine radioisotopes, and their incorporation into waste forms. During spent fuel reprocessing, the gaseous forms of radio-iodine (principally I2, CH3I, HI, and HIO) must be captured in a form that is suitable for long-term storage. Whether wastes are slated for above ground storage, or underground burial, a serious need is that the radionuclides (e.g., 129I) exist in highly insoluble chemical forms that will not be readily dissolved should water gain access to the site. A second major consideration is that the wastes not exist as powders, since an accident during storage or handling could produce a cloud of radioactive dust with the potential for causing widespread contamination. Nuclear fuel reprocessing is a technology that has been under development for more than half a century. During normal reprocessing activities, as the spent fuel is dissolved from the nuclear fuel rods, most of the radio-iodine is liberated and leaves as elemental iodine vapor. An international consensus has developed that incorporating radioisotopes into borosilicate glass waste forms is a convenient and acceptable (though not necessarily optimal) technology. Iodine, however, remains a notable exception, because conventional glass waste forms do not retain the iodine due to the high temperature necessary to melt the glass. At this time, the leading technology for capturing radio-iodine from the reprocessing off-gases is sorption onto a silver-loaded zeolite matrix (where the iodine reacts with silver to form silver iodide, AgI). Recent studies at Sandia indicate that the iodine is sequestered in the form of sub-micron sized silver iodide (AgI) crystals on the internal and external surfaces of zeolite particles. One of our important research findings was that if the silver is loaded to the bulk surface (as opposed to ion exchanged into the zeolite pore), much of the iodine will be trapped on the bulk surface of the zeolite crystals, with only some of it in the channels and pores of zeolite crystal. Because of surface entrapment, mild heating causes easy release of the iodine as iodine gas. Additionally, zeolites are crushable metal oxides, and can easily form powders and dust if not protected from mechanical damage. A different approach to solving this problem is to heat the silver-loaded zeolite matrix at a temperature sufficiently high (500°-700° C.), with or without pressure, to collapse the porous framework and create a densified/sintered ceramic that retains the iodine as AgI. However, the sintering temperature cannot be so high as to cause sublimation of the AgI (˜600° C.), causing subsequent release of gaseous iodine. Unfortunately, in recent tests, commercially available silver-loaded zeolites were sintered, but did not produce the expected sequestering result because too much iodine was released during processing (likely due to the surface entrapment effect). Either as produced by reaction with a Ag-zeolite or through direct reaction with metallic silver powder, AgI is a common host for 129I. AgI has a very low solubility in water as compared to other iodides (3×10−6 g/L or 1.3×10−8 mol/L at 20° C.), but has a relatively high vapor pressure at moderate temperatures. It undergoes a β to α phase change at 147° C., and it melts at 558° C. It has a vapor pressure of 10 mTorr at 600° C., which limits the thermal processing temperature. Thermal gravimetric analysis confirms that AgI begins to volatilize appreciably above 600° C. Known borosilicate glass-based waste forms are produced by melting the glass at high temperatures, >1000° C. Recent work has explored using low temperature (550° C.) sintering glass to encapsulate AgI or AgI-zeolite. However, this approach still requires thermal processing and is not suitable for use with even more temperature sensitive iodine absorbers such as metal-organic framework materials (MOFs) that can trap much higher levels iodine but typically began to decompose and/or release iodine at temperatures as low as 150° C. Hence, a need exists for a highly stable binder or encapsulant material that securely sequesters particles of temperature sensitive waste, such as AgI, AgI-zeolite or iodine containing MOFs; and that has good mechanical strength, durability, low iodine outgassing, and low rates of leaching in groundwater. Dense and durable waste forms for nuclear waste capable of room temperature fabrication using densifiable powder material, such as metal powder, that forms the matrix that encapsulates the radioactive components of the waste that do not suffer from one or more of the above drawbacks would be desirable in the art. The invention relates to materials and methods of making dense and durable waste forms for temperature sensitive waste material, such as nuclear waste, formed with low temperature processing using metallic powder that forms the matrix that encapsulates the temperature sensitive waste material. In an exemplary embodiment, a densified waste form is provided. The densified waste form includes a temperature sensitive waste material in a physically densified matrix, the matrix being a compacted metallic powder. In another exemplary embodiment, a method of forming a densified waste form is provided. The method includes mixing a metallic powder and a temperature sensitive waste material to form a waste form precursor. The waste form precursor is compacted with sufficient pressure to densify the waste precursor and encapsulate the temperature sensitive waste material in a physically densified matrix. Other features and advantages of the present invention will be apparent from the following more detailed description of the preferred embodiment, taken in conjunction with the accompanying drawings which illustrate, by way of example, the principles of the invention. Wherever possible, the same reference numbers will be used throughout the drawings to represent the same parts. The present invention relates to materials and methods for forming densified waste forms for sequestering temperature sensitive waste material, such as radioactive iodine. This process is cost effective both because of the low material cost and because of the simple, room temperature process that avoids having to heat to high temperatures as is typically done with glass-based waste forms. The densified waste form includes high mechanical strength, high durability, low waste outgassing and low rates of leaching into groundwater over large spans of time. The waste form is suitable for radioactive materials, such as AgI, AgI-zeolite or I-containing Metal-Organic Framework (MOF) materials that contain radioactive iodine and are particularly temperature sensitive. The densified waste form, according to the present disclosure, is a temperature sensitive waste material in a physically densified matrix of a metallic powder. As utilized herein, a “physically densified matrix” is a material subjected to a physical process having a matrix sufficiently dense to eliminate pores within the bulk of the matrix, have the pores within the matrix substantially isolated or having sufficiently few interconnections between pores to prevent the passage of gas or liquid through the bulk of the matrix. The physically densified matrix serves as an encapsulant to sequester, immobilize, and isolate the temperature sensitive waste material from the environment. The method includes making a densified waste form from powdered temperature sensitive waste materials, such as from nuclear fuel reprocessing, and a metallic powder. As shown in FIG. 1, the densification method 100 includes forming the densified waste form 101 from the metallic powder 103 and temperature sensitive waste material 105. The temperature sensitive waste material 105 and the metallic powder 103 are mixed to form a waste form precursor 107. The metallic powder 103 is a particulate metal, alloy or material exhibiting metallic properties. The metallic powder 103 includes a material that is densifiable under pressure to a density sufficient to eliminate pores, have pores within the densified matrix substantially isolated or have sufficiently few interconnections between pores to prevent the passage of gas through the bulk of the matrix. The metallic powder 103 is a ductile material having high strength and chemical and environmental stability. Suitable metallic powders 103 include, but are not limited to, tin (Sn), gold (Au), silver (Ag) and copper (Cu) or combinations thereof. Typical particle sizes for the metallic powder 103 are from less than 100 micrometers or 10 to 100 micrometers or 10 to 50 micrometers. In one embodiment, the metallic powder 103 includes metal powder having greater than 95.0% by weight purity or greater than 99.0% by weight purity or greater than 99.9% by weight purity. The temperature sensitive waste material 105 is a waste material that has undesirable properties, volatility, mobility or undesirable reactivity, when exposed to elevated temperatures. In an embodiment, the temperature sensitive waste material has a low vaporization temperature, making it desirable to use a process having a temperature below the vaporization temperature. For example, waste materials having a high vapor pressure may form hazardous vapors when exposed to elevated temperatures. Such hazardous vapors require additional containment and capture, further increasing the cost and complexity in waste management. For example, a volatility rate for AgI becomes unacceptable around 600° C. In another example, metal-organic frameworks decompose at lower temperatures of between about 150° C. and about 500° C. In one embodiment, the temperature sensitive waste material 105 is an iodine-loaded material, such as iodine-loaded material formed in nuclear fuel reprocessing. In another embodiment, the temperature sensitive material is any suitable volatile fission gas that has been captured in metal-organic frameworks and/or Zeolites. Suitable volatile fission gasses include, but are not limited to, 85 Kr, 3H, or a combination thereof. In one embodiment, prior to mixing the temperature sensitive waste material 105 and the metallic powder 103, the temperature sensitive waste material 105 is formed by capturing iodine in an “adsorbant” to produce an iodine-loaded material. Examples of adsorbants include Ag, Ag-zeolite, Ag-mordenite, Zn, Cu, metal-organic frameworks, covalent organic frameworks, and bismuth/oxygen compounds. Some examples of iodine-loaded material include: AgI, AgI on a zeolite substrate (Ag-zeolite), Ag-mordenite (Ag-MOR), Ag-silica aerogel (Ag-aerogel), ZnI2, CuI, iodine-loaded metal-organic frameworks, and a bismuth-oxy-iodine/iodide/iodate compound (e.g., Bi5O7I). In one embodiment, the range of composition in the temperature sensitive waste material 105 is from 0% of the material that contains the radioactive species, such as, but not limited to radioactive iodine, up to the percolation limit where the particles of that phase of the material would form a continuous network. The temperature sensitive waste material 105 is converted to particles or powders by, for example, crushing or grinding. Typical particle sizes for the temperature sensitive waste material 105 are less than or equal to 50 micrometers. Suitable particle sizes include <40 micrometers, and from about 20 to 40 micrometers in size. As utilized herein “powder”, “particulate”, “particles” and grammatical variations thereof are equivalent terms and include material that are finely divided and are sufficiently fine to permit intimate mixing. Referring again to FIG. 1, the metallic powder 103 and temperature sensitive waste material 105 are mixed to form the waste form precursor 107. In one embodiment, the waste form precursor 107 includes temperature sensitive waste material 105 homogeneously distributed within the metallic powder 103. Alternatively, in other embodiments, the temperature sensitive waste material 105 can be inhomogeneously distributed within metallic powder 103. For example, the temperature sensitive material can be selectively positioned within the waste form precursor 107, for example, in the center of the waste form precursor 107 to further isolate the temperature sensitive waste material 105 from the atmosphere in the densified waste form 101. In one embodiment, AgI is homogeneously distributed throughout the waste form. The temperature sensitive waste material 105 is mixed with a metallic powder 103 prior to compaction. As shown in FIG. 1, the waste form precursor 107 is provided to a die 109. The waste form precursor 107 is then compacted with die 109 and press 111 to sufficient pressure to densify the waste form precursor 107 and form the densified waste form 101. Sufficient pressure is applied to form a physically densified matrix encapsulating the temperature sensitive waste material 105. The pressure at which the compacting takes place can be, for example, greater than 12,500 psi or 12,500 to 30,000 psi or from 15,000 to 25,000 psi or from 17,500 to 20,000 psi. In one embodiment, the metallic powder 103 is tin powder and the pressure at which the waste form precursor 107 is compacted is 15,000 psi. While FIG. 1 shows the die 109 and press 111 for compacting the waste form precursor 107, the invention is not so limited and any suitable apparatus for compacting powders may be utilized. The compacted metallic powder 103 forms a solid, physically densified matrix that surrounds and encapsulates the temperature sensitive waste material 105. The waste form precursor 107 is compacted to a density of greater than or equal to 80% density by volume or greater than or equal to 90% density by volume or greater than or equal to 95% density by volume. The compacting of the waste form precursor 107 is performed at sufficiently low temperature to reduce or eliminate off-gassing of the temperature sensitive waste material 105. A suitable temperature includes room temperature. Another suitable temperature includes any temperature up to a melting point of the temperature sensitive waste material 105. In one embodiment, the compacting is performed with the addition of no external heat. In another embodiment, the densified waste form 101 is coated with a material, such as a material devoid of temperature sensitive waste material. For example, a tin coating can be provided to the densified waste form 101. In this embodiment, the temperature sensitive material is further isolated from the environment, increasing the stability and environmental resistance for the densified waste form 101. In addition, the coating material may provide additional desirable properties for transportation and storage. In another example, the coating material provided to the densified waste form 101 includes the same metal as the metallic powder 103 mixed with the temperature sensitive waste material 105. In a further embodiment, the coating material and the metallic powder 103 mixed with the temperature sensitive waste material 105 are simultaneously pressed to form a monolithic structure. In another embodiment, the densified waste form 101 is formed and a cladding material is joined to the densified waste form by cold welding or other suitable process. In another embodiment, the densified waste form is encapsulated in a housing 112. For example, referring to FIGS. 5 and 6, a housing 112 includes a cup 113 and a lid 115 produced from cast tin metal. The densified waste form 101 (not shown in FIG. 5) is placed in the cup 113 and the lid 115 is secured to the cup 113 at or near room temperature. The cup 113 and the lid 115 is secured is sealed by cold welding to form housing 112 (see FIG. 6). Cold welding includes grinding the surfaces of suitable ductile metals to remove any oxide coating, then putting the surfaces of the ductile metals in contact under low to moderate pressure, to weld the surfaces together. Low to moderate pressure, as used herein, refers to pressures of less than about 1000 psi. Using cold welding, suitable ductile metals such as tin can be welded at room temperature. Although FIG. 5 shows a cold welded housing 112 and a cast tin material, the housing 112 may be sealed by any suitable low temperature joining technique and may be formed from any suitable material. A densified waste form, according to the present disclosure, was prepared and is shown in FIG. 2. A waste form precursor was prepared by mixing 90 wt. % Sn powder and a temperature sensitive waste form of 10 wt. % of a 120 wt. % loaded I2 zeolite imidazolate framework (ZIF-8), a prototypical example of a metal-organic framework. The waste form precursor was uniaxially pressed using a steel die at 25,000 psi to form a densified waste form. The densified waste form is a solid, stable article suitable for storage. This preparation eliminates the use of prohibitively expensive Ag for both the getter material and the waste form. A densified waste form, according to the present disclosure, was prepared. A densified waste form was prepared. A waste form precursor was prepared by mixing 75 wt. % Sn powder and a temperature sensitive waste form of 25 wt. % particulate AgI. The waste form precursor was pressed at 25,000 psi to form a densified waste form. FIGS. 3 and 4 show micrographs of the densified matrix, showing encapsulation and densification. FIG. 4 also shows regions of different elemental compositions as determined using energy-dispersive x-ray spectroscopy having different colors. While the invention has been described with reference to a preferred embodiment, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims. |
|
054019710 | claims | 1. A radiographic phosphor panel comprising a support, a luminescent layer overlaying said support, and an overcoat layer overlaying said luminescent layer, said luminescent layer including phosphor crystals, said luminescent layer being subject to iodine-associated yellowing, said overcoat layer being a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and second polymer selected from the group consisting of poly(methylmethacrylate) and poly(ethylmethacrylate), said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer having a ratio in parts by weight of from 70:30 to 10:90. 2. The radiographic phosphor panel of claim 1 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly(methylmethacrylate). 3. The radiographic phosphor panel of claim 1 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly(methylmethacrylate) in a ratio by weight of from 70:30 to 10:90. 4. The radiographic phosphor panel of claim 1 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-cotetrafluoroethylene) and poly(methylmethacrylate) in a ratio by weight of from 70:30 to 50:50. 5. The radiographic phosphor panel of claim wherein said phosphor is selected from the group consisting of divalent alkaline earth metal fluorohalide phosphors containing iodine and alkali metal halide phosphors containing iodine. 6. The radiographic phosphor panel of claim 1 wherein said phosphor consisting essentially of the product of firing a combination of species characterized by the relationship: EQU MFX.sub.1-z I.sub.z.uM.sup.a X.sup.a :yA:tD M is selected from Mg, Ca, Sr, and Ba; X is selected from Cl and Br; M.sup.a is selected from Na, K, Rb, and Cs; X.sup.a is selected from F, Cl, Br, and I; A is selected from Eu, Ce, Sm, and Tb; D is selected from V, Cr, Mn, Fe, Co, and Ni; z is from 1.times.10.sup.-4 to 1; u is from 0 to 1; y is from 1.times.10.sup.-4 to 0.1; and t is from 0 to 10.sup.-2 ; oxide; and oxosulfur reducing agent for iodine, said oxosulfur reducing agent being selected from the group consisting of inorganic and organic salts having anions having the general formula S.sub.j O.sub.k wherein 0.25<j/k<1.0, and Bunte compounds, said oxosulfur reducing agent being present prior to firing in a molar ratio of sulfur to alkaline earth metal of from 1.times.10.sup.-4 to 0.020. X is selected from Cl and Br; M.sup.a is selected from Na, K, Rb, and Cs; X.sup.a is selected from F, Cl, Br, and I; A is selected from Eu, Ce, Sm, and Tb; a+b+c is from 0 to 0.4; z is from 1.times.10.sup.-4 to 1; r is from 10.sup.-6 to 0.1; y is from 1.times.10.sup.-4 to 0.1; oxide; and said oxosulfur reducing agent. 7. The radiographic phosphor panel of claim 6 wherein said phosphor consists essentially of the product of firing a combination of species characterized by the relationship: EQU (Ba.sub.1-a-b-b Mg.sub.a Ca.sub.b Sr.sub.c)FX.sub.1-Z I.sub.z.rM.sup.a X.sup.a :yA 8. The radiographic phosphor panel of claim 1 wherein said support is substantially rigid. 9. The radiographic phosphor panel of claim 1 wherein said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer have a ratio in parts by weight of from 70:30 to 50:50. 10. The storage panel of claim 1 wherein said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer have relative concentrations that render said storage panel less subject to curl than an equivalent storage panel having a poly(methyl methacrylate) overcoat layer. 11. A storage phosphor panel comprising, in order: a support; a fluorescent layer including phosphor crystals capable of absorbing X-radiation and emitting longer wavelength electromagnetic radiation in response to stimulating radiation of a third wavelength, said phosphor including iodine and other halogen, said fluorescent layer being subject to yellowing upon exposure to ambient atmosphere; and an overcoat layer, said overcoat layer being a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and a second polymer selected from the group consisting of poly(methylmethacrylate) and poly(ethylmethacrylate), said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer having a ratio in parts by weight of from 70:30 to 10:90. 12. The storage panel of claim 11 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly(methylmethacrylate). 13. The storage panel of claim 11 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly(methylmethacrylate) in a ratio by weight of from 70:30 to 10:90. 14. The storage panel of claim 11 wherein said overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly(methylmethacrylate) in a ratio by weight of from 70:30 to 50:50. 15. The storage panel of claim 14 wherein said phosphor is selected from the group consisting of divalent alkaline earth metal fluorohalide phosphors containing iodine and alkali metal halide phosphors containing iodine. 16. The storage panel of claim 11 wherein said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer have a ratio in parts by weight of from 70:30 to 50:50. 17. The storage panel of claim 11 wherein said poly(vinylidene fluoride-co-tetrafluoroethylene) and said second polymer have relative concentrations that render said storage panel less subject to curl than an equivalent storage panel having a poly(methyl methacrylate) overcoat layer. 18. A method for preparing a radiographic phosphor panel comprising forming a luminescent layer on a support, making a solution of poly(vinylidene fluoride-co-tetrafluoroethylene) and a second polymer selected from the group consisting of poly(methylmethacrylate) and poly(ethylmethacrylate), and said poly(vinylidene fluoride-co-tetrafluoroethylene) said second polymer having a ratio in parts by weight of from 70:30 to 10:90, and coating said solution over said luminescent layer. 19. The method of claim 18 wherein said solution is in 2-butanone. |
047013915 | abstract | A mask for X-ray lithography is formed of a multilayer diaphragm with a patterned absorber layer on the diaphragm. The diaphragm includes a layer of magnesium and at least one intermediate layer. |
042785006 | description | DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT The diagram of FIG. 1 illustrates in particular the pressure vessel 1 of a pressurized water reactor, the reactor core being placed within the pressure vessel and shown very diagrammatically. A primary coolant fluid for removal of the heat generated by nuclear fission within the reactor core circulates within a series of primary loops 3 which are spaced at intervals around the pressure vessel 1 and only one of which is shown in the figure. A primary loop 3 comprises in particular an outlet duct 4 connected to an inlet header 5 for the admission of primary fluid into a steam generator G. Within said header, the primary fluid is distributed to the upper ends of the tubes of a bundle 6 which extends downwards through the generator and opens into an outlet header 7 at the lower ends of the tubes. At the exit of said outlet header, the primary fluid is collected by a duct 8 and drawn up by a pump 9, then returned at a suitable pressure into a duct 10 which is connected to the pressure vessel 1, thus permitting of continuous circulation of the primary fluid. The secondary fluid which usually consists of water is fed in the liquid state to the bottom of the steam generator G and circulated in contact with the tube bundle 6 by means of two pipes 11 and 12, this feed system being described hereinafter in greater detail. The water in the liquid state rises within the steam generator in contact with the tubes 6 and vaporizes before being discharged in the form of live steam at the upper end of the generator through a duct 13. Said duct 13 extends through the wall 14 of an enclosure which is shown diagrammatically and so designed as to provide containment of the primary circuit. Penetration of the enclosure wall 14 by the duct 13 is effected by means of two isolating valves 15 and 16 respectively. On the other side of the wall, the duct 13 has an extension constituted by a duct 17 through which the steam delivered by the generator is fed into the cylinder of a high-pressure turbine 18. The steam is expanded and then collected at the outlet 19 of said turbine before being sent through a duct 20 to another low-pressure turbine (not shown in the drawings). A bleeder pipe 21 also serves to return part of the steam to a feed tank 22. A duct 23 which passes around the high-pressure turbine 18 is provided with an isolating valve 24 and is also connected to the feed tank 22 by means of a second bleeder pipe 25. These ducts are fitted with regulating devices and valves which have not been illustrated in the drawings. A pipe 26 is mounted as a bypass off the outlet duct 13 or connected directly to the generator G and serves to effect a suitable withdrawal from the steam flow. Said bypass pipe 26 feeds an auxiliary back-pressure turbine 27 through a regulating valve 28 having at least two positions. The steam expanded at the outlet of said turbine 27 is returned through a duct 29 which extends after passing through two isolating valves 30 and 31 on each side of the wall 14 into a pipe 32 which is again connected to the feed tank 22. The regulating valve 28 can advantageously be associated with a system of flow-modulating valves (not shown) which permit of continuous load variations between minimum output and rated output. It is apparent that the auxiliary turbine 27 is provided with the usual safety systems for restoring the speed of the turbine on load to a value of the order of 300 to 400 rpm in the event of overstepping, whereas the normal speed of the turbine is 3000 rpm, for example. Within the enclosure 14, another pipe 33 is branched on the duct 29 and provided with a control valve 34. Thus in the event of closure of the isolating valves 30 and 31 and opening of said control valve 34, a minimum flow of steam can be passed to a second auxiliary turbine 35 which drives an emergency pump 36. By means of said pump, water containing boric acid, for example, is pumped from a storage tank 37 into the reactor vessel 1 via a pipe 38 which is connected to the ducts 4 and 10 of the primary circuit. It is apparent that, by virtue of these arrangements, the circulating pump 9 provided within the primary circuit is driven directly by the auxiliary turbine 27 under normal operating conditions. Thus the entire quantity of energy which is necessary is directly extracted from the secondary circuit, thereby dispensing with the need for an electric motor or the like. At the same time, the regulating valve 28 ensures self-regulation of the turbine drive flow rate so that the minimum flow rate corresponding to the first valve-opening position still ensures suitable operation of the circulating pump at low operating speeds. In the event of an accident condition in the primary circuit and specifically in one of the ducts 10 or 4 for feeding or discharging primary fluid to or from the pressure vessel 1, the invention makes it possible to keep the primary pumps of umdamaged loops in operation and also to maintain the emergency high-pressure injection pumps in operation. To this end, since the closure of the isolating valves 30 and 31 has caused the control valve 34 to open, the emergency pump 36 driven by the turbine 35 draws the water containing boric acid from the tank 37 and discharges it through the pipe 38 to the ducts 4 and 10 and thence to the interior of the pressure vessel. It is worthy of note that the low-pressure injection of emergency boric acid solution into the primary circuit through the pipe 48 can also be carried out from a storage tank 46 or from a sump 47 by means of the water under pressure 40 contained in the tank 22 and utilized as driving fluid within an injector 45 to which it is fed through a pipe 44. Under normal operating conditions, the water 40 under pressure is returned by a turbo-pump 41 through reheaters 42 and 43 and thence to the pipe 12. However, said water can be passed through a pipe 49 to a second injector 50 in order to serve as driving fluid for an emergency supply of secondary fluid to the steam generator G via the pipe 11, this emergency supply being obtained from a storage tank 52. It should be mentioned that, in the case of at least one of the steam generators, said injector 50 duplicates the normal auxiliary feed circuit of said steam generator, namely the circuit comprising the pipes 53 and 55 and the auxiliary pump 54. The two diagrams illustrated in FIGS. 2 and 3 define the possible characteristics of the primary fluid for a pressurized light-water reactor comprising a system of the type described in the foregoing, the turbine which actuates the primary pump in said system being provided with a steam flow regulating valve of the aforementioned type. The diagram of FIG. 2 indicates the variations in primary fluid temperature as a function of the electric power required whilst the diagram of FIG. 3 gives the percentage of the primary circuit output and the relative power absorbed by the circulating pump, as a function of the load. Provision is thus made for an improved device for supplying steam to turbines which drive the primary fluid circulating pumps. The general arrangement of this device achieves a remarkable increase in flexibility of operation and reliability of the installation. From a safety standpoint, the invention also represents a substantial improvement by virtue of the fact that, as long as the reactor produces power, the cooling system utilizes this power for cooling the reactor; in addition, the reactor power is directly utilized for initiating the high-pressure emergency injection with delayed action and partly contributing to subsequent cooling of the reactor by means of static devices. An intrinsic safety system is thus provided for all incidents which are liable to occur in the primary circuit, even in the event of failure of external electrical supplies. |
abstract | The invention discloses a scanning method and apparatus suitable for scanning a pipeline or process vessel in which a beam of gamma radiation from a source is emitted through the vessel to be detected by an array of detectors which are each collimated to detect radiation over a narrow angle relative to the width of the emitted radiation beam. |
|
042971675 | description | Referring now to the drawing and first, particularly, to FIG. 1 thereof, there is shown, on a very large scale of substantially 1:5000, a nuclear reactor installation disposed in a hill 1 which has been piled above the natural earth lever 2 to a height of 55 m, for example, and encloses the activity-carrying parts. The nuclear reactor installation generates steam which is converted into electric energy. This occurs in a machinery building 3. The waste heat produced in the produced in the process is given off to the atmosphere in a cooling tower 4, which may also be a dry cooling tower. The reactor installation includes a pressurized-water reactor for 1200 MWe, for example, which corresponds to about 3800 MW.sub.th. The activity-carrying components thereof i.e. those of the primary circulatory loop, are enclosed in a containment 5 (FIG. 2) that is constructed as a steel sphere, as is known, for example, from a brochure of Kraftwerk Union Aktiengesellschaft entitled "Pressurized-Water Reactor" dated May 1975, order No. 295. The steel sphere 5, which forms a first tight enclosure, is in turn enclosed in a concrete tank 6 corresponding to a so-called secondary shielding in heretofore known installations, and is disposed as a central cell in the middle of the hill 1. The volume of the central cell is 210,000 m.sup.3. The annular space 7 between the steel sphere 5 and the concrete tank 6 contains auxiliary and accessory equipment, as is indicated by a floodwater tank 8 (FIG. 2). As is apparent, the concrete tank 6 is sunk into the earth 9 below the surface 2 thereof. The foundation 10 of the concrete tank 6 extends below the natural water table 11 into the water-permeable sand and gravel layer 12 of the earth 9. The water table 11 is determined by the upper side 13 of a water-impermeable marl stratum 14. Into the earth 9, two annular or ring walls 15 and 16 are sunk, which concentrically or coaxially surround the concrete tank 6, that is constructed as a solid of revolution. The walls 15 and 16 extend, for example, evenly 10 m deep into the water-impermeable stratum 14 and extend above to the surface 2 of the earth 9, as shown especially in FIG. 3. The walls 15 and 16 are formed, for example, of a bentonite layer 0.6 m thick which can be considered to be water-impermeable. The walls 15 and 16 mutually enclose therebetween an intermediate space 17, also referred to as an annular zone, and an inner space 18 wherein the concrete tank 6 is received. The inner space 18 is in communication with a water- and gas-permeable gravel volume 19 constituting the major part of the hill 1. From this part 19 of the hill 1, the concrete tank 6 is separated only by a clay layer or stratum 20, for example, 3 m thick, which is seated like a cap on an upper portion 21 of the concrete tank 6. The cap 20 ensures that even if cracks should appear in the concrete tank 6, gases or liquids cannot excape directly below the crest 22 of the hill 1, because they reach the lower portion of the hill 1 only below the edge of the cap 20, so that, before they can escape to the outside, they must pass through the entire volume of the hill 1 which serves as a filter. A clay layer 23 is disposed on the gravel volume 19 and forms the upper portion of the hill; like the clay layer 20, the clay layer 23 may have a thickness of 3 or 4 m. The clay layer 23 extends over the entire surface of the hill 1 down to the edge 24 thereof, where the clay layer 23 meets the ground level 2. It forms a self-healing, gastight closure for the gas carrying volume of gravel 19. The mechanical integrity of the clay layer 23 is established by a 1 to 2 m thick concrete layer (shatter or crash layer) 25 which is anchored to the ground 9 by a foundation ring 26. The crash layer 25 may, in some cases, also be assembled or piled up of stones or individual concrete elements, because it is of primary importance that the crash layer 25 prevent any direct external effects upon the clay layer 23. The horizontal sectional view of FIG. 4 shows that the gravel volume 19 in the hill 1 is divided into two zones 28 and 29 of different size by a 3 m thick vertical partition 27 formed of clay. The larger zone 28 surrounds the concrete tank 6, since the wall 27 extends around the concrete tank 6 in an arc 30 which, in the horizontal sectional view of FIG. 4, is disposed between the walls 15 and 16. A smaller zone 29 contains all the accesses or approaches extending through the hill 1 to the concrete tank 6. Among them is a main tunnel 31, which ends at a material lock 32 leading into the containment sphere 5. The main tunnel 31 is needed only for the transportation of large components which is required for effecting repairs. It is therefore closed during normal operation by safety or lock bolts 33 formed of concrete, which are inserted into the concrete wall 34 of the main tunnel 31. The remaining space is filled with clay, as shown in FIGS. 2 and 4. The main tunnel 31 is connected to the edge 24 of the hill 1 by means of a secondary tunnel 35 extending at an angle therefrom. Shut-offs 36 are provided for the latter, which permit the tunnel 35 to be closed if required. The shut-offs 36 are formed of cylinders 37 having a through-opening 38, which can be closed by rotating the respective cylinder 37 through 90.degree. from the position shown in FIG. 4. The main tunnel 31 is further adjoined by another secondary tunnel 39 leading into the annular space 7 between the containment sphere 5 and the concrete tank 6. This secondary tunnel 39 also contains a shut-off 40 in the form of a rotatable cylinder having a through-bore like that of the cylinder 37. Yet another secondary tunnel 41 is connected to the main tunnel 31 and leads through a shut-off 42 to an emergency building 43. The emergency building 43 is a strong concrete structure and contains, a bulkheaded individual chambers 44, emergency equipment required for emergency operation, such as emergency diesel units and emergency cooling equipment, for example, insofar as they are not located in the annular zone 7. Respective pumping installations 47 with filter stations 48 are located in two additional chambers 45 of the emergency building, as is seen in detail in FIG. 3. Also, as shown in FIG. 4, these chambers 45 extend over the wall 15, which bulges out at this location. Therefore, the pumping installation 47, which is in the form of an immersion pump surrounded by a shaft 46 can draw ground water from the intermediate space 17 and force it into the space outside the wall 15 through the filter station 48. Thereby, a lower water table 49 is produced in the spaces 17 and 18. This "underpressure" or "negative pressure" prevents the likelihood of any possible radioactivity being washed or flushed with the ground water out of the region enclosed by the wall 15. The filter station 48, which may include wire filters, cellulose filters and activated-carbon filters, ensure the desired purity of the water pumped to the outside. The filter station 48 can also be used if ground water is to be pumped through another line 50, which extends into the inner space 18 through an inclined shaft 51. Thus, ground water, which runs through the filter station 48, can be pumped from the inner space 18 into the part of the ground 9 located outside the wall 15. The line 50 can also serve to pump water from the annular space 17 into the inner space 18, should an overloading of the filter prevent the delivery of activity-free water to the outside. This could happen, however, only in the event of a completely unlikely serious accident if the reactor core should melt through the concrete tank 6. In the illustrated embodiment of the invention, it is assumed that the primary circulatory loop of the pressurized-water reactor inside the containment sphere 5 includes four steam generators. From the latter, four steam lines 52, 53, 54 and 55 extend as can be seen in FIG. 4. They are constructed, at least in the annular zone 7, as double lines and lead through separate tunnels 56 from the concrete tank 6 to the machinery building 3. These tunnels 56 are formed of concrete tubes which float in the ground 9 and are flexibly connected through elastic seals. They are assembled at angles to one another in order to prevent direct action from the outside on the concrete tank 6. In addition, in the vicinity of baffle plates 57, which also serve for bracing and fixing the steam lines 52 to 55, smaller shutoffs 58 are provided, by which the part of the tunnels 56 which is accessible for inspecting the lines 52 to 55 can be shut off. Parallel to the main or live steam lines 52 to 55, feedwater lines 59 extend through the tunnels 56, as is indicated in FIG. 2. The tunnels 56 for the connecting lines 52 to 55 and 59, as well as the main tunnel 31 and the secondary tunnels 35, 39 and 41, run exclusively through the zone 29. Along the length of each of the tunnels 56, a respective concrete cell 60 is located at the end thereof facing the concrete tank 6, directly at the partition 27. The four concrete cells 60 are constructed and equipped in a similar manner. They are pressure-proof structures of shelltype or monococque construction in the form of vertical cylinders with a hemispherical roof or ceiling, which are designed for an internal pressure of 15 bar. The cells 60 have volumes of 1,700 m.sup.3, respectively, which is only 1/120 the volume of the concrete tank 6. The concrete cells 60 and the tunnels 56 are located above the ground water level or table 11, so that the elastically sealed connecting openings also remain free of ground water. Simultaneously, by means of conical fastening locations of the pressure lines 52 to 55 and 59, which are constructed as double-walled lines, pressure-tight bulkheading in the vicinity of the concrete cells 60 is assured. The concrete cells 60, as auxiliary cells, separate from the concrete tank 6, contain the valves which are necessary for the operation of the installation and which are mounted predominantly at the aforementioned fastening locations as described in copending application Ser. No. 700,910, filed June 29, 1976 of which applicant is a coinventor. Among the valves in the concrete cells 60 is a shut-off valve 61 constructed as a corner valve in the train of the steam lines 52 to 55, to which a safety valve 62 is connected. From the safety valve 62, a relief line 63 extends out of the auxiliary cell 60 to a gravel bed 64 which extends along one side of the zone 29, as can be seen in FIG. 4, and is connected to each of the auxiliary cells 60. Additional discharge or outlet openings formed in pipes 65 and having a cross section of 5 m.sup.2, extend into the gravel bed 64, the outlet openings being closed off by diaphragms 66. Relief is thereby assured, for example, in the event of a break in the 70-bar main steam line in the auxiliary cell 60, to an extent that the permissible internal pressure in the cell 60 is not exceeded. Through the line 63, activity-carrying steam from the safety valve 62 can also be discharged for relieving pressure in the event of an accident. A deep well 67 is associated in the same manner with each of the auxiliary cells 60. Activity-free ground water can be drawn from the deep wells 67 by means of a pump 68 and fed to a socalled tertiary evaporator 69. Live steam (secondary steam) from the lines 52 to 55 can be fed to the tertiary evaporators 69. Therefore, heat can be removed by the tertiary evaporators 69, should the normal heat sink of the turbines and the after-cooling or recooling system of the nuclear reactor installation also fail. Since this heat removal by the tertiary evaporators is separated from the secondary circulatory loop of the nuclear reactor, the steam can be given off directly to the outside through a relief or discharge line 70 with a shut-off valve 71, and also with a sound absorber 72 located on the surface of the hill, since no activity can be discharged therewith. For the shock-like or pulsating elimination of small amounts of heat through the safety valve 62, a blow-down or relief tank filled partly with water, in conventional manner, and set up for condensing the steam, can be disposed in each of the auxiliary cells 60. A valve system 74 is associated with the annular space 7 between the safety containment sphere 5 and the concrete tank 6, and is connected to relief lines 75. The valve system 74 is supposed to ensure that the concrete tank 6 will not blow up even if, in the case of an accident, steam should escape from the containment sphere 5 and thereby pose the threat that the pressure strength of the concrete tank might be exceeded. This strength is especially defined by the bearing pressure of the materials forming the hill 1. For example, a pile 20 m high with a specific gravity of 2 tons/m.sup.3 produces an outer excess pressure of about 4 bar upon the concrete tank 6. This pressure relieves the dome or vault-like concrete shell of tensile stress as long as the internal excess pressure or overpressure does not reach a higher value. The valve system 74 encompasses preferably one or more safety valves with a relatively low response overpressure of, for example, 1 bar and a small relief cross section. The small quantities of air and steam, such as are anticipated in the initial phase of the hypothetical failure of the containment sphere 5 can thereby be discharged. In addition, however, additional safety valves with large relief cross section and responsive to a higher pressure are provided. With this possible system of pressure relief or exhaust which has, for example, twice the cross section, rupture of the concrete tank 6 can be prevented also if, due to intense heating resulting from a possible core meltdown, large amounts of steam are abruptly generated due to a sudden inrush of the water volume, for example, coming, perhaps, from the fuel-element pit and exceeding the storage capacity of the concrete tank 6. The relief or exhaust lines 75 lead to a large gravel bed 76 in the zone 28. From there, the steam can be distributed in the volume of gravel 19 of the hill 1. This ensures filtering and condensation of the steam, so that no radioactive parts can penetrate directly to the ambient environment. Due to the provision of two gastight sheets 77 and 78 of plastic material extending virtually parallel to each other, assurance is provided also that the radioactivity cannot be rinsed into the free ground water by water which is produced, for example, as condensate. The sheets 77 and 78 of plastic material, on the contrary, with the slightly conical disposition thereof, form runoff surfaces, by which such water is normally conducted into the inner region 18 of the wall 16. In the event that the sheet 77 of plastic material, which lies on a lower clay layer 79, should be damaged, the lower sheet 78 of plastic material disposed in the clay layer 79 ensures that leakage water or rain water can flow out of the region 19 only into the intermediate space 17. In the upper region of the gravel volume 19 i.e. below the clay layer 23, passageways or outlets may be provided such as are shown in FIGS. 2 and 3. For this purpose, a semicircular bed 80 of coarse gravel is provided which forms a collecting space in the zone 28. At that location, there starts a line 81 with a shut-off valve 82 leading to the outside through the clay layer 23 and the crash layer 25, the shut-off valve 82 being manually actuatable by means of a spindle 83. The outlet of the line 81 is covered by a hood 84, which serves as protection from rain water. The hood 84 simultaneously provides mechanical protection for the line 81, however. The zone 29 can likewise be provided with a gravel bed 85, which is located at the highest point of this zone 29 and forms a collecting space thereat for the outlet line 86 which has a valve 87 and a covering hood 88. The discharge lines 81 and 86, only a single one of which may also be provided, permit relief of pressure applied to the hill 1 by air that might be displaced in the event of an accident, thereby preventing the clay layer 23 from breaking open. The valves 82 and 87 can, for example, be subject to pressure-dependent control, in that they are opened at overpressures or excess pressures greater than 0.5 bar and at underpressures greater than 0.2 bar. However, they may, in addition, be subject to activity-dependent actuation, by which closure is effected independently of the pressure, should this escaping medium be radioactive. In FIG. 5, there is shown diagrammatically, a safety valve 89 which relieves the containment 5, for example, in the event of an overpressure of 5 bar, by discharging into the concrete tank 6. This safety valve 89 is supposed to prevent the pressure in the containment 5 from increasing beyond design pressure (6 bar) if the emergency cooling should fail and a break in a pressure-carrying line in the containment 5 should simultaneously occur. The energy content of the containment 5 is limited to a value which can also be absorbed by the concrete tank 6 (4 bar) upon sudden failure of the containment 5. The line cross section of the valves of the valve system 74, which are designed for a low response pressure, can therefore be throttled greatly, for example, to four openings with 20 cm diameter, since only the residual heat of the reactor, which has already been shut down for some time, must be released. This permits a steady condensation of the steam in the gravel volume 19 and only a moderately heavy production of exhaust air in the line 81, so that the cross section of the latter can also remain small (4.times.20 cm). In the schematic view of FIG. 5, the concrete tank 6 is shown with varying wall thicknesses. This is to indicate that it is formed, in the lower part thereof, of a mechanically sturdy tray 93 and in the upper part thereof, of a likewise mechanically sturdy cap 94. In the middle region 95 thereof, however, a given amount of permeability in the event of overloads or excess pressure may be provided or may occur. The concrete cap 94 is formed of a heat-resistant grade of concrete and supports the clay layer 20. As is apparent, the interior 96 of the spherical containment shell 5 is connected by means of safety valves 89 to the annular space 7 between the containment sphere 5 and the concrete tank 6. The response value of the safety valves 89 should, in fact, be as high as possible, but should ensure, on the other hand, that the containment sphere 5 can never be ruptured suddenly when the pressure increases, because relief through the safety valves 89 will have taken place first. The annular space 7, on the other hand, is connected in the region 95 of the concrete tank 6 to the so-called high-activity zone 28 of the gravel volume 19 through the valve system 74. The valves 74 have, for example, an overpressure response of 4 bar. The zone 28 is again connected to the atmosphere above the hill 1 through valves 81 which are openable in dependence upon pressure and have an overpressure or excess pressure response of 0.5 bar. This is to prevent the hill 1 from being broken open in the region of the clay layer 23 serving as a sealing skin, so that gases or vapors escape in concentrated form. For a greater weight of the clay layer 23 and/or the crash layer 25 covering it, a correspondingly higher response value of the safety valves 82 can also be chosen. In the interior space 18 within the inner wall 16, a well 98 is provided, from which a pump 99 can draw water. The pump operates only in the case of accidents which threaten the containment sphere 5 and the concrete tank 5. The water is pumped through a check valve 100 and a control valve 101 to a spraying device 102, which can cool the upper part of the containment 5, so that a lowering of the pressure in the containment 5 can be attained. The pump 99 can furthermore be delivered through a control valve 103 and a filter 104 into the space 17 between the two walls 15 and 16. In the space 17, the well 105 with the immersion pump 47 is provided, which pumps ground and leakage water through the filter station 48 into the region outside the wall 15 of the ground-water bearing layer 12, as indicated by the line 106. Another well 107, which is installed redundantly fourfold and is designed, for example, for 4.times.150 m.sup.3 /h, serves to feed a pump 108. The latter can supply the spraying device 102 through a line 109 with a check valve 110 and a control valve 111. The pump 108 further supplies coolers or intermediate heat exchangers 112, which are required, for example, for cooling emergency-power diesel sets. The water coming from the cooler 112 can also be fed, if required, to the tertiary evaporator 69, with which the energy of the nuclear reactor installation is utilized for the generation of steam in the event the normal heat sinks fail as described in copending application Ser. No. 746,176, filed Nov. 30, 1976, of which applicant is a coinventor. The steam then generated can be conducted to the relief or discharge 70 through a control valve 114 and a check valve 115. The tertiary evaporator 69 is connected through a line 117 to the live steam line 52, which, in the normal case, leads to the turbine through the shut-off valve 61. The safety valve 62 at the live steam line 52 allows steam to be blown off into the so-called low-activity zone 29 of the gravel volume 19, as indicated by the line 63 and the outlet 121 thereof. The air normally present in the soil can then be blown off through the excess pressure valve 87, if the excess pressure exceeds 0.5 bar when the steam is introduced. The pump 108 for both the cooler 112 and the tertiary evaporator 69 together with the shut-off device 61 of the live steam line 52 and the safety valve 62 connected thereto, is housed in the auxiliary cell 60, indicated diagrammatically in FIG. 5 by the box shown in dot-dash lines, which is situated in the ground of the hill 1, as shown in FIGS. 2 to 4. This auxiliary cell 60 also contains another pump 118, with which the condensate (feedwater) present in the tertiary evaporator 69 is returned to the steam generator through a line 119. In the illustrated embodiment, it is shown that the safety valve 89 of the containment sphere 5 leads into the annular space 7, from which additional safety valves of the valve system 74 afford pressure relief. A direct relief line from the containment sphere 5 into the "high-activity" zone 29 of the gravel volume 19 may also be provided, however, so that pressure relief can be effected for the containment 5 without adversely affecting the accessibility of the annular space 7. |
abstract | A first support structure disposes articles relative to a radiation beam, preferably x-rays, to obtain an irradiation of the articles. A second support structure disposes articles relative to the beam and to the articles on the first structure to obtain an irradiation of the articles by radiation passing from the accelerator through the articles on the first structure. A mechanism transfers the particles on the first structure to the second structure, after the irradiation of the articles on the first structure, to obtain the irradiation of the articles on the second structure. Each of the first and second structures may provide for an irradiation of articles initially through first sides of the articles and subsequently through second sides of the articles opposite to first sides. The irradiation of the first sides of the articles on the first and second support structures are preferably synchronous from a time standpoint as are the irradiation of the second sides of the articles on the structures. The transfer mechanism provides for the transfer of the articles from the first structure to the second structure after the synchronous irradiation of the second sides of the articles on the support structures. A loading area transfers articles to the first structure for an irradiation of the articles by the x-ray beam. A unloading area provides for transfer of articles from the second structure after the irradiation of the opposite sides of the articles on the second structure. |
|
claims | 1. A multi charged particle beam writing method comprising:converting, for each shot of beams of multiple charged particle beams, a respective first gray scale value of each beam of the multiple charged particle beams, which is obtained by dividing an individual irradiation time period of the each beam by a quantization unit, into respective data of binary numbers of a predetermined digit number set in advance;dividing a maximum irradiation time period per shot of beams of the multiple charged particle beams into a plurality, being the predetermined digit number, of first irradiation time periods, each of which is calculated by multiplying a corresponding second gray scale value of a plurality of second gray scale values by the quantization unit, where the plurality of second gray scale values are gray scale values defined in decimal numbers converted from each digit value of data of binary numbers of the predetermined digit number;dividing a plurality of second irradiation time periods, which are a part of the plurality of first irradiation time periods into a plurality of third irradiation time periods;dividing irradiation of each beam of the multiple charged particle beams by using the plurality of third irradiation time periods and remaining undivided plurality of first irradiation time periods, into first irradiation steps which are irradiation steps of the plurality of third irradiation time periods and second irradiation steps which are irradiation steps of the remaining undivided plurality of first irradiation time periods; andirradiating, for each group of a plurality of groups in the each shot of beams, a target object, in order, with the multiple charged particle beams such that the plurality of groups are respectively composed of combination of at least two irradiation steps of first irradiation steps and second irradiation steps and the plurality of groups continue in order. 2. The method according to claim 1, wherein “b” number of the plurality of second irradiation time periods corresponding to a reference irradiation time T′ that satisfies an equation (3) and an equation (4) are divided, where a combination number “m” of the at least two irradiation steps, a digit number “n” being the predetermined digit number, a quantization unit Δ, and a first irradiation time period Ti of an i-th digit of data of binary numbers in “n” number of the plurality of first irradiation time periods are used in the equation (3) and the equation (4), anda number of irradiation time periods is increased from the digit number “n” by a number “a” corresponding to the reference irradiation time T′ that satisfies the equation (3) and the equation (4), by dividing the plurality of second irradiation time periods. T ′ = ( 2 n - 1 ) ( n + a ) / m Δ ( 3 ) T ′ > ∑ i Ti > T ′ Ti a + b ( 4 ) 3. The method according to claim 2, wherein, in the plurality of first irradiation time periods, a number of first irradiation time periods Ti being greater than the reference irradiation time period T′ are defined as “b” number of the plurality of second irradiation time periods, and the “b” number of the plurality of second irradiation time periods are divided into the plurality of third irradiation time periods so that the number of irradiation time periods is increased from the “n” number of the plurality of first irradiation time periods by the number “a”, andeach first irradiation step of the plurality of third irradiation time periods and each second irradiation step of remaining undivided plurality of first irradiation time periods are assigned to one of the plurality of groups so that a total irradiation time of each group is closer to the reference irradiation time T′. 4. The method according to claim 3, wherein the irradiation of the beam concerned is divided into respective irradiation steps of a plurality of irradiation time periods that configure the plurality of groups where a part of irradiation time periods of the at least two irradiation steps that configure at least one group of the plurality of groups is divided into a plurality of fourth irradiation time periods, and a part of the plurality of fourth irradiation time periods is assigned to other group. 5. The method according to claim 1, further comprising:setting an initial value for a combination number “m” and an irradiation time increased number “a” which indicates that “n” plurality of irradiation time periods is increased by “a”, where “n” is a digit number; andcalculating a reference irradiation time T′ by solving a following equation (3) using the combination number “m”, the digit number “n”, the number “a” and a quantization unit Δ. T ′ = ( 2 n - 1 ) ( n + a ) / m Δ ( 3 ) 6. The method according to claim 5, further comprising:determining whether a calculated reference irradiation time T′ satisfies a following equation (4) by using the number “a”, a first irradiation time Ti of an i-th digit of binary numbers in “n” number of the plurality of first irradiation time periods, where “n” is the digit number, and number “b” indicating a partial number of irradiation time periods to be divided, in the “n” number of the plurality of first irradiation time periods. T ′ > ∑ i Ti > T ′ Ti a + b ( 4 ) 7. The method according to claim 6, further comprising:changing the number “a” when the reference irradiation time T′ does not satisfy the equation (4); andcalculating the reference irradiation time T′ again using a changed number “a”. 8. The method according to claim 6, further comprising:dividing “b” number of the plurality of second irradiation time periods Ti, each of which is greater than the reference irradiation time T′, in the “n” number of the plurality of first irradiation time periods, “n” being the digit number, into the plurality of third irradiation time periods so that a number of irradiation time periods is increased from the plurality of first irradiation time periods by the number “a”. 9. A multi charged particle beam writing apparatus comprising:a stage configured to mount a target object thereon and to be continuously movable;an emission unit configured to emit a charged particle beam;an aperture member, in which a plurality of openings are formed, configured to form multiple beams by letting a region including a whole of the plurality of openings be irradiated with the charged particle beam and letting portions of the charged particle beam respectively pass through a corresponding opening of the plurality of openings;a plurality of blankers configured to respectively perform blanking deflection of a corresponding beam in the multiple beams having passed through the plurality of openings of the aperture member;a blanking aperture member configured to block each beam having been deflected to be in a beam-off state by the plurality of blankers; anda deflection control unit configured to control a corresponding blanker of the plurality of blankers such thata maximum irradiation time period per shot of beams of the multiple beams is divided into a plurality, being a predetermined digit number set in advance, of first irradiation time periods, each of which is calculated by multiplying a corresponding second gray scale value of a plurality of second gray scale values by a quantization unit, where the plurality of second gray scale values are gray scale values defined in decimal numbers converted from each digit value of data of binary numbers of the predetermined digit number,a plurality of second irradiation time periods, which are a part of the plurality of first irradiation time periods, are divided into a plurality of third irradiation time periods,irradiation of each beam of the multiple charged particle beams by using the plurality of third irradiation time periods and remaining undivided plurality of first irradiation time periods is divided into first irradiation steps of the plurality of third irradiation time periods and second irradiation steps of the remaining undivided plurality of first irradiation time periods, anda target object is irradiated, in order, with the multiple charged particle beams such that a plurality of groups are respectively composed of combination of at least two irradiation steps of the first irradiation steps and the second irradiation steps and the plurality of groups continue in order, for each group of a plurality of groups in the each shot of beams. 10. The apparatus according to claim 9, further comprising:a bit processing table generation unit configured to generate a bit processing table, which shows a relation between a place value “k” of bit data and an irradiation time corresponding to the place value “k”, by using the plurality of third irradiation time periods and the remaining undivided plurality of first irradiation time periods. 11. A multi charged particle beam writing apparatus comprising:a stage for mounting a target object thereon and being continuously movable;an emission means for emitting a charged particle beam;an aperture means, in which a plurality of openings are formed, for forming multiple beams by letting a region including a whole of the plurality of openings be irradiated with the charged particle beam and letting portions of the charged particle beam respectively pass through a corresponding opening of the plurality of openings;a plurality of blankers for respectively performing blanking deflection of a corresponding beam in the multiple beams having passed through the plurality of openings of the aperture member;a blanking aperture member for blocking each beam having been deflected to be in a beam-off state by the plurality of blankers; anda deflection control means for controlling a corresponding blanker of the plurality of blankers such thata maximum irradiation time period per shot of beams of the multiple beams is divided into a plurality, being a predetermined digit number set in advance, of first irradiation time periods, each of which is calculated by multiplying a corresponding second gray scale value of a plurality of second gray scale values by a quantization unit, where the plurality of second gray scale values are gray scale values defined in decimal numbers converted from each digit value of data of binary numbers of the predetermined digit number,a plurality of second irradiation time periods, which are a part of the plurality of first irradiation time periods, are divided into a plurality of third irradiation time periods,irradiation of each beam of the multiple charged particle beams by using the plurality of third irradiation time periods and remaining undivided plurality of first irradiation time periods is divided into first irradiation steps of the plurality of third irradiation time periods and second irradiation steps of the remaining undivided plurality of first irradiation time periods, anda target object is irradiated, in order, with the multiple charged particle beams such that a plurality of groups are respectively composed of combination of at least two irradiation steps of the first irradiation steps and the second irradiation steps and the plurality of groups continue in order, for each group of a plurality of groups in the each shot of beams. |
|
description | This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2008-050873 filed on Feb. 29, 2008; the entire content of which is incorporated herein by reference. The present invention relates to a pressurized water nuclear power plant and a passive cooling and depressurization system therefor. Most light water reactors (LWRs) have a safety system such as an emergency core cooling system (ECCS). Reactors having an active component such as a pump are called “active safety reactors”. On the other hand, reactors with a safety system that has a passive component such as a tank are called “passive safety reactors”. Known as a passive safety reactor representing pressurized water reactors (PWRs) is AP1000 (see, for example, IAEA-TECDOC-1391, “Status of advanced light water reactor design 2004,” IAEA, May 2004, pp. 279-306; the entire content of which is incorporated herein by reference). FIG. 7 is a vertical cross sectional view of a containment vessel used in a conventional passive safety PWR (AP1000). In AP1000, the reactor core 1 is contained in a reactor pressure vessel (RPV) 2. The reactor pressure vessel 2 is connected to two steam generators (SGs) 3 by both a cold leg pipe 4 and a hot leg pipe 5. A reactor coolant pump (RCP) 6 is directly attached to the bottom of the steam generator 3. These devices and pipes, which constitute a reactor pressure boundary, are all contained in a containment vessel (CV) 77. The containment vessel 77 of AP 1000 is a most typical containment vessel, called “large dry CV”, for use in PWRs. The containment vessel 77 is made of steel, because it is designed to be cooled with the external air in case of an accident. Most PWR plant other than AP1000 rather use a large dry CV made of prestressed concrete. In the containment vessel, an in-containment refueling water storage tank (IRWST) 8 is provided. The in-containment refueling water storage tank 8 works as a gravity-driven cooling system if a loss-of-coolant accident occurs due to a rupture of the cold leg pipe 4 or the like. This gravity-driven cooling system in cooperation with other passive ECCS floods the lower part of the containment vessel with water to a higher level than the cold leg pipe 4. After that, it is designed that the recirc screen is opened, introducing the water always into the reactor pressure vessel 2 to cool the fuel in the reactor core safely. If the water introduced into the reactor pressure vessel 2 is heated by the decay heat of the fuel in the reactor core, steam is generated and the steam fills the gas phase of the containment vessel 77 resulting in a rise of the temperature and pressure in the containment vessel 77. A shield building 71 is built outside the containment vessel 77. A cooling water pool 72 of a passive containment cooling system (PCS) is provided on the top of the shield building 71. The cooling water pool 72 is filled with PCS cooling water 73. In case of a LOCA, the PCS cooling water 73 drains onto the containment vessel 77. Air flows into the shield building 71 through a containment cooling air inlet 74 and then a natural circulation force raises the air through the gap between an air baffle 75 and the wall of the containment vessel 77 until the air is released outside through a containment cooling heated air discharge 76 formed at the top of the shield building 71. The drainage of the PCS cooling water 73 and the natural convection of air serve to cool the containment vessel 77 in safety. In this way, AP1000 can cool the reactor core 1 and the containment vessel 77 with an extremely high reliability only by the passive safety systems requiring no external power source. FIG. 8 is a block diagram of a passive residual heat removal system and an automatic depressurization system of the AP1000. The passive residual heat removal (passive RHR) system of the AP1000 has a passive RHR heat exchanger 61. The passive RHR heat exchanger 61 is submerged in refueling water 66 stored in the in-containment refueling water storage tank 8. The in-containment refueling water storage tank 8 is arranged lower than an operating deck 90. The passive RHR heat exchanger 61 is connected to the hot leg pipe 5 via a coolant supply pipe 62. An inlet valve 63 is equipped on the coolant supply pipe 62. The passive RHR heat exchanger 61 is also connected to the cold leg pipe 4 at a position near an outlet of the steam generator 3 via a coolant return pipe 65. An outlet valve 64 is equipped on the coolant return pipe 65. The inlet valve 63 is kept open during a normal operation and coolant is constantly supplied to the passive RHR heat exchanger 61 via the coolant supply pipe 62. The outlet valve 64 is kept closed during the normal operation. The cold leg pipe 4 is connected to the reactor pressure vessel 2 so that the coolant cooled by the steam generator 3 circulates in the reactor pressure vessel 2 with a driving force of the reactor coolant pump 6. Note that the cold leg pipe 4 is shown separately on the right side and the left side in FIG. 8 for convenience. In addition, while a pair of steam generators 3 are provided in a known AP1000 as shown in FIG. 7, only a single steam generator 3 is shown in FIG. 8. During the normal operation of the power plant, since the outlet valve 64 is closed, coolant in the passive RHR heat exchanger 61 does not circulate in the reactor pressure vessel 2 through the cold leg pipe 4. However, when a transient such as a loss of offsite power or a feed-water pump trip occurs and a supply of secondary cooling water to the steam generator 3 stops, the primary coolant is heated by decay heat that is continuously generated in the reactor core 1. Then, the primary coolant passes through the hot leg pipe 5 due to the driving force of natural circulation and heats the secondary cooling water remaining in the steam generator 3. The primary coolant itself is cooled and increases its density (specific weight) in the steam generator 3 and returns into the reactor pressure vessel 2 via the cold leg pipe 4. The primary coolant that returns to the reactor pressure vessel 2 is then heated again by decay heat of the reactor core 1 and naturally circulates between the reactor pressure vessel 2 and the steam generator 3. As this process proceeds repeatedly for a while, the secondary cooling water in the steam generator 3 decreases by evaporation and it may become impossible to cool the reactor core 1. Therefore, before it becomes impossible to cool the reactor core 1, the outlet valve 64 of the passive RHR automatically opens with a level low signal of the secondary cooling water in the steam generator 3. Then, as a result, the primary coolant in the passive RHR heat exchanger 61 is driven to circulate in the reactor pressure vessel 2 through the coolant return pipe 65 and the cold leg pipe 4. Decay heat continuously generated in the reactor core 1 is transferred to the refueling water 66 stored in the in-containment refueling water storage tank 8 via the passive RHR heat exchanger 61 and the refueling water 66 will start evaporating in several hours. Evaporation of refueling water 66 may deteriorate environmental conditions of an atmosphere of the containment vessel 2 and may have adverse effects on normal facilities or equipments such as an electric component. However, the facilities and equipment that are important for safety are designed to withstand such environmental conditions. The generated steam fills up the containment vessel 77. Then, it is cooled by circulating external air and the passive containment cooling function of the PCCS pool 73. And the condensed water flows into the in-containment refueling water storage tank 8. The PCCS pool 73 contains water sufficient for removing decay heat for three days so that in principle the nuclear reactor can be safely cooled by a combination of the passive RHR and the passive containment cooling function even if a loss of AC power source continues for three days. However, the driving force of the cooling function is the natural circulation force of the primary coolant due to the decay heat generated in the reactor core 1. Therefore, an amount of removable heat is limited to an amount equivalent to the decay heat and the primary coolant cannot progressively be depressurized and cooled. Thus, if the loss of AC power source continues for a long period, the reactor pressure boundary can be kept at high temperature and high pressure about 150 atm (about 15.5 MPa). Such a condition is called a hot shutdown state of nuclear reactor. To shut down the nuclear reactor more reliably in safety, it is desirable to depressurize and cool the primary coolant. Such a condition is called a cold shutdown state of nuclear reactor. In the AP1000, if the loss of AC power source continues for more than twenty-four hours, a timer automatically activates an automatic depressurization system (ADS) in order to achieve the cold shutdown. The automatic depressurization system has four stages. The automatic depressurization system first stage 51, the automatic depressurization system second stage 52 and the automatic depressurization system third stage 53 are arranged on an upper part of pressurizer 80. The automatic depressurization system fourth stage 68 is arranged at a position same as a position where coolant supply pipe 62 branches out from the hot leg pipe 5. The pressurizer 80 is connected to the hot leg pipe 5 by a riser pipe 81. During the normal operation of the nuclear power plant, the primary coolant is stored in the pressurizer 80 to about a half of its capacity. The primary coolant 82 in the pressurizer 80 is heated to a saturation temperature by a heater during the normal operation. Therefore, saturated steam 83 exists in an upper part of the pressurizer 80. Automatic activations of the ADS is conducted sequentially with time lags from the automatic depressurization system first stage 51, the automatic depressurization system second sage 52, the automatic depressurization system third stage 53 to the automatic depressurization system fourth stage 68. When the automatic depressurization system first stage 51, the automatic depressurization system second stage 52 and the automatic depressurization system third stage 53 are activated, the saturated steam 83 in the pressurizer 80 flows through a discharge pipe 69, is discharged from the sparger 70 in the in-containment refueling water storage tank 8 and then condensed. If the reactor pressure vessel 2 is depressurized to a certain extent during this process, accumulator 84 automatically starts operation to compensate the discharged primary coolant. The driving force of the accumulator 84 is high-pressure nitrogen gas 86 stored inside. As a result, coolant 85 that is also stored inside is injected into the reactor pressure vessel 2 via an injection valve 87 and an ECCS injection pipe 54. At this time, the nitrogen gas 86 that is driving force also flows into the reactor pressure vessel 2. As the depressurization of the reactor pressure vessel 2 progresses, the automatic depressurization system fourth stage 68 is finally activated to directly discharge the primary coolant and a complete depressurization of the reactor pressure vessel 2 is taken place. After the internal pressure of the reactor pressure vessel 2 decreases sufficiently, the in-containment refueling water storage tank 8 starts injecting water into the reactor pressure vessel 2 as a gravity-driven ECCS. A cold shutdown state of the nuclear reactor is achieved at this stage of process. Then, as a result, the nuclear reactor is shut down satisfactorily in safety. However, the primary coolant that is continuously flowing out from the automatic depressurization system fourth stage 68 completely submerges a lower part of the containment vessel to the level of the cold leg pipe 4. This makes it difficult to restart the nuclear reactor for the normal power operation shortly after the AC power source becomes available. The natural circulation force due to decay heat generated by the fuel in the reactor core is employed as the driving force of the passive residual heat removal (RHR) system of conventional passive safety pressurized water reactor such as AP1000. Therefore, it is not possible to remove heat more than the decay heat and the nuclear reactor cannot be brought into a cold shutdown state. Additionally, in a passive RHR that circulates primary coolant with the natural circulation force from decay heat, it is difficult to put the heat exchanger higher than the highest level of the primary coolant (about same as the normal water level in the pressurizer). More specifically, the heat exchanger is arranged below the operating deck that is located below the normal water level in the pressurizer. If the heat exchanger is located higher than the water level of the pressurizer, coolant flows not into the heat exchanger but into the pressurizer. Therefore, the position of the heat exchanger needs to be lower than the normal water level of the pressurizer. As a result, the difference of vertical level between the heat exchanger and the reactor core is limited to as small as 10 m and it is difficult to increase an injection head due to gravity. Additionally, use of the water in the in-containment refueling water storage tank as in the passive RHR of AP1000 causes vaporization of this water and the steam may have adverse effects on normal components in the containment vessel such as the electric equipment. Furthermore, after steam generation in the containment vessel due to boiling of water in the in-containment refueling water storage tank or discharging the primary coolant into the containment vessel because of the activation of the final stage of the automatic depressurization system, it is necessary to cool the containment vessel by the passive containment cooling system (PCS). Thus, a large volume of cooling water needs to be stored in the ceiling section of the shield building. The volume of cooling water to be stored in the ceiling section of the shield building is not particularly a problem for AP1000 whose electric power output is 1,117 MWe. However, if the electric power output of the plant is about 1,700 MWe, a large volume of cooling water as about 4,500 m3 needs to be stored in the ceiling section of the shield building for continuous cooling of the containment vessel by a passive RHR. The present invention has been made to solve the above problems, and an object of this invention is to minimize the adverse effect on the equipment installed in the containment vessel during the cold shutdown of the pressurized water reactor. According to an aspect of the present invention, there is provided a passive cooling and depressurization system for a pressurized water nuclear plant having a reactor pressure vessel for containing a reactor core cooled by primary coolant, a pressurizer for pressurizing inside of a reactor pressure boundary where the primary coolant flows and a containment vessel containing the reactor pressure vessel and the pressurizer, the passive cooling and depressurization system comprising: a cooling water pool; a steam supply piping extending from the gas phase section of the pressurizer; a heat exchanger for exchanging heat between water stored in the cooling water pool and steam flowing through the steam supply piping; a steam supply valve being equipped on the steam supply piping; a coolant return pipe extending from the heat exchanger to a liquid phase of the reactor pressure boundary; and an outlet valve being equipped on the coolant return pipe. According to another aspect of the present invention, there is provided a pressurized water nuclear plant comprising: a reactor core cooled by primary coolant; a pressurizer for pressurizing inside of a reactor pressure boundary where the primary coolant flows; a containment vessel containing the reactor pressure vessel and the pressurizer; a cooling water pool; a steam supply piping extending from the gas phase section of the pressurizer; a heat exchanger for exchanging heat between water stored in the cooling water pool and steam flowing through the steam supply piping; a steam supply valve being equipped on the steam supply piping; a coolant return pipe extending from the heat exchanger to a liquid phase of the reactor pressure boundary; and an outlet valve being equipped on the cooling water return pipe. Hereinafter, embodiments of a pressurized water nuclear power plant according to the present invention will be described with reference to the drawings. The same symbols are given to same or similar configurations, and duplicated descriptions may be omitted. FIG. 1 is a block diagram of a passive cooling and depressurization system of a pressurized water nuclear power plant according to a first embodiment of the present invention. A pressurized water nuclear power plant of this embodiment has a reactor core 1 and a reactor pressure vessel 2 containing the reactor core 1. The reactor pressure vessel 2 is connected to, for example, two steam generators 3 with both a cold leg pipe 4 and a hot leg pipe 5. Reactor coolant pumps 6 for circulating primary coolant in the reactor core and the steam generators 3 are attached directly to a bottom of the generators 3. The reactor pressure boundary such as the reactor pressure vessel 2, the cold leg pipe 4 and the hot leg pipe 5, where primary coolant flows, is pressurized by a pressurizer 80. The hot leg pipe 5 is connected to the pressurizer 80 via a riser pipe 81. During a normal operation, the primary coolant is stored in the pressurizer 80 to about a half of its capacity. The primary coolant 82 in the pressurizer 80 is heated to a saturation temperature by a heater during the normal operation. Therefore, saturated steam 83 exists in an upper part of the pressurizer 80. The reactor pressure vessel 2 containing the reactor core 1 and the pressurizer 80 are contained in a containment vessel 77 (see FIG. 7). The passive cooling and depressurization system (PCDS) according to this embodiment has an in-containment refueling water storage tank 8, a passive RHR heat exchanger 61, a steam supply pipe 32, a steam supply valve 33, a coolant return pipe 65 and an outlet valve 64. The passive RHR heat exchanger 61 is arranged in the in-containment refueling water storage tank 8. The steam supply pipe 32 extends from a gas phase of the pressurizer 80 that is filled with saturated steam 83 to the passive RHR heat exchanger 61. The passive RHR heat exchanger 61 exchanges heat between the water stored in the in-containment refueling water storage tank 8 and the steam flowing through the steam supply pipe 32. Therefore, the in-containment refueling water storage tank 8 can be referred to as a cooling water pool that can store cooling water that exchanges heat in the passive RHR heat exchanger 61. The steam supply valve 33 is equipped on the steam supply pipe 32. The coolant return pipe 65 extends from the passive RHR heat exchanger 61 to the cold leg pipe 4 that is a liquid phase of the reactor pressure boundary. The outlet valve 64 is equipped on the coolant return pipe 65. The passive cooling and depressurization system of the pressurized water nuclear power plant according to this embodiment differs from the AP1000 shown in FIG. 8, for example, in that it can take saturated steam 83 in the pressurizer 80 directly to the passive RHR heat exchanger 61 and cool and condense it. That is, the coolant supply pipe 62 (see FIG. 8) for taking primary coolant and the inlet valve 63 (see FIG. 8) of the AP1000 are eliminated, and instead the steam supply pipe 32 that branches out of the gas phase of the pressurizer 80 and the steam supply valve 33 are installed. The steam supply valve 33 according to this embodiment is a control valve that can be adjustably opened. In other words, the steam supply valve 33 is a steam regulator valve that can regulate the rate of steam passing through. During the normal operation, the steam supply valve 33 is opened to such an extent that steam can be supplied at a rate equivalent to the rate of generation of decay heat. As a result, saturated steam 83 in the pressurizer 80 reaches to the passive RHR heat exchanger 61 through the steam supply pipe 32 and is cooled. The coolant (condensed water) that is cooled by the passive RHR heat exchanger 61 is then stored in the passive RHR heat exchanger 61. If a water level in the secondary part of the steam generators 3 falls since a transient such as a loss of AC power source or a loss of feed water occurs and a supply of water to a secondary part of the steam generators 3 is stopped, the outlet valve 64 that is constantly closed during the normal operation is automatically opened. Then, as a result, the coolant stored in the passive RHR heat exchanger 61 is led into the reactor pressure vessel 2 through the coolant return pipe 65 and the cold leg pipe 4 by gravity to cool the reactor core 1. The primary coolant heated by the residual heat that is constantly being generated in the reactor core 1 evaporates again in the gas phase of the pressurizer 80 and is then led to the passive RHR heat exchanger 61 through the steam supply valve 33 and the steam supply pipe 32 so as to be cooled and condensed. As this process proceeds repeatedly, the decay heat that is generated in the reactor core 1 is continuously removed. Since the steam supply valve 33 is kept open to the extent corresponding to the rate of generation of decay heat and does not allow excess steam pass more than the rate equivalent to the rate of generation of decay heat, the nuclear reactor is not unnecessarily depressurized and cooled and can maintain a hot shutdown state. If the AC power source or the supply of water is restored within a short period in this state, the nuclear reactor can be restarted to the normal operation immediately. However, on the other hand, if the AC power source is not recovered for a long period of about 24 hours, it is desired to depressurize the nuclear reactor and put it into a cold shutdown state from the viewpoint of securing safety. In such a case, the nuclear power plant having a conventional passive RHR cannot be put into a cold shutdown state by means of the passive RHR alone. Therefore, in such a nuclear power plant having a conventional passive RHR, an automatic depressurization system (ADS) needs to be activated additionally in order to bring the nuclear reactor into a cold shutdown state. If the automatic depressurization system is activated and the automatic depressurization system fourth stage 68 is finally opened, primary coolant may flow out to the bottom of the containment vessel to submerge completely to the level of the cold leg pipe 4. A nuclear power plant using the passive RHR according to this embodiment can depressurize the nuclear reactor by opening the steam supply valve 33 to a larger extent and supplying steam at a higher rate. In the case of a pressurized water nuclear power plant with an electric power output of about 1,700 MWe, the steam generation rate equivalent to decay heat is about 230 t/h. On the other hand, it is sufficient to evaporate and condense about 120 t of primary coolant in order to depressurize about 300 t of primary coolant to the cold shutdown state. Therefore, the nuclear reactor can be brought into the cold shutdown state in about an hour by opening the steam supply valve 33 to an extent corresponding to about 1.5 times of the rate equivalent to decay heat. Thus, by using the passive cooling and depressurization system of this embodiment, a nuclear reactor can be brought into the cold shutdown state without activating the automatic depressurization system. Therefore, a risk of submergence of the lower part of the containment vessel 2 can be reduced. Then, the adverse effect on the equipment installed in the containment vessel in case of the cold shutdown of the pressurized water reactor can be minimized. Therefore, if the AC power source is recovered in a long-term more than about twenty-four hours from initiation of loss of the AC power source, any significant property damage of the plant can be avoided and the plant can be restarted to a power operation within a relatively short period. If the AC power source is recovered in a short-term, the plant can be restarted immediately to the normal operation. That is, the pressurized water nuclear power plant according to this embodiment is highly reliable in terms of power supply. FIG. 2 is a block diagram of a passive cooling and depressurization system of a pressurized water nuclear power plant according to a second embodiment of the present invention. The passive cooling and depressurization system according to this embodiment has a depressurization valve 34 equipped in parallel with the steam supply valve 33 in addition to the cooling and depressurization system according to the first embodiment. The steam supply valve 33 is kept open to an adjusted extent that steam can be supplied at a rate equivalent to the rate of generation of decay heat. An orifice (not shown) may be arranged in series with the steam supply valve 33 in order to accurately limit the flow rate of steam to a rate equivalent to the rate of generation of decay heat. The depressurization valve 34 is kept closed. In such a pressurized water nuclear power plant, the steam supply valve 33 is kept open and the depressurization valve 34 is closed to maintain the nuclear reactor in the hot shutdown state. Then, the flow rate of steam passing through the steam supply pipe 32 can be increased by opening the depressurization valve 34, while the steam supply valve 33 is kept open. As a result, the nuclear reactor can be brought into a cold shutdown state. In other words, it is possible to shift the operation state of the plant by opening/closing a valve without continuously adjusting the extent of opening of the steam supply valve 33. Therefore, it is possible to select operation state of the system more reliably. In the case of design to depressurize the nuclear reactor mildly avoiding a rapid depressurization, several depressurization valves 34 may be arranged in parallel. Then, the pressure of the nuclear reactor can be gradually reduced by sequentially opening the valves to increase the number of opened valves. FIG. 3 is a block diagram of a passive cooling and depressurization system of a pressurized water nuclear power plant according to a third embodiment of the present invention. The passive cooling and depressurization system according to this embodiment has a passive cooling and depressurization system (PCDS) pool 35 and a PCDS heat exchanger 37. The PCDS pool 35 stores PCDS pool water. The PCDS heat exchanger 37 is arranged in the PCDS pool 35. In other words, the PCDS pool 35 is a cooling water pool that can store cooling water that exchanges heat in the PCDS heat exchanger 37. The steam supply pipe 32 extends from a steam phase of the pressurizer 80 that is filled with saturated steam to the PCDS heat exchanger 37. The steam supply valve 33 is equipped on the steam supply pipe 32. The coolant return pipe 65 extends from the PCDS heat exchanger 37 to the cold leg pipe 4 that is a part of the reactor pressure boundary. The outlet valve 64 is equipped on the coolant return pipe 65. The PCDS heat exchanger 37 has an upper header 38 and a lower header 39. An O-type arrangement heat exchanger tube 40 that is formed in a shape of character “O” is equipped between the upper header 38 and the lower header 39. The passive RHR heat exchanger 61 that is the heat exchanger of the passive cooling and depressurization system of the first embodiment adopts a C-type arrangement of which a heat exchanger tube is only arranged in one side. On the other hand, the O-type arrangement heat exchanger tube 40 used in the heat exchanger of the passive cooling and depressurization system of this embodiment has an advantage of a larger heat conduction area. The PCDS pool 35 is formed independently of the in-containment refueling water storage tank 8 that is used as a cooling water pool for storing cooling water of the passive cooling and depressurization system in the first embodiment. The PCDS pool 35 is located higher than the in-containment refueling water storage tank 8. For example, the PCDS pool 35 may be located above the operating deck 90. To lead the primary coolant keeping it as liquid to the heat exchanger of the passive RHR by natural circulation force, the heat exchanger needs to be located below the normal water level of the pressurizer 80 that is the highest position of the primary coolant. For this reason, in the case of the AP1000, the in-containment refueling water storage tank 8 arranged below the operating deck 90 is used as a cooling water pool of the passive cooling and depressurization system. In this case, the level difference between the highest position of the heat exchanger of the passive cooling and depressurization system and the highest position of the reactor core 1 is only about 10 m and hence it is not possible to provide a large injection head for injecting condensed water into the reactor core 1. Then, as a result, water can be injected into the reactor core only at a very low circulation flow rate that is produced by natural circulation so that the nuclear reactor cannot be brought into a cold shutdown state, although it can be put into a hot shutdowns state. To the contrary, since it is designed in this embodiment that the steam 83 in the pressurizer 80 is led into the PCDS heat exchanger 37, the position of the PCDS heat exchanger 37 is not restricted by the position of the pressurizer 80. Since steam is gas and highly mobile, if there is a difference of pressure between the inside of the pressurizer 80 and the inside of the PCDS heat exchanger 37, the difference of pressure operates as drive source for raising steam to any place, disregarding potential energy. Inside of the PCDS heat exchanger is kept depressurized and the pressure in the PCDS heat exchanger is kept much lower than the pressure in the pressurizer 80 because the supplied steam is cooled and condensed by the PCDS pool water 36 located externally. Then, as a result, saturated steam 83 in the pressurizer 80 can be smoothly led into the PCDS heat exchanger 37. Thus, the PCDS pool 35 can be arranged higher than the operating deck 90 in this embodiment. In other words, it can be arranged that at least a part of the PCDS heat exchanger 37 is higher than the liquid level in the pressurizer. As a result, the level difference between the highest position of the PCDS heat exchanger 37 and the highest position of the reactor core 1 can be as large as about 22 meters. Therefore, when the depressurization valve 34 is opened to operate in a depressurization mode, water can be immediately and smoothly injected into the reactor core 1 by gravity with a large head even if a large amount of condensed water accumulates in the PCDS heat exchanger 37. In other words, a passive cooling and depressurization system that has the PCDS pool 35 located higher than the operating deck 90 can cool and depressurize the reactor core very smoothly. FIG. 4 is a block diagram of a passive cooling and depressurization system shown with a vertical cross sectional view of a containment vessel of a pressurized water nuclear power plant according to a fourth embodiment of the present invention. The containment vessel according to this embodiment has a main containment vessel (MCV) 7 and a diaphragm 9. The main containment vessel 7 is made of reinforced concrete and is composed of a flat disk, a hollow cylinder mounted on the flat disk and a semispherical cover covering the top of the hollow cylinder. The diaphragm 9 is expanding horizontally, for example. The diaphragm 9 partitions the main containment vessel 7 into a first space and a second space. Hereinafter, the first space shall be referred to as “upper vessel 10” and the second space shall be referred to as “lower vessel 11”. In this embodiment, the upper vessel 10 is located higher than the lower vessel 11. A vacuum breaker 19 that opens when the pressure difference between the upper vessel 10 and the lower vessel 11 exceeds a preset value may be provided on the diaphragm 9. All of the equipments and piping that constitute the reactor pressure boundary are contained in the lower vessel 11. A pressure suppression chamber 12 is provided in the containment vessel. The pressure suppression chamber 12 has a suppression pool 13. The suppression pool 13 is formed to store water and stores suppression pool water 14 to water level of, for example, 5 m or more in a normal state. In this embodiment, the pressure suppression chamber 12 is provided in the upper vessel 10. The pressure suppression chamber 12 opens at, for example, the top, and communicates with the other part of the upper vessel 10. In the containment vessel, LOCA vent pipes 15 connecting the lower vessel 11 and the suppression pool 13 are provided. At the conjunction between the LOCA vent pipes 15 and the suppression pool 13, horizontal vents 16 that extend horizontally may be provided. Since the lower vessel 11 is connected to the LOCA vent pipes 15, a riser section 17, that is a circular part of the diaphragm 9 extending upward to a higher level than the level of the suppression pool water 14, is formed to avoid that the suppression pool water 14 flow down to the lower vessel 11 during normal condition. A passive containment cooling system (PCCS) building 20 is located outside the main containment vessel 7. The PCCS building 20 is formed integrally with a fuel pool building 28, for example, above the fuel pool building 28. Equipment of the passive containment cooling system 21 is provided in the PCCS building 20. The passive containment cooling system 21 has a PCCS pool 22 provided in the PCCS building 20 and a PCCS heat exchanger 24. The PCCS pool 22 is configured to store PCCS pool water 23. The PCCS heat exchanger 24 is placed to be submerged in the PCCS pool water 23. The PCCS heat exchanger 24 is connected to a suction pipe 25 of which end opens to the lower vessel 11. The PCCS heat exchanger 24 is also connected to a return pipe 26 that returns the condensed water back into the lower vessel 11. Further, a PCCS vent pipe 27 through which the noncondensable gases that are not condensed in the PCCS heat exchanger 24 are vented to the upper vessel 10 is provided. In case of an accident such as a LOCA, the steam introduced into the lower vessel 11 is guided, together with the noncondensable gases, into the PCCS heat exchanger 24 because of the pressure difference between nodes. Since the suction pipe 25 remains open all the time, no operation of opening a valve after the accident is required at all. The opening of the suction pipe 25 may be arranged at any position in the lower vessel 11 as long as it is above the water level of the submerged lower vessel 11 in case of an accident. The steam condensed in the PCCS heat exchanger 24 flows back into the lower vessel 11 through the return pipe 26, by gravity, and is utilized as a water source of the passive ECCS, such as an in-containment refueling water storage tank 8. The noncondensable gases, such as nitrogen and hydrogen, that are not condensed in the PCCS heat exchanger 24, are vented through the PCCS vent pipe 27 into the suppression pool 13 provided in the upper vessel 10. The PCCS vent pipe 27 is embedded in the wall of the main containment vessel 7, for example, from a viewpoint of arrangement efficiency. The PCCS pool water 23 is clean cooling water that is free from radioactivity even in case of an accident and the gas phase of the PCCS pool 23 communicates with the external air through an air outlet. If the PCCS pool water 23 is boiled to generate steam by heating, the steam is directly discharged to the external air that is the ultimate heat sink. Of the passive cooling and depressurization system of this embodiment, the PCDS pool 35 is located outside the main containment vessel 7. The PCDS pool 35 stores PCDS pool water 36. A PCDS heat exchanger 37 is submerged in the PCDS pool water 36. Noncondensable gas vent pipes 41 and 42 are connected respectively to the upper header 38 and the lower header 39 of the PCDS heat exchanger 37. The noncondensable gas vent pipes 41 and 42 extend to the pressure suppression pool water 14. Vent valves 43 and 44 are equipped respectively on the noncondensable gas vent pipes 41 and 42. Thus, noncondensable gases accumulated in the PCDS heat exchanger 37 can be vented into the pressure suppression pool water 14 through the noncondensable gas vent pipes 41 and 42. Since the PCDS pool 35 is located outside of the main containment vessel 7, if the PCDS pool water 36 is boiled to generate a large amount of steam, the steam can be directly discharged into the ultimate heat sink that is the external air. In case that the in-containment refueling water storage tank 8 (see FIG. 7) located in the main containment vessel 7 is used as the cooling water pool of the passive cooling and depressurization system, if the passive cooling and depressurization system is activated and the water in the cooling water pool evaporates within a few hours, the pressure and the temperature in the containment vessel increase and the environment gets deteriorated. Then, the ordinary electric equipment installed in the containment vessel can be damaged. However, in this embodiment, the steam generated as the PCDS pool water 36 evaporates is discharged into the external air. Therefore, the steam generated as a result of heat removal of the passive cooling and depressurization system does not deteriorate the environment in the containment vessel. Then, as a result, there is provided a very significant advantage from the viewpoint of reliability of power supply in that the plant can restart to the power operation immediately after the AC power source is recovered within a few hours. When the depressurization valve 34 is opened and the nuclear reactor pressure is depressurized to some extent and reaching at the set point of the accumulator 84, the injection valve 87 is automatically opened, and the coolant 85 is injected into the reactor pressure vessel 2 due to the high-pressure nitrogen gas 86 stored in the accumulator 84. At this time, the nitrogen gas 86 also gets into the reactor pressure vessel 2 and eventually reaches to the gas phase of the pressurizer 80. Then, the non-condensable nitrogen carried by the steam in the pressurizer to the PCDS heat exchanger 27. Since nitrogen gas is non-condensable gas, it is not condensed and simply stays there even if it is cooled by the PCDS heat exchanger 37. If such a situation occurred, the pressure difference between the pressurizer 80 and the PCDS heat exchanger 37 would not be maintained and the PCDS heat exchanger 37 would lose its function. However, in this embodiment, the nitrogen gas staying in the PCDS heat exchanger 37 can easily be discharged into the pressure suppression pool water 14 by opening the vent valves 43 and 44 manually whenever necessary. Even in a case that a part of the reactor core fuel is damaged and a large amount of hydrogen gas is generated due to a metal-water reaction that takes place between the metal such as a fuel cladding and the reactor water at a high temperature, the hydrogen gas can be discharged into the pressure suppression pool water 14 with a large amount of radioactive substances by opening the vent valves 43 and 44. That is, the passive cooling and depressurization system according to this embodiment can satisfactorily carry out its safety function even if a large amount of hydrogen gas is generated. Additionally, in this embodiment, the large amount of radioactive substances generated in the reactor can be removed by scrubbing in the pressure suppression pool water 14 to prevent the inside of the containment vessel, the inside of the lower vessel 11 in particular, from being contaminated by the radioactive substances. In this embodiment, the PCCS pool 22 of the passive containment cooling system 21 for cooling the containment vessel at an accident is located outside the main containment vessel 7. Therefore, the PCCS pool 22 and the PCDS pool 35 can be integrally formed as a circle along the side wall of the main containment vessel 7. It can be configured that the pool water of the two pools communicates with each other by providing a communication pipe (not shown) instead of integrating the pools. The passive containment cooling system 21 cools the main containment vessel 7 if a large amount of primary coolant is discharged into the main containment vessel 7 due to a pipe rupture accident or other reason. On the other hand, the passive cooling and depressurization system cools the nuclear reactor when the reactor is isolated since no accident such as a pipe rupture has been occurred and a large amount of the primary coolant is not discharged into the main containment vessel. Therefore, the passive containment cooling system and the passive cooling and depressurization system are not activated at the same time. In other words, it is not necessary to independently provide PCDS pool water 36 and PCCS pool 22. Therefore, the total amount of cooling water can be reduced by utilizing the PCDS pool water 36 and the PCCS pool water 23 in common. The total volume of cooling water is about 4,500 m3 to cool the containment vessel of about 1,700 MWe class of a nuclear power plant continuously for three days. Since the PCDS pool 35 and the PCCS pool 22 are located on a lower floor adjacent to the side wall of the main containment vessel 7 in this embodiment, it is not necessary to store a large amount of cooling water on the ceiling of the shield building. Therefore, it is easy to design the building as earthquake-proof and to provide countermeasures against aircraft crashes, and so the plant security is greatly improved. As described above, in the nuclear power plant according to this embodiment, the nuclear reactor can be safely shutdown for three days only by passive components. Therefore, if a loss of the AC power source is prolonged for a long period due to a huge earthquake, the safety of the plant can be maintained without relying on external power and external active cooling systems. FIG. 5 is a block diagram of a passive cooling and depressurization system shown with a vertical cross sectional view of a containment vessel of a pressurized water nuclear power plant according to a fifth embodiment of the present invention. In the containment vessel according to this embodiment, the pressure suppression chamber 12 is located outside the main containment vessel 7. The lower vessel 11 and the pressure suppression pool 13 communicate with each other through an LOCA vent pipe 15. The gas phase of the pressure suppression chamber 12 communicates with the upper vessel 10 through a gas phase vent pipe 29. Thus, a large space is provided as part of the containment vessel and isolated by the diaphragm 9, and this large space (upper vessel 10) communicates with the pressure suppression chamber 12 through the gas phase vent pipe 29. With this arrangement, the space in the upper vessel 10 is utilized as a de facto gas phase of the pressure suppression chamber 12. Also in this embodiment, the PCCS building 20 is constructed integrally on the pressure suppression chamber 12. The passive containment collecting system 21 is located in the PCCS building 20 so that the containment vessel can be cooled with high reliability in case of an accident. The PCCS pool 22 of the passive containment cooling system 21 for cooling the containment vessel in case of an accident is located outside the main containment vessel 7. The PCCS pool water 23 is clean cooling water that is free from radioactivity even in case of an accident and the gas phase of the PCCS pool 23 communicates with the external air through an air outlet (not shown). If the PCCS pool water 23 is boiled to generate steam by heating, the steam is directly discharged to the external air that is the ultimate heat sink. The pressure suppression pool water 14 is located lower than the PCDS pool 35. With this arrangement, the following advantage can be attained that the non-condensable gas accumulated in the PCDS heat exchanger 37 is smoothly discharged into the pressure suppression pool water 14 by gravity even if the gas partly accompanies some coolant when the vent valves 43 and 44 are opened to vent the non-condensable gas. FIG. 6 is a block diagram of a passive cooling and depressurization system shown with a vertical cross sectional view of a containment vessel of a pressurized water nuclear power plant according to a sixth embodiment of the present invention. In the containment vessel according to this embodiment, as the fifth embodiment, the pressure suppression chamber 12 is located outside the main containment vessel 7. The lower vessel 11 and the pressure suppression pool 13 communicate with each other through an LOCA vent pipe 15. The gas phase of the pressure suppression chamber 12 communicates with the upper vessel 10 through a gas phase vent pipe 29 (see FIG. 5). The passive containment cooling system (PCCS) pool 22 and the PCCS heat exchanger 24 are provided in the upper vessel 10. The PCCS pool water 23 is clean cooling water that is free from radioactivity even in case of an accident and the gas phase of the PCCS pool 23 communicates with the external air through an air outlet (not shown). If the PCCS pool water 23 is boiled to generate steam by heating, the steam is directly discharged to the external air that is the ultimate heat sink. The PCDS pool 35 is located in the upper vessel 10. The PCDS pool water 36 is clean cooling water that is free from radioactivity even in case of an accident and the gas phase of the PCDS pool 35 communicates with the external air through an air outlet. If the PCDS pool water 36 is boiled to generate steam by heating, the steam is directly discharged to the external air that is the ultimate heat sink. In other words, the PCDS pool 35 is located in the upper vessel 10 but it is an external cooling pool that is isolated from the other space in the upper vessel 10 and communicates with the external air. Since both the PCCS pool 22 and the PCDS pool 35 are located in the main containment vessel 7, these systems that are important for safety are protected very firmly against aircraft crashes. The pressure suppression chamber 12 is located at the lowermost level outside of the main containment vessel 7. Therefore, it can be completely protected against aircraft crashes by being buried in the ground. Thus, all the facilities that are essential to the safety of the nuclear power plant can be contained in the containment vessel enclosure or buried in the ground, the nuclear power plant is highly safe and protected against aircraft crashes. In FIG. 6, the steam supply pipe 32, the coolant return pipe 65 and the noncondensable gas vent pipes 41 and 42 are extending in the wall of the main containment vessel 7 from a view point of arrangement efficiency, they may extend inside or outside the containment vessel main body 7. Above mentioned embodiments are just examples, and the present invention is not limited to these. Also each feature of the embodiments can be combined together. |
|
description | The present invention will now be described with reference to the drawings wherein like reference numerals are used to refer to like elements throughout. The invention provides methods and apparatus for accelerating ions in an ion implantation system. An ion accelerator is provided, comprising a plurality of energizable electrodes energized by a selectively variable frequency RF system, in order to accelerate ions from an ion source. The RF system in the illustrated implementations comprises a variable frequency RF power source and an associated variable frequency resonator allowing the ion accelerator to be adapted to accelerate a wide range of ion species to desired energy levels for implantation onto a workpiece. The single adjustable power source reduces the cost and complexity of the ion accelerator and associated controls compared with conventional accelerators, as well as reducing the size thereof. The invention further includes a method for accelerating ions in an ion implantation system, which provides performance and cost advantages over conventional methodologies. In order to provide context for various features of the invention, a brief discussion of a conventional interconnection of an RF power source, resonator, and energizable electrode in a linear accelerator module (e.g., modules 28a-28n of FIG. 1) is now provided. Referring now to FIG. 2, a conventional resonator circuit 100 is illustrated which includes an inductor coil L connected in parallel with a resistance RL and a capacitance Cs. An energizable electrode 108 is connected to the inductor L. The electrode 108 is mounted between two grounded electrodes 112 and 114 such that energizing the electrode 108 creates alternating electric fields in the gaps between the electrodes 108 and 112. The alternating electric fields, in turn, accelerate particles in the ion beam 110 in a controlled fashion. The capacitance Csrepresents the stray capacitance of the energizable electrode 108, and the resistance RL represents the losses associated with the resonant circuit comprising the inductor L and the capacitance Cs. The values for the capacitance Cs and the inductor coil L are selected to form a low loss (high Q) resonant or xe2x80x9ctankxe2x80x9d circuit 100, wherein each accelerator module in a linear accelerator system of the type shown in FIG. 1 resonates at the same frequency. The capacitance Cs is adjustable in a limited range to allow tuning of the resonator to resonate at the fixed frequency of a power source 116, such as to compensate for temperature effects on the tank circuit 100. The radio frequency (RF) power source 116 is capacitively coupled to a high voltage end of the coil L via a capacitor Cc in order to energize the resonator circuit 100 with RF energy at a certain fixed frequency. Such a fixed-frequency amplifier 116 is associated with each resonator circuit 100 in the ion accelerator system of FIG. 1. As described above, the single energizable electrode-single amplifier configuration in the system of FIG. 1 provides adjustability if desired with respect to the relative phase in successive accelerating stages, but does not allow variation in the frequency of the alternating electric field in the accelerating gaps. Furthermore, the single energizable electrode-single amplifier configuration requires significant system space, extra components, and increased system and control complexity. Referring now to FIG. 3, a portion of an ion accelerator 228 is illustrated, having single energizable electrode accelerating stages 228a through 228n (e.g., where n is an integer), where two such stages, 228a and 228b are illustrated. A DC ion beam 224a is provided to the accelerator 228 (e.g., from an upstream mass analyzer magnet, not shown), along a beam path 226. The DC beam 224a is passed through an entrance aperture 230 having an opening 232 along the path 226. The beam 224a is formed into a generally cylindrical transverse profile (not shown) via two electrostatic quadrupole devices 234 and corresponding grounded electrodes 236, wherein the grounded electrodes 236 each comprise a cylindrical aperture 238 located along the path 226. Each of the accelerating stages or modules 228n further accelerates ions from the beam 224 beyond the energies they achieve from prior modules. The accelerating stage 228a comprises a pair of grounded electrodes 246 located before and after an energizable electrode 248 along the path 226, where the energizable electrode 248 may be energized by an associated RF energy source or amplifier and resonator (not shown) in order to achieve acceleration of ions within the beam 224a along the beam path 226. The grounded electrodes 246 are generally equally spaced from the energizable electrode 248 to provide first and second generally equal accelerating gap lengths 250a and 250b therebetween. Similarly, the second accelerating stage 228b comprises a first grounded electrode 256 located along the path 226 upstream of a second energizable electrode 258 and a second grounded electrode (not shown) downstream of the energizable electrode 258 along the path 226. Focusing electrostatic quadrupoles 234 may be provided along the path 226 between successive accelerating stages (e.g., between first and second accelerating stages 228a and 228b) in order to provide radial focusing of the beam 224 as it travels through successive accelerating stages 228n. The accelerator 228 may comprise further accelerating stages or modules (not shown), whereby an accelerated ion beam 224b may be generated at an energy level higher than that of the DC beam 224a provided to the accelerator 228. The resulting accelerated beam 224b, moreover, may attain a generally cylindrical transverse profile as a result of the accelerating stages 228n and the quadrupoles 234 along the beam path 226. Referring also to FIG. 4, a perspective view of another portion of the ion accelerator 228 is illustrated having several single energizable electrode, double gap, accelerating stages 228a through 228n, four of which (e.g., stages 228a, 228b, 228c, and 228n) are illustrated, wherein intervening radial focusing devices are omitted for the sake of clarity. The third and nth accelerating stages 228c and 228n include energizable electrodes 268 and 278, as well as grounded electrodes 266 and 276, respectively. The single energizable electrode, double gap accelerating stages 228a, 228b, 228c, and 228n, each comprise an associated, fixed-frequency, RF amplifier 242, 252, 262, and 272 and RF resonator 244, 254, 264, and 274, respectively. The amplifiers 242, 252, 262, and 272 provide fixed-frequency power to the electrodes 248, 258, 268, and 278 via the resonators 244, 254, 264, and 274 in a controlled fashion, for example, according to control signals from a control system 280. In this regard, the control system 280 may provide for control of the relative phasing and amplitude of the power supplied to the energizable electrodes 248, 258, 268, and 278, for example, by adjusting the amplitudes via the amplifiers 242, 252, 262, and 272 and the phases via the resonators 244, 254, 264, and 274. It will be noted at this point that while adjustment of the various amplitudes and relative phasing of the RF energy applied to the energizable electrodes 248, 258, 268, and 278 allows the ion accelerator 228 to be tuned or adapted to accelerate a variety of ion species at a variety of energy levels, the accelerator 228 includes a large number of components, many of which need to be properly tuned or adjusted in order to achieve an overall tuned system. Thus, while the accelerator 228 is flexible, the flexibility adds cost and complexity to the accelerator 228 and an ion implantation system employing the accelerator 228. Referring briefly to FIG. 5, a multiple energizable electrode ion accelerator 300 may be provided in accordance with one aspect of the invention, in order to reduce the size and cost of ion implantation systems. The exemplary accelerator 300 comprises a plurality of n energizable electrodes 302a, 302b, 302c, through 302n (wherein n is an integer) positioned along an ion beam path 304. Constant potential (e.g., grounded) electrodes 304u, 304v, 304w, 304x, and 304z are positioned before and after the energizable electrodes so as to create a plurality of generally equal accelerating gaps 306 between adjacent energizable electrodes 302a-302n and constant potential electrodes 304u-304z. The electrodes 302a, 302b, 302c, through 302n are energized by a fixed frequency RF amplifier 310 as well as resonator 312 according to a control system 320. Although the accelerator 300 may provide some measure of cost and size reduction through employment of multiple energizable electrodes (e.g., 302a, 302b, 302c, through 302n) and more than two accelerating gaps 306, the range of adjustment with respect to various ion species and energy levels may be significantly less than that of the accelerator 228. According to another aspect of the invention, further improvement in cost, size, and flexibility is provided via the employment of a plurality of energizable electrodes (e.g., with greater than 2 associated accelerating gaps) in association with a single variable frequency RF system. Referring now to FIG. 6, an exemplary multiple-electrode ion accelerating stage 400 is illustrated for accelerating ions traveling along a beam 402 path. The accelerating stage 400 comprises a plurality of energizable electrodes 404a, 404b, 404c, through 404n (e.g., where n is an integer) spaced from one another in series along the path 402. Interleaved between adjacent energizable electrodes are a plurality of constant potential (e.g., grounded) electrodes 406i, 406j, 406k, through 406y and 406z arranged along the path 402 with at least one constant potential electrode (e.g., electrodes 406j, 406k, through 406y) located between each adjacent pair of energizable electrodes 404a, 404b, 404c, through 404n. A first constant potential electrode 406i is located upstream of the electrodes 404 along the path 402 (e.g., between the electrodes 404a through 404n and an entrance end 410 of the accelerating stage 400), and a second constant potential electrode 406z is located downstream of the electrodes 404 (e.g., between the electrodes 404a through 404n and an accelerator exit end 412). The constant potential electrodes 406i through 406z are spaced from adjacent energizable electrodes 404a through 404n so as to define generally equal accelerating gaps 420 therebetween. A variable frequency RF system is provided with a variable frequency RF power source 430 electrically connected with the energizable electrodes 404a through 404n via a variable frequency resonator 432, whereby an alternating potential of a controlled frequency and amplitude may be applied to the energizable electrodes 404a through 404n in order to create alternating electric fields in the accelerating gaps 420 in a controlled fashion. The frequency and/or amplitude of the alternating fields in the gaps 420 (e.g., as well as the relative phasing thereof with respect to other ion implantation system components, such as additional accelerating stages) may be adjusted via a control system 440, whereby ions are accelerated through the accelerating stage 400 along the path 402. The employment of a single RF power source 430 and associated RF resonator 432 significantly reduces the size and cost of the accelerating stage 400 (e.g., compared with that of conventional accelerator 228 and the exemplary accelerator 300 of FIG. 5). Although the power source and resonator 430 and 432, respectively, may be of higher power rating than the individual supplies 310 and resonators 312, respectively, of FIG. 5, a single high power power source 430 is typically smaller in physical size (e.g., and less costly) than a plurality of dedicated (e.g., lower power rating) amplifiers 310. The same is true of the single (e.g., high power rating) resonator 432. Thus, the size and cost of the accelerating stage 400 are reduced. In addition, the complexity of the accelerating stage 400 (e.g., as well as that of the control system 440) is significantly lower than that of the accelerators 228 and 300 illustrated and described above. Thus, it is relatively easy to tune or optimize the accelerating stage 400 for accelerating ions of a particular species and a particular energy. It will be noted that whereas such tuning of the exemplary systems 228 and 300 required adjustment of a large number of amplifiers and resonators, that tuning the control system 440 associated with the exemplary accelerating stage 400 involves only the adjustment of the frequency and/or amplitude of a single power source 430 and resonator 432. Additionally, the control system may further adjust the phasing of the RF power from the power source 430 with respect to other system components (e.g., other accelerating stages) as needed. Moreover, the frequency range of the power source 430 provides for a wide range of support for different ion species and associated energy levels. This adjustability or flexibility of the accelerating stage 400 has been found by the inventors to match or exceed that of conventional ion accelerators (e.g., accelerator 228). For example, the adjustment of electric field frequency in the accelerating gaps 420 via the variable frequency power source 430 and resonator 432 provides for generally consistent accelerator efficiency for various particle species typically implanted in ion implantation systems. Prior systems (e.g., accelerator 228), although flexible, may not be able to achieve such efficiencies across many species types and energies, due to difficulty in adjustment of the numerous variables in such systems and limitations in the sophistication of available control systems. In addition, any individual accelerator module of the fixed-frequency accelerator 228 is necessarily optimized for only one design species and energy, and while other species and energies may be provided therewith, the acceleration efficiency is less than optimal for those other species and/or energies. The exemplary accelerating stage 400, on the other hand, provides for resonance at a plurality of operating frequencies, thereby ensuring tunability (e.g., and ease thereof, even using relatively simple controls) and predictable efficiency. For instance, the variable frequency power source 430 and resonator 432 may be designed to operate in a frequency range between one and about ten times a reference frequency. In one implementation, a range of between about 4 MHz and 40 MHz is contemplated, in order to support a wide range of typically used implant species. Thus, in addition to the cost and size improvements resulting from the use of multiple energizable electrodes 404, the exemplary accelerating stage 400 achieves further cost and size improvements associated with the elimination of numerous power sources and resonators. Moreover, no adjustment flexibility is sacrificed, as may be the case in the accelerator 300 of FIG. 5. Indeed, the inventors have found that the accelerating stage 400 may achieve greater adjustment flexibility than conventional systems (e.g., accelerator 228), in addition to the cost, size, and complexity improvements described above. Although the energizable electrodes 404 and grounded electrodes 406 of the exemplary ion accelerator 400 are illustrated in FIG. 6 as having roughly equal lengths, the lengths of the various electrodes may be designed for improved ion acceleration performance. Thus, according to another aspect of the invention, the electrode lengths may increase from the entrance end to the exit end of the accelerating stage. One implementation of this feature is illustrated in FIG. 7, wherein an exemplary accelerator 470 includes eight energizable electrodes A1, A2, A3, A4, A5, A6, A7, and A8 spaced along a beam path 472 between a buncher stage 474, and a radial focusing device 476 at the exit end of the accelerator 470. The buncher stage 474 may be operatively connected to an associated variable frequency RF power source and resonator (not shown) to energize an energizable electrode thereof in order to provide bunched ions to the energizable electrodes A1, A2, A3, A4, A5, A6, A7, and A8 in the accelerating stage downstream along the path 472. Two matching quadrupole focusing devices 478A and 478B are located along the path 472 between the buncher stage 474 and the first energizable electrode A1. Constant potential or grounded electrodes G1, G2, G3, G4, G5, G6, G7, G8, and G9 are interleaved between the energizable electrodes A1-A8 along the path 476, with the first grounded electrode G1 located upstream of the first energizable electrode A1, and with the last grounded electrode G9 located downstream of the final energizable electrode A8. The grounded electrodes G1-G9 may, but need not, include radial or transverse focusing devices, such as electrostatic or magnetic quadrupoles (not shown) in order to provide radial focusing of an ion beam traveling along the path 472. The energizable electrodes A1-A8 each extend radially toward the beam path 472 from a support member 479 which extends generally parallel to the beam path 472 between the matching quadrupole 478B and the focusing device 476. The support member 479 includes a pair of vertically extending support members 480A and 480B providing mechanical support for the energizable electrodes A1-A8 and the support member 479, as well as providing for electrical connection thereof with a variable frequency RF system (not shown) to energize the electrodes A1-A8. Although the exemplary accelerator 470 includes two such vertical members 480, any number of such members may be included in order to provide support as well as to reduce voltage differentials between energizable electrodes A1-A8. The energizable electrodes A1-A8 as well as the grounded electrodes G1-G8 include passages or drift tubes through which ions travel along the beam path 472. For improved acceleration efficiency, the lengths of the various electrodes A1-A8 and G1-G8 and the length of the gaps therebetween may be designed such that ions along the path 472 travel from the center of one electrode gap to the center of the next gap in one half cycle of the RF energy being applied to the energizable electrodes A1-A8. As such ions are accelerated in successive accelerating gaps along the beam path 472, the lengths of the drift tubes and the center-to-center spacing thereof may be advantageously increased in order to facilitate the provision of energy at the appropriate phase as the particles are further accelerated from gap to gap. Thus, whereas accelerators having fixed frequency RF amplifiers and resonators employ phasing adjustment between successive energizable electrodes to improve efficiency (e.g., to thereby adjust the relative phase of electric fields within successive accelerating gaps), the use of a variable frequency RF power source according to the present invention provides appropriate phase advance as ions travel from one accelerating gap to the next, without the need for independent phase control, thereby making the overall system simpler to adjust. In this regard, acceleration efficiency will be maximum for an ion with a certain velocity such that the RF phase changes by 180 degrees as the ion travels from the center of the first accelerating gap (e.g., the gap between an energizable electrode A and a grounded electrode G) to the center of the second gap, and so on through successive gaps along the path 472. The provision of a variable frequency power source according to the present invention facilitates achievement of optimal or improved acceleration efficiency for a wide range of ion species according to the operational frequency range of the power system. For instance, an RF system having an operating range of approximately 4-40 MHz has been found to provide for significantly improved acceleration efficiency for ion species of interest compared with prior fixed frequency accelerator designs having only phase adjustment at a fixed frequency. In the exemplary accelerator 470 of FIG. 7, the drift tube lengths are illustrated for the exemplary energizable electrodes A1-A8 as well as for the grounded electrodes G1-G8, with each subsequent electrode having a longer drift tube length than the previous electrode. In this exemplary design, the center to center spacing of the accelerating gaps L is roughly equal to the design particle velocity divided by twice the RF frequency, such that particles travel from one gap to the next in roughly 180 degrees of the RF cycle, wherein the design velocity is the particle velocity as it drifts through the drift tube. Thus, for an accelerator (e.g., accelerator 470) having an integer number n drift tubes (e.g., wherein n=1, 2, . . . , N), each with a peak RF potential Vrf and an injector voltage Vi (e.g., the voltage at which ions are injected into the accelerator), the drift tube gap to gap lengths Ln of the energizable electrodes (e.g., electrodes A1-A8) may be determined by the following equation: Ln=fxe2x88x921[xc2xd(q/m)(Vi+(2nxe2x88x921)Vrf cos xcfx86)]xc2xdxe2x80x83xe2x80x83(1) where q is the charge of the particle, m is the mass, and xcfx86 is typically +/xe2x88x92 30 degrees such that cos xcfx86 is xc2xd[3]xc2xd. In addition, for the grounded electrodes (e.g., electrodes G2-G8 interleaved between the energizable electrodes A1-A8), the gap to gap distances Lg may be determined by the following equation: Lg=fxe2x88x921[xc2xd(q/m)(Vi+2nVrf cos xcfx86)]xc2xdxe2x80x83xe2x80x83(2) The gap lengths and the drift tube lengths are illustrated for the exemplary accelerator 470 in FIG. 7, wherein the dimensions are in millimeters. The final beam energy E may be expressed by the following equation: E=q(Vi+2NVrf cos xcfx86).xe2x80x83xe2x80x83(3) In the exemplary implementation of FIG. 7, the design values of frequency (fD), charge to mass ratio (q/m)D, peak RF voltage (VrfD), and injection energy (ViD) may be employed such that the drift tube lengths and final energy are determined according to the following equations: Ln=fDxe2x88x921[xc2xd(q/m)D(ViD+(2nxe2x88x921)VrfD cos xcfx86)]xc2xdxe2x80x83xe2x80x83(4) ED=q(ViD+2NVrfD cos xcfx86).xe2x80x83xe2x80x83(5) The resultant operation for the drift tubes under other conditions may require the following scaling, wherein xcex1 is less than or equal to 1: Vi=xcex1ViD;xe2x80x83xe2x80x83(6) xe2x80x83Vrf=xcex1VrfD;xe2x80x83xe2x80x83(7) [(q/m)xcex1]xc2xd/f=[(q/m)D]xc2xd/fD;xe2x80x83xe2x80x83(8) and E=xcex1EDq/qD.xe2x80x83xe2x80x83(9) Accordingly, for a given charge to mass ratio q/m, the designed energy ED may be achieved at an operating frequency fmax given by the following equation: fmax=fD[(q/m)/(q/m)D]xc2xd.xe2x80x83xe2x80x83(10) In addition, lower energies may be obtained by reducing the voltages linearly and scaling the frequency according to the following equation: f=xcex1xc2xdfmax.xe2x80x83xe2x80x83(11) Referring now to FIG. 8A an exemplary normalized voltage vs. frequency plot 482 is illustrated for various ion species (e.g., Sb++, P+, P++, B+, and P+++). The resulting curves were obtained for a design species of B+. In FIG. 8B, an exemplary plot 483 of normalized power vs. frequency is illustrated for Sb++, P+, P++, B+, and P+++ ion species. Further in accordance with the invention, FIGS. 9A and 9B illustrate an ion accelerator system 485 with an exemplary variable frequency coaxial resonator 486 and an accelerating stage 487 (e.g., similar to the exemplary accelerating stage 470 of FIG. 7) for accelerating ions along a beam path 488. The resonator 486 may be advantageously employed in association with a variable frequency RF power source (not shown), whereby the resonator provides a wide range of resonant frequency adjustment substantially corresponding with that of the power source (e.g., from one to ten times a given frequency). In the exemplary resonator 486, a shunt 490 is movable in the direction of arrow 492 in order to tune the resonator to a desired operating frequency. For example, the resonator 486 may provide for controllable frequency adjustment in the range of about 4-40 MHz. According to another aspect of the invention, the exemplary accelerating stage 400 may be incorporated into an ion implantation system 410, as illustrated in FIG. 10. In this regard, the exemplary control system 440 may be operable to control the accelerating stage 400 as well as other system components. The system 410 includes a terminal 412, a beamline assembly 414 (e.g., including the exemplary accelerating stage 400), and an end station 416. The terminal 412 operates in similar fashion to the terminal 12 of FIG. 1, and includes an ion source 420 powered by a high voltage power supply 422. The ion source 420 produces an ion beam 424, which is provided to the beamline assembly 414. The ion beam 424 is then directed toward a target wafer 30 in the end station 416. The ion beam 424 is conditioned by the beamline assembly 414, which comprises a mass analysis magnet 426 and the accelerating stage 400. The mass analysis magnet 426 passes only ions of an appropriate charge-to-mass ratio to the accelerating stage 400. Referring now to FIG. 11, the invention further contemplates the provision of two or more such accelerating stages in an ion implantation system. The inventors have appreciated that the employment of multiple variable frequency accelerator stages or modules rather than one module may alleviate the RF design and control requirements in some applications. For instance, in an ion implantation system designed to operate over a wide range of final or output ion energies, only the first module would be used for lower range energies, with one or more additional accelerating stages turned on to achieve higher energies. Thus, an implanter designed to deliver, for example, 100 keV to 1600 keV singly charged ions in the mass range of 5-45 AMU, with a maximum injection energy (e.g., ion energy entering the accelerator) of 100 keV may be built using multiple variable frequency accelerating stages according to the invention. If such an accelerator were built as a single module, the range of frequency tunability would be a factor of 12, while the range of electrode voltage control would be a factor of about 16. A typical two stage design would reduce the required frequency range to a factor of 6 and the required electrode control range to a factor of 4. In this example, only the first module would be turned on to achieve energies in the range of 100 keV to 400 keV. For higher energies, both modules would be on. Each module would require its own tunable resonator and RF power system. The second and subsequent modules would always be phase locked to the first module and would operate at the same frequency as the first module or a harmonic thereof, though relative phase of modules may be adjustable. In FIG. 11, an example of such a system 410a is illustrated, which comprises two accelerating stages 410a and 410b in a beamline assembly 414a, each of which includes a plurality of energizable electrodes 404 and grounded electrodes 406 along the path of the ion beam 424. The accelerating stages 404a and 404b are individually associated with variable frequency RF power sources 430a and 430b, respectively, as well as variable frequency resonators 432a, and 432b, respectively. The operating frequencies in the individual stages 404a and 404b may be the same or one may be set to a harmonic of the other. Furthermore, a variable frequency buncher stage (not shown) may be provided upstream of the initial accelerating stage 404a in the accelerator, which may also be operated at the accelerating stage frequency or a harmonic thereof. Moreover, the relative phasing between the accelerating stages 404a and 404b (e.g., and that of an upstream variable frequency buncher stage) may be controlled, and further may be adjustable. The setting of such relative phasing may be accomplished by any appropriate means, including the control system 404a. A control system 440a may be operable to control the frequencies and amplitudes of the respective power sources 430a and 430b and resonators 432a, and 432b to affect a desired net acceleration of the beam 424 through the beamline assembly 414a, as well as the relative phasing of the energy applied to the stages 404a and 404b. In addition, the control system 440a may further be operative to control other system components, such as the ion source 420, the power supply 422, the mass analysis magnet 426, and/or the end station 416. It will be appreciated in this regard, that any number of such accelerating stages 404n (e.g., where n is an integer) may be provided in an ion implantation system in accordance with the invention. The employment of multiple variable frequency accelerating stages may provide several operational advantages over conventional ion implantation systems and accelerators. For instance, the individual RF systems (e.g., power source 430a and resonator 432a, and/or power source 430b and resonator 432b) in FIG. 11 may be operable in a somewhat smaller frequency range than that of the RF system (amplifier 430 and resonator 432) of FIG. 10, while providing the capability of accelerating the same range of ion species and energy levels. In this regard, the first stage 404a could be employed in accelerating a first (e.g., lower) ion energy range while the second stage 404b is de-energized. Within this first stage, the frequency and voltage provided by the amplifier 430a and resonator 432a can be adjusted according to desired final particle energies within the range. A second (e.g., higher) particle energy range could also be accommodated by energizing both the accelerating stages 404a and 404b, with appropriate adjustments to the frequencies and voltages of the corresponding RF systems. A further aspect of the invention provides for combining one or more of the accelerating stages (e.g., stages 400) with an ion buncher stage in an ion accelerator. Referring now to FIG. 12, another exemplary ion implantation system 410b is illustrated having a single accelerating stage 400 in a beamline assembly 414b, preceded along the path of the beam 424 by an ion buncher 450. The buncher stage has a variable frequency buncher power source 460 and a variable frequency resonator 462 associated therewith to facilitate bunching of ions from the ion source 420. The bunched ions are then provided to the accelerating stage 400 for acceleration thereof to a desired energy prior to implantation on the workpiece 30. The buncher power source 460 and resonator 462 may be operated at the accelerating stage frequency or a harmonic thereof. Moreover, the relative phasing between the accelerating stage 400 and the variable frequency buncher stage 450 may be controlled, and also may be adjustable. The setting of such relative phasing and other control functions in the system 410b may be accomplished by any appropriate means, including a control system 440b. The control system 440b may be adapted to control operation of both the exemplary accelerating stage 400 as well as other components in the ion implantation system 410b, including the ion buncher 450, buncher power source 460, and the buncher resonator 462. It will be appreciated that such an implantation system 410b may further comprise additional accelerating stages 400 positioned along the path of the ion beam 424 in accordance with the present invention. The present invention finds application in a variety of forms, including those illustrated and described herein, and others not illustrated. For instance, as illustrated in FIG. 14, an accelerating stage 600 may be provided in an implantation system 610 with a variable frequency RF power source 630 and a corresponding variable frequency resonator 632 where the accelerating stage 600 comprises energizable electrodes spaced along a beam path, which are energized by the RF system. As with the other implantation systems illustrated herein, the system 610 comprises a terminal 612, a beamline assembly 614, and an end station 616. The terminal 612 includes an ion source 620 powered by a high voltage power supply 622. The ion source 620 produces an ion beam 624, which is provided to the beamline assembly 614. The ion beam 624 is then directed toward a target wafer 30 in the end station 616. The ion beam 624 is conditioned by the beamline assembly 614, which comprises a mass analysis magnet 626 and the accelerating stage 600. The mass analysis magnet 626 passes only ions of an appropriate charge-to-mass ratio to the accelerating stage 600. The accelerating stage 600 comprises interleaved RF energizable electrodes driven 180 electrical degrees apart in phase via the power source 630 and the resonator 632, whereby push-pull accelerating fields are generated in the accelerating gaps therebetween, without any grounded or constant potential electrodes interposed therebetween. Thus, in the accelerating stage 600, a plurality of first energizable electrodes 604a, 604c, and 604n are energized via connection with a first (e.g., xe2x80x9c+xe2x80x9d) terminal of the resonator 632 and one or more second energizable electrodes 604b, 604d, and 604n are energized via a second (e.g., xe2x80x9cxe2x88x92xe2x80x9d) terminal thereof. In this manner, for instance, a 180 degree phase relationship is provided between adjacent energizable electrodes along the path of the beam 624. Another aspect of the invention provides a method for accelerating ions in an ion implantation system. An exemplary method 500 is illustrated in FIG. 13. Although the exemplary method 500 is illustrated and described herein as a series of steps, it will be appreciated that the present invention is not limited by the illustrated ordering of steps, as some steps may occur in different orders and/or concurrently with other steps apart from that shown and described herein, in accordance with the invention. In addition, not all illustrated steps may be required to implement a methodology in accordance with the present invention. Moreover, it will be appreciated that the method 500 may be implemented in association with the apparatus and systems illustrated and described herein as well as in association with other systems not illustrated. In accordance with the method 500, a DC ion beam is received at step 502. The ion beam may be supplied, for example, by an ion source, such as source 420 of FIG. 12, and may be conditioned in a mass analysis magnet 426. Thereafter, the beam may be bunched (e.g., using an ion buncher 450) at step 504. The bunched ions are provided to one or more energizable electrodes (e.g., energizable electrodes 404) along a path at step 506. An alternating potential is applied to the energizable electrodes at step 510 using a variable frequency RF system (e.g., power source 430 and associated resonator). The frequency of the power source may be adjusted at step 508, as needed, in order to provide the desired acceleration of the ions. The provision of a plurality of energizable electrodes and the energization thereof using a variable frequency RF power source at step 510 provides significant advantages over acceleration techniques employed in conventional ion implantation systems. Furthermore, it will be appreciated that the tuning of an ion implantation system for a specific ion species and/or specific energy is greatly simplified by the invention, whereby the adjustment of the frequency of operation at step 508 may provide for such tuning. Although the invention has been shown and described with respect to a certain aspects and implementations, it will be appreciated that equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In particular regard to the various functions performed by the above described components (assemblies, devices, circuits, systems, etc.), the terms (including a reference to a xe2x80x9cmeansxe2x80x9d) used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (i.e., that is functionally equivalent), even though not structurally equivalent to the disclosed structure, which performs the function in the herein illustrated exemplary implementations of the invention. In this regard, it will also be recognized that the invention includes a computer-readable medium having computer-executable instructions for performing the steps of the various methods of the invention. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application. Furthermore, to the extent that the terms xe2x80x9cincludesxe2x80x9d, xe2x80x9cincludingxe2x80x9d, xe2x80x9chasxe2x80x9d, xe2x80x9chavingxe2x80x9d, xe2x80x9cwithxe2x80x9d, and variants thereof are used in either the detailed description or the claims, these terms are intended to be inclusive in a manner similar to the term xe2x80x9ccomprisingxe2x80x9d. |
|
claims | 1. A safety injection tank with a gravity-driven fluidic device, comprising:a cylindrical pressure vessel configured to contain emergency core cooling water therein;a tubular vertical pipe uprightly placed inside the pressure vessel and provided with at least one first flow inlet port formed in a side surface of a lower portion of the vertical pipe communicating with a lower surface of the pressure vessel and a second flow inlet port defined in an upper end of the vertical pipe which is open to an inner space of the pressure vessel;a gravity-driven fluidic device comprising: a spring placed in the upper end of the vertical pipe; and a vertically movable water tub movably placed on the spring so as to be movable in a vertical direction, and configured to contain the emergency core cooling water therein; anda guide cylinder mounted to the upper end of the vertical pipe and arranged to entirely surround a side surface of the vertically movable water tub and a portion of an upper surface of the vertically movable water tub, and wherein a plurality of through holes are formed in a surface of the guide cylinder;wherein, when the emergency core cooling water contained in the pressure vessel is externally discharged through the vertical pipe and an emergency core cooling water level is reduced lower than a vertical position of the vertically movable water tub, the vertically movable water tub elastically biased by the spring is moved in a downward direction such that a lower surface of the vertically movable water tub comes into contact with the vertical pipe to close the second flow inlet port. 2. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein the lower portion of the vertical pipe is provided with a lower discharge nozzle having the first flow inlet port therein and a diameter, which is ½ of a diameter of the second flow inlet port. 3. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein a diameter of the second flow inlet port, a diameter of the first flow inlet port and a number of the first flow inlet ports is described by a following relational expression according to a predetermined turndown ratio, d 2 = 1 N × 1 TDR × ( D 2 + Nd 2 ) Where d is the diameter of the first flow inlet port, N is the number of the first flow inlet ports, TDR is the turndown ratio between a first flow rate and a second flow rate, and D is the diameter of the second flow inlet port. 4. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein a spring constant of the spring is described by a following relational expression W T H S ≤ k ≤ W w + W T y * + 1 2 H S Where Ww is a weight of emergency core cooling water contained in the vertically movable water tub, WT is a weight of the vertically movable water tub, k is the spring constant of the spring, HS is a vertical thickness of the spring, and y* is a vertical spacing distance of the vertically movable water tub. 5. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein the vertically movable water tub is provided with at least one drain port formed in a side surface thereof. 6. The safety injection tank with the gravity-driven fluidic device according to claim 5, wherein the at least one drain port is sized to have a total flow area, which can completely discharge the emergency core cooling water contained in the vertically movable water tub after the emergency core cooling water contained in the pressure vessel has been completely discharged to the outside through the vertical pipe. 7. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein the spring comprises at least one arc-shaped plate spring placed in a circumferential direction outside the second flow inlet port, the plate spring having a height equal to a vertical spacing distance of the vertically movable water tub. 8. The safety injection tank with the gravity-driven fluidic device according to claim 7, wherein the arc-shaped plate spring comprises three plate springs having a circumferential length corresponding to a 90° angle of circumference when they are orthogonally projected relative to the upper end of the vertical pipe, the three plate springs being spaced apart from each other at 120° angular intervals. 9. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein an outer diameter (ODw) of the vertically movable water tub is 1.5 times an inner diameter (D) of the vertical pipe, a height (H) of the vertically movable water tub is 1.5˜2.0 times the inner diameter (D) of the vertical pipe, and an inner diameter (IDc) of the guide cylinder is 1.6 times the inner diameter (D) of the vertical pipe. 10. The safety injection tank with the gravity-driven fluidic device according to claim 4, wherein the vertical spacing distance (y*) of the vertically movable water tub is 0.5˜1.0 times the inner diameter (D) of the vertical pipe. 11. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein a total flow area of the through holes, which are formed in the guide cylinder within a range corresponding to the vertical spacing distance of the vertically movable water tub, is set as 1.2˜1.5 times a flow area of the second flow inlet port. 12. The safety injection tank with the gravity-driven fluidic device according to claim 1, wherein the first flow inlet port is formed at a location around a lowermost surface of the pressure vessel. |
|
summary | ||
summary | ||
046735453 | summary | FIELD OF THE INVENTION The field of the present invention relates generally to remotely controlled apparatus, and more specifically to such apparatus for removing clips from fuel rods of irradiated nuclear fuel assemblies. BACKGROUND OF THE INVENTION Nuclear reactors of the pressurized water type are susceptible to vibrational damage to the fuel rods of the associated nuclear core due to a "baffle jetting" effect from the flow of water through the fuel rod assembly. The resultant vibrational damage to either or both of the fuel rods and the associated nuclear fuel assembly is known as "fretting". In order to substantially reduce such damage from "fretting", a plurality of clips are used to secure together a number of fuel rods in each fuel assembly to the reactor core to substantially eliminate vibration of the rods due to the baffle jet effect of the water flow in the core. Clips designed to accomplish this result are taught in copending application U.S. Ser. No. 487,907, for "Apparatus For Preventing Vibrational Damage to Nuclear Fuel Rods", filed Apr. 25, 1983, and assigned to the assignee of the present invention. The teachings of this copending application are incorporated herein by reference. Prior to exposure a nuclear fuel assembly to a neutron source, the assembly is substantially safe to handle, permitting assembly workers to manually install the anti-baffle jetting or anti-vibration clips onto the fuel rods at appropriate locations on the fuel assembly. However, once the nuclear fuel assembly is installed into a reactor core and exposed to a neutron source, the fuel assembly becomes hightly radioactive, preventing any possibility of an operator coming into close contact with the irradiated fuel assembly to remove the clips, when necessary. In a typical application, the nuclear fuel assemblies arranged in peripheral locations in the core require the use of the clips to prevent vibrational damage, whereas the fuel assemblies in the interior portions of the nuclear reactor core do not require such clips, for they are not exposed to baffle jetting and the resultant fretting or vibrational wear. Fresh fuel assemblies are usually placed around the periphery of the core assembly. As the fuel assemblies age, they are moved to the interior portions of the reactor core, and spent fuel assemblies of the reactor core are removed from their interior locations. since the interior located fuel assemblies of the core cannot as readily dissipate heat relative to the fuel assemblies located around peripheral portions of the reactor core, it is desireable that the clips be removed from fuel assemblies before installation in interior locations of the core, in order to avoid hot spots in the fuel assemblies. Accordingly, it became necessary to develop apparatus for permitting a remotely located operator to remove the clips from an irradiated fuel assembly prior to placing the fuel assembly into an interior location in the reactor core. It was also recognized that such apparatus must permit rapid removal of the clips in order to avoid any lengthy shutdown of the nuclear reactor. The present invention comprises such apparatus. SUMMARY OF THE INVENTION The present invention comprises remotely controlled apparatus for removing fuel rod clips previously installed on peripherally located fuel rod bundles or assemblies of a nuclear reactor core, to protect the fuel rods of the bundles from vibrational or fretting damage due to baffle jetting. Typically, after about one year of irradiation of such bundles, the bundles are removed from the reactor core to an area within a water tank proximate the location of the subject apparatus. The appratus is operated via a closed circuit TV system for manipulating an associated tool to individually remove the fuel rod clips from the bundle. The tool includes means to permit the operator to manually position hydraulically actuated jaw means opposite a fuel rod clip to be removed. The jaws are then activated for removing the clip, with the tool further providing means for supporting the fuel rods associated with the clip being removed to prevent damage to the fuel rods as the clip is pulled away from engagement with the rods. After the clip is pulled free of the fuel rods, the tool is operated to place the jaw means within a clip disposal basket, whereafter the jaw means are operated to eject the clip into the basket. The tool also includes mirror means that are remotely operable for providing the operator with a desired field of view of the operating area of the tool. |
description | This application claims priority under 35 U.S.C. §119 of provisional application Ser. No. 60/751371 filed Dec. 16, 2005. This invention relates in general to medicine and, more particularly, to a suspended personal radiation protection garment. Radiation is used to perform many medical diagnostic and therapeutic tests and procedures. Medical, veterinary, or research personnel may be involved in the performance of such procedures in great numbers and over many years, and are being exposed to scattered radiation as they perform their work. These long-term effects are poorly understood at the present time, but are considered serious enough to warrant mandatory protection to operators in the form of garments or barriers containing materials that absorb a significant proportion of the radiation. In order to properly treat patients, operators require a freedom of motion. Providing a personal radiation protection garment that properly protects operators, while allowing operators to move freely and comfortably presents a significant challenge for medical operators in radiation environments. In accordance with the present invention, a method, a system, and an apparatus for implementing a suspended personal radiation protection garment are provided, which substantially eliminate or reduce the disadvantages and problems associated with previous systems, methods, and apparatuses. In accordance with one embodiment of the present invention, a method for a suspended personal radiation protection device includes providing a garment that substantially contours to an operator's body. The garment is operable to protect the operator from radiation. The garment is suspended from a suspension component. In accordance with another embodiment of the present invention, a method for a suspended personal radiation protection device includes providing a garment that substantially contours to an operator's body while suspended from suspension component. The suspension component is operable for operator wearing protective radiation garment to move freely in the X, Y, and Z spatial planes simultaneously, such that the protective radiation garment is substantially weightless to the operator. The suspension component is further operable to support the partial weight of the operator, such that the operator can move around in substantially zero gravity or such that the operator bears only a portion of his total weight. The suspension component can be mounted to a ceiling. The suspended personal radiation protection device further includes a face shield, such that the face shield is transparent to visible light allowing operator unhindered vision, and the face shield protects operator from radiation. The suspended personal radiation protection device further includes a flap, such that the flap is operable to protect the operator from radiation between the garment and face shield. Important technical advantages of certain embodiments of the present invention include supporting the weight of radiation protection garment, face shield, and flap worn by operators. This allows radiation protection garments to be heavier. As a result, radiation protection garments can protect larger areas of operator's body. Radiation protection garments can be thicker to increase X-ray attenuation. More radiation protection reduces operator's risk of cancers, cataracts, and skin damage. Other important technical advantages of certain embodiments of the present invention include reducing the risk and incidence of musculoskeletal injuries from wearing heavy radiation protection garments. Operators using the present invention have normal freedom of motion as if operator is not wearing heavy material. Furthermore, the present invention allows operator to move about in substantially zero gravity, such that suspension device supports majority of operator's weight, such that operators can work long periods without fatigue. Other technical advantages of the present invention will be readily apparent to one skilled in the art from the following figures, descriptions, and claims. Moreover, while specific advantages have been enumerated above, various embodiments may include all, some, or none of the enumerated advantages. For purposes of teaching and discussion, it is useful to provide some overview as to the way in which the following invention operates. The following foundational information may be viewed as a basis from which the present invention may be properly explained. Such information is offered earnestly for purposes of explanation only and, accordingly, should not be construed in any way to limit the broad scope of the present invention and its potential applications. Radiation is used to perform many medical diagnostic and therapeutic tests and procedures. The human patient or animal is subjected to radiation using doses as low as possible to enable completion of the medical task, and their exposures are monitored to prevent or reduce risks of significant damage as a result of their exposures. Medical, veterinary, or research personnel may be involved in the performance of such procedures in great numbers and over many years, and are being exposed to scattered radiation as they perform their work. Although their daily exposure is generally less than that for the patient, there are adverse effects of the cumulative, long term exposures to the operators. These long-term effects are poorly understood at the present time, but are considered serious enough to warrant mandatory protection to workers in the form of garments, other garments, or barriers containing materials, generally metallic, that absorb a significant proportion of the radiation. There are a wide variety of such barriers commercially available, and all of them have significant limitations for the operators who must come in close contact with the subject. These operators may be physicians and their assistants, or technically skilled medical personnel, who perform simple or complex medical procedures using their bodies and hands in proximity of the patient, in such positions that scatter radiation from the subject or physical elements in the direct radiation beam will pose significant health risks and unacceptably high exposure readings for the operator if he/she were unprotected. Risks of radiation exposure at the levels of medical personnel include cancers, cataracts, and skin damage. A review of current protective systems outlines their limitations. Radiation-absorbing walls are useful to contain the radiation to a room, but do not prevent exposures within their confines. Barriers within the room, such as floor or ceiling supported shields, are effective at blocking radiation for personnel who are not in close contact with the radiation field, such as some nurses and technologists, but must be positioned or repositioned frequently when personnel move around the room, and provide cumbersome interference for operators performing the actual medical procedure. They may also be difficult to keep sterile when attempting to use them within the sterile field. The most commonly used protection for operators involves the use of garments containing radiation-absorbing materials, generally lead or other metals, which are worn in the fashion of an garment, or skirt and vest, and do not contaminate the sterile field because they are worn underneath the sterile covering gown. These garments are heavy and uncomfortable, and their long-term usage is known to be associated with diseases of the spine in the neck and back, knee disorders, and other musculoskeletal problems, which can result in disability, medical expenses, and decreased quality of life for the operator. The trade-off between protection and garment weight results in the frequent use of garments that do not cover the legs optimally, and may provide sub optimal radiation protection due to the thickness of the metallic material being limited by the tolerability of the operator. To protect other radiation sensitive tissues such as the corneas of the eye and the thyroid, special heavy glasses containing metallic compounds and a collar around the neck are often worn. Even when the operator is encumbered with these items, the base of the skull, which may contain sensitive bone marrow, and the face are unprotected. Personal face and neck shields address this problem, and are commercially available, but are rarely worn due to their cumbersome nature and heavy weight. Such problems have been present for many years and there are patents attempting to address them. Modifications to floor-supported mobile shields appear to attempt to provide improved dexterity for the operator relative to the standard bulky mobile barrier, and a floor support system with a modified garment design also attempts the same. However, they still appear to be obstacles to free movement of the operator. A system of barriers around the patient is proposed, but appears expensive, complex, and possibly limiting of operator-patient/subject contact, and frustrating to sterile field operation. Ceiling mounted barriers around the patient also appear to limit contact between patient and operator, and may make control of sterile field difficult. One configuration includes a ceiling mounted device, which supports the weight of a lead garment, involving a dolly movable in one linear axis, with or without an extension arm that rotates around a central point on the dolly. Such mechanical configurations are in place for other types of suspended barriers, and their motion mechanics may not be well suited for use with something attached to the operator's body, since the operator must frequently move rapidly and freely in all three spatial axes, and will walk in unpredictable and rapid patterns over an operating area of several feet by several feet. One configuration includes the garment being suspended by a simple expansion spring, which will provide uneven forces depending on its degree of expansion occurring with operator motion, due to the nature of its simple spring mechanics. It may also result in harmonic motions that affect operator dexterity. In addition, failure of the spring due to cycle stresses could lead to the operator injury in the design as depicted in the patent. Also, location of the spring in a vertical direction above the operator could result in limitations due to ceiling height. Integration of the system with the heavy image intensifier monitor screen as suggested could further encumber the operator from normal motion. A discussion of the types of motion performed by operators during their work is relevant. Operators are generally standing next to an operating table where the patient is positioned. They often reach over the patient to various parts of the body, and they may lean forward while reaching. This puts great stress on the spine when heavy garments are worn. They may bend or stoop to small degrees, but rarely excessively because the workspace containing the patient and all the tools are located at a height requiring minimal vertical motion. In addition, most procedures involve a sterile field where the operator's hands, arms, and torso from neck to waist must remain confined, so excessive vertical motion is not allowed. The operator may move considerably in the X and Y plane, which is horizontal and parallel to the floor, by walking or turning their body. The operator requires freedom of motion in these directions. Overhead cranes have been available for many years and are commonly employed in the materials handling industry. The following is a description of a bridge crane. A bridge crane includes at least one bridge, a trolley moving on the bridge, end trucks arranged at the ends of the main bridge to support the main bridge, wheels arranged to the end carriages intended to move along substantially parallel rails substantially parallel to the end trucks and on the other hand substantially transverse in relation to the main bridge and thus to support the entire crane on the rails, while slides have been arranged between one end truck and the corresponding end of the main bridge allowing a longitudinal movement of the end truck in relation to the main bridge and a rotation of the end truck and main bridge in relation to each other. Smaller cranes such as might be used to support a load up to 250 pounds, are often operated by workers without the aid of motorized assistance, since the crane's movable parts are light enough to be manipulated by hand. Different systems are employed to suspend the load from the cranes, including hoists, balancers, and intelligent assist devices. Tool balancers are also currently available and help to suspend tools in the workspace in a manner that provides ergonomic benefit for workers using them. The tool balancer is generally attached overhead the workspace, and reels out cable from which the tool is suspended. Adjustments may be made to provide a “zero gravity” balancing of the tool at the desired height, such that the worker may move the tool up or down within a working range without having to bear a significant portion of the tool's weight. Different adjustment may cause the tool balancer to exert a stronger upward force such that the operator must apply a downward force on the tool to pull it down to the workspace, and the balancer will cause the tool to rise when the operator releases it. Tool balancers may be of the spring or pneumatic variety, referring to the mechanism, which provides the force for its operation. A spring tool balancer, such as in the preferred embodiment of this invention, generally contains a coiled flat spring, similar to a clock spring, which is attached to a reel with a conical shape and serves as the platform for the winding of the cable. The conical shape provides a variable mechanical advantage, which offsets the variance of the force provided by the spring as it winds or unwinds. The result is a relatively constant force on the cable within a definable working range. Safety concerns mainly involve falling objects, strength of the suspension device, strength of the cable, and operator falls. The balancer is attached to the trolley by its own hook and a safety chain. The suspension device is commercially available at specified maximum loads, which include a wide safety margin. The mounting of the suspension device will be done according to architectural standards. Detachment of the garment from the suspension system will require certain care. A cable stop will prevent the hanger from going higher than the set level. The worker could stand on a step stool and remove the garment without concern for sudden upward, uncontrolled motion of the balancer cable and hanger. In another method of detachment, the hanger could be gripped firmly as another person detaches the garment suspension cables from the hanger, and the hanger could then be slowly raised until the cable stop engages the spring balancer. Alternatively, a weight, which is approximately equivalent to the weight of the garment, could be attached to the hanger prior to disengaging the garment. This will drop the garment and require it to be supported by the worker, who may then disengage it from the hanger. The weight will prevent any upward motion of the hanger in an uncontrolled manner. The next time the garment is attached, the weight could be removed after secure attachment of the garment is confirmed. For most operation, the garment need not be detached from the cable. It could be left suspended and moved out of the way of other activities. Another alternative method would involve setting the force on the balancer to be slightly greater than the weight of the garment. Once removed from the body, the garment would then slowly and safely rise up until stopped by the cable stop. Upon next use, it could easily be pulled back down into position. Annual inspections of the system may be performed for cable frays, hook lock malfunctions, and rail component flaws. In the event of an operator fall, it is unlikely that the system will contribute to operator harm since the balancer cable is long enough to allow the operator to reach the floor. Any harm to the operator should be the same as if not attached to the cable, except perhaps for some beneficial effect of the upward force of the suspension system. In the event that rapid detachment of the operator from the system is necessary due to emergency, this can be achieved by simple removal of the garment from the body without detachment from the system. The garment can be left hanging, and the suspended garment can be moved to the end of the runway, clear of the moving patient or stretcher. FIG. 1 is a simplified block diagram of a suspended personal radiation protection system 10. System 10 includes an operator 12, a patient 14, a radiation source 16, radiation 18, a suspension device 60, a hanger 75, a personal radiation protection garment 20, a face shield 22, and a flap 24. Suspension device 60 includes rails 62, a bridge 64, end trucks 66, a trolley 68, a balancer 70, a cable 72, and a cable stop 74. Other architectures and components of system 10 may be used without departing from the scope of this disclosure. In general, garment 20, shield 22, and flap 24 suspend from hanger 75, which suspends from suspension device 60. Operator 12 positions himself into suspended garment 20 , shield 22, and flap 24, such that operator 12 is not supporting the weight of garment 20, shield 22, and flap 24. While using radiation 18 to treat patient 14, operator can move freely in the X, Y, and Z spatial planes, such that garment 20, shield 22, and flap 24 are substantially weightless. In accordance with the teachings of the present invention, suspended personal radiation protection system 10 achieves an effective way for operators 12 to protect themselves properly and comfortably from harmful radiation. garment 20, shield 22, and flap 24 are operable to protect operator from harmful radiation. Suspension device 60 and hanger 75 are operable to suspend garment 20, shield 22, and flap 24, such that operator 12 is not hindered or burdened by the weight from garment 20, shield 22, and flap 24. Operator 12 is able to freely move around in all three axes while garment 20, shield 22, and flap 24 are substantially contoured to operator's body. System 10 offers advantages to operators 12 who work with radiation. This is due, at least in part, to the suspended personal radiation garment 20, shield 22, and flap 24, which protects operator 12 from harmful radiation 18 during fluoroscopically guided operations. For example, operator 12 has complete freedom of motion in the X, Y, and Z planes while the personal radiation protection garment 20, shield 22, and flap 24 are substantially contoured to operator's body. The suspended personal radiation protection system 10 allows operator to have complete freedom of motion commonly used during medical and research procedures. Furthermore, operator can remain sterile while using the suspended personal radiation protection garment 20, shield 22, and flap 24. Details relating to these operations are explained below in FIG. 1 and FIG. 2. Operator 12 may include any individual desiring to wear a personal radiation protection garment 20 in a medical environment, veterinary environment, or research environment. Operator may include an individual who perform simple or complex medical procedures involving radiation 18, such that operator's body and hands are in proximity of patient 14, such that scatter radiation 18 from patient 14 or physical elements in the direct radiation beam will pose significant health risks. Health risks to operator 12 may include cancers, cataracts, and skin damage. For example, operator 12 may include physicians, assistants, or technically skilled medical personnel during fluoroscopically guided operations. The personal suspended radiation protection garment 20, shield 22, and flap 24 allow operator 12 to move freely during fluoroscopically guided operations while providing protection from harmful scatter radiation 18. Patients 14 may include a human or animal involved in a simple or complex medical procedure involving radiation 18. Patient 14 is subjected to radiation 18 doses as low as possible to complete the medical task, and the patient's exposures are monitored to reduce risks of significant damage from the radiation. In another embodiment, patient 14 may include an inanimate object involved in a simple or complex procedure involving radiation 18. Radiation source 16 may include any device emitting radiation 18. For example, in medical procedures, radiation sources may include x-ray machines, nuclear medicine, and devices used for radiation therapy. Radiation source 16 can be any device emitting radiation 18. Radiation 18 may include ionized radiation or non-ionized radiation. Radiation 18 may be man-made radiation or radiation from another source. Some of the major isotopes may include I-131, Tc-99m, Co-60, Ir-192, and Cs-137. In medical procedures, radiation 18 may be emitted from x-ray machines, nuclear medicine, and radiation therapy devices. For example, some parts of the original x-ray beam intercepted by patient, or by another individual or object, may become scattered and change direction, such that operator 12 will absorb some harmful scattered radiation beams 18. Suspended personal radiation protection garment 20 may contain radiation-absorbing materials, such as lead or other metals. Suspended personal radiation protection garment 20 can be thicker and heavier than traditional radiation protection garments, because operator does not support the weight of the suspended personal radiation protection garment 20. Additionally, suspended personal radiation protection garment 20 can cover more of operator's body, such as operator's arms and legs. Suspended personal radiation protection garment 20 suspends from hanger 75, which suspends from suspension device. Suspended personal radiation protection garment 20 can substantially contour to operator's body while garment suspends from hanger, such that hanger supports the weight of garment. Suspended personal radiation protection garment 20 allows operator to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection garment 20. Suspended personal radiation protection garment 20 allows operator 12 to wear sterile gloves and gown in the usual manner. Details relating to the suspension device 60 are explained below in FIG. 1. Details relating to the garment 20 are explained below in FIG. 2. Materials and/or components may be included in suspended personal radiation protection garment 20 in order to achieve the teachings of the protective, free moving, and weightlessness features of the present invention. However, due to its flexibility, suspended personal radiation protection garment 20 may alternatively be equipped with (or include) any suitable component or material, or any other suitable element or object that is operable to facilitate the operations thereof. Considerable flexibility is provided by the structure of suspended personal radiation protection garment 20 in the context of suspended personal radiation protection system 10 and, accordingly, it should be construed as such. Suspended personal radiation protection face shield 22 may contain radiation-absorbing materials, such that face shield attenuates X-rays, but is transparent to visible light allowing operator unhindered vision. Suspended personal radiation protection face shield 22 can be heavier and curve or bend around to cover more of operator's face than traditional radiation protection face shields, because operator 12 does not support the weight of the suspended personal radiation protection face shield 22. The suspended personal radiation protection face shield 22 protects operator 12 from radiation approaching from the sides of operator's face. The operator can wear normal corrective optical lenses behind face shield 22. Suspended personal radiation protection face shield 22 suspends from hanger 75, such that hanger 75 supports the weight of face shield 22. Suspended personal radiation protection face shield 22 allows operator to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection face shield 22. Suspended personal radiation protection face shield 22 may be attached to hanger 75 with a plurality of ropes or wires or rigid rod systems. Details relating to the suspension device 60 are explained below in FIG. 1. Details relating to the face shield 22 are explained below in FIG. 2. Suspended personal radiation protection flap 24 may contain radiation-absorbing materials, such as acrylic lead or other metals. Suspended personal radiation protection flap 24 can be a softer fabric material, such that flap 24 covers the neck area not protected from garment 20 and shield 22. Suspended personal radiation protection flap 24 can be thicker and heavier than traditional radiation protection flaps 24, because operator 12 does not support the weight of the suspended personal radiation protection flap 24. Additionally, suspended personal radiation protection flap 24 can protect more of operator's neck and thyroid area. Suspended personal radiation protection flap 24 suspends from shield 22, which suspends from hanger 75. Suspended personal radiation protection flap 24 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection flap 24. Details relating to the suspension device 60 are explained below in FIG. 1. Details relating to the flap 24 are explained below in FIG. 2. In another embodiment, suspended personal radiation protection shield 22 and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated with hanger 75, such that one piece is formed. Rails 62 can be permanently affixed to ceiling support structures over the area of operator's workplace. Rails 62 may run parallel with one another, such that rails 62 represent the length of the X-axis that operator 12 can move freely within while wearing the suspended personal radiation protection garment 20, shield 22, and flap 24. The interior of rails 12 can include a runway, such that rollers attached to end trucks 66 can slide along the rail runways. Details relating to rollers and runways are below in FIG. 6. Bridge 64 can be positioned perpendicular between rails 62 over the area of operator's workplace. Bridge 64 represents the length of the Y-axis that operator 12 can move freely within while wearing the suspended personal radiation protection garment 20, shield 22, and flap 24. Bridge 64 is affixed to rails 12 and movable along rails 12 by an end truck 66 on each rail 12. Bridge 64 can include a runway, such that roller attached to trolley 68 can slide along bridge runway. End trucks 66 allow bridge 64 to move along rails 62. End trucks 66 can be attached to bridge 64, such that only a small motion is permitted along bridge 64. This small motion allows slight imperfections in suspension device 60, such that bridge 64 movement along rail runways is smoother. End trucks 66 can include rollers to slide within rail runways, such that bridge 64 moves along rail runways via end truck rollers. The bridge 64 is freely movable along the X-axis of rails 62. The length of the X-axis spatial movement of bridge 64 can be limited to the ends of rail runways, such that end stops prevent further movement. Trolley 68 can include roller, such that trolley roller is positioned in bridge runway. The trolley is 68 freely movable along the Y-axis of bridge 64. The length of the Y-axis spatial movement of trolley 68 can be limited to the ends of bridge runway, such that end trucks prevent further movement. Trolley 68 can attach to balancer 70, which suspends personal radiation protection garment 20, shield 22, and flap 24, such that operator 12 can move freely in the X and Y spatial planes defined above by the length of the rails 62 and the length of the bridge 64. The plane defined by the X and Y spatial axes is designed to correspond to operator's desired work area on the floor. Operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 has very smooth and facile motion within this plane. In another embodiment of this invention, a telescoping component on bridge 64 can allow extension of trolley 68 farther than the length of the bridge 64, such that the Y spatial axis is greater for operator 12 to freely move within the X, Y plane. In another embodiment, suspension device 60 can include linear motion devices or any other suitable means for allowing bridge 64 and trolley 68 to move freely. For example, linear motion devices are operable for roller bearings to roll inside guides, such that facile motion is allowed. Trolley 68 can include roller bearings operable to roll inside a guide included in bridge 64, such that facile motion in Y-axis is allowed. End trucks 66 can include roller bearings operable to roll inside a guide included in rails 62, such that facile motion in X-axis is allowed. Suspension device 60 is operable by any suitable means to allow free motion in the x and y axes for operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24. Balancer 70 may be a spring balancer 70 attached to trolley 68 by a hook, and a safety chain or cable for the event of hook failure. Spring balancer 70 applies constant and controllable uplifting force on garment 20, shield 22, and flap 24. Spring balancer 70 can include a coiled flat spring, similar to a clock spring, attached to a reel with a conical shape. The conical shape provides a variable mechanical advantage, which offsets the variance of the force provided by the spring as it winds or unwinds, such that there is a relatively constant force on cable within a definable working range. Spring balancer 70 allows operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 freedom of motion in the vertical Z-axis spatial plane. Operator 12 wearing the heavy and bulky garment 20, shield 22, and flap 24 can freely perform vertical motion activities, such as stooping, leaning, squatting, standing on an elevated surface. The tension can be designed to provide optimum relief of garment's weight for operator, and this force can be constant in all positions by operator 12. Spring balancer 70 applies a constant force to oppose the weight regardless of how much cable 72 is extended. In another embodiment, balancer 70 can be counterweights 70 attached to trolley 68 by a hook, and a safety chain or cable for the event of hook failure. Counterweights 70 apply constant and controllable uplifting force on garment 20, shield 22, and flap 24. Counterweights 70 allow operator wearing suspended personal radiation protection garment 20, shield 22, and flap 24 freedom of motion in the vertical Z-axis spatial plane. Operator 12 wearing the heavy and bulky garment 20, shield 22, and flap 24 can freely perform vertical motion activities, such as stooping, leaning, squatting, standing on an elevated surface. The tension can be designed to provide optimum relief of garment's weight for operator 12, and this force can be constant in all positions by operator 12. Counterweights 70 apply a constant force to oppose the weight regardless of how much cable 72 is extended. In another embodiment, balancer 70 can be a constant force spring 70 attached to trolley 68 by a hook, and a safety chain or cable for the event of hook failure. Constant force spring 70 applies constant and controllable uplifting force on garment 20, shield 22, and flap 24. Constant force spring 70 allows operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 freedom of motion in the vertical Z-axis spatial plane. Operator 12 wearing the heavy and bulky garment 20, shield 22, and flap 24 can freely perform vertical motion activities, such as stooping, leaning, squatting, standing on an elevated surface. The tension can be designed to provide optimum relief of garment's weight for operator 12, and this force can be constant in all positions by operator. Constant force spring 70 applies a constant force to oppose the weight regardless of how much cable 72 is extended. In other embodiments, balancer 70 can include a pneumatic balancer 70, an air balancer 70, a spring motor arrangement 70, an intelligent assist device 70, or any other system, which provides a counterbalancing function or suspension system for the suspended personal radiation protection garment 20, shield 22, and flap 24. In another embodiment of this invention, servomechanisms can be used to provide near effortless control and rapid response of the suspension device to bodily motions. The servo mechanics may be incorporated into all axes, or simply into the vertical motion axis alone. The servo apparatus will have motion sensors that detect operator movement, and can stimulate power assisted motion and cessation of motion, minimizing the effort of the operator to move the system, and also minimizing any tendency of the system to move operator 12 after the operator stops moving. The power motion is achieved by means of motors in conjunction with belts, chains, or cables along the desired axes along rails 62. In another embodiment, balancer 70 is mounted horizontally along bridge 64 rather than hanging vertically. The balancer 70 mounted horizontally provides more headroom for operator 12 in a low ceiling or low suspension environment. A pulley can be included over operator's head that can enable suspension device to create a constant force, such that operator does not feel the weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. In another embodiment, the suspension force of balancer 70 can be adjusted to be greater than the weight of protective garment 20, shield 22, and flap 24, such that balancer can support a portion or all of operator's body weight. This provides added relief of the burden on the operator's spine, hips, knees, and other support structures during long procedures. A specialized harness system is incorporated into garment 20 utilizing straps and pads around the chest, torso, or thighs. This harness is integrated into the garment 20 in such a way that the support system will result in reduction in weight of the not only the garment 20 upon the operator 12, but the harness also can support a portion or all of the operator's weight. The suspended garment 20 becomes part of the suspension system and reduces the weight of operator 12 to some degree. The harness system can include a rigid seat-like apparatus. Details relating to the harness are explained below in FIG. 3. Cable 72 is suspended from balancer 70 and attaches to hanger 75. In other embodiments, cable 72 may also include a rope or a belt. Cable 72 is several feet long and allows operator 12 to move extensively in the vertical Z-axis. Cable 72 also allows operator 12 to freely move slightly outside the perimeter of the plane formed by the X and Y axes. Cable 72 can include a swivel mount that permits free rotation of the cable suspension mechanism allowing operator 12 to twist as needed. This may include a swivel hook or snap that connects the cable 72 to hanger 75. Cable 72 is operable to safely hold the amount of weight and force caused by the suspended personal radiation protection garment 20, shield 22, and flap 24. Cable stop 74 is a device attached to cable 72 operable to prevent hanger from going higher than the set level. Cable stop 74 will engage the balancer 70, such that cable stop 74 and hanger 75 are prevented from moving too high. For example, operator 12 can remove suspended personal radiation protection garment 20 as another individual firmly grips hanger 75, and hanger 75 could be slowly raised until the cable stop 74 engages balancer 70. Hanger 75 is operable to suspend personal radiation protection garment 20, shield 22, and flap 24. Hanger 75 is attached to cable 72. Hanger 75 is positioned above operator's head to avoid collision with operator's head during manipulations. Personal radiation protection garment 20, shield 22, and flap 24 can be removed from hanger 75, attached to hanger 75, and remain suspended from hanger 75 indefinitely. For example, garment 20 can rest on the hanger 75 similar to a clothes hanger, such that garment 20 is not resting on operator's body. Shield 22 and flap 24 can be suspended from hanger 75 by ropes, wires, cables or any other suitable means. Hanger 75 can take on several embodiments. FIGS. 2A, 2B, and 2C are simplified block diagrams of personal radiation protection garment 20, shield 22, and flap 26 suspending from hanger 75. Suspended personal radiation protection device includes garment 20, shield 22, flap 24, and hanger 75. Garment 20 includes fastening means 26, belt 28, Velcro for adjustable layer 30, and sleeve 32. Hanger includes widget 76, cross bar 78, drop rod 80, nut 82, shoulder plate 84, plate sleeve 86, and shield support cables 88. Suspended personal radiation protection garment 20 may contain radiation-absorbing materials, such as lead or other metals. Suspended personal radiation protection garment 20 can be thicker and heavier than traditional radiation protection garments, because operator 12 does not support the weight of the suspended personal radiation protection garment 20. Additionally, suspended personal radiation protection garment 20 can cover more of operator's body, such as operator's arms and legs. Suspended personal radiation protection garment 20 suspends from hanger 75, which suspends from suspension device 60. Suspended personal radiation protection garment 20 can substantially contour to operator's body while garment 20 suspends from hanger 75, such that hanger 75 supports the weight of garment 20. Suspended personal radiation protection garment allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection garment 20. Suspended personal radiation protection garment 20 allows operator 12 to wear sterile gloves and gown in the usual manner. Fastening means 26 of garment can be positioned in front, side, or rear of garment 20. Garment 20 can be opened and closed by Velcro, buckles, or any suitable fastening means 26 for attaching two pieces of a heavy material together. For example, if suspended garment 20 has fastening means 26 on the rear of garment 20, then operator 12 can walk up to suspended garment 20 and garment 20 will be suspended over operator 12 for usage. An assistant can fasten the Velcro or buckles, such that operator 12 can quickly and effortlessly receive protection of the suspended personal radiation protection garment 20 that is substantially contoured to operator's body. Operator 12 can wear a sterile gown and sterile gloves in the normal manner. Belt 28 on garment 20 includes Velcro, buckle, or fastening means, such that belt helps garment stay closed. Belt 28 can be fastened on the front, side, or rear of garment 20. Belt 28 also helps suspended personal radiation protection garment 20 substantially contour to operator's body, such that operator's body is properly protected. Velcro, buckle, or fastening means for adjustable garment layer 30 allows operator to adjust the length of suspended personal radiation protection garment 20. For example, a short person can fold up the excess garment material and fasten the garment 30, such that the bottom part of the garment is double-layered. Similarly, a tall person can unfasten the double layered area of the garment 20 to receive more radiation protection on legs, such that the suspended personal radiation garment 20 hangs to the operator's feet. Sleeve 32 can be on left or right arm, and sleeve 32 may contain radiation-absorbing materials, such as lead or other metals. Sleeve 32 allows more protection coverage of operator's body, because operator does not support the weight of the suspended sleeve 32. Hanger 75 is operable to suspend the personal radiation protection garment 20, shield 22, and flap 24. Hanger 75 is attached to cable 72. Hanger 75 is positioned above operator's head to avoid collision with operator's head during manipulations. Personal radiation protection garment 20, shield 22, and flap 24 can be detached to hanger 75, attached to hanger 75, and remain attached to hanger 75 indefinitely. For example, garment 20 can rest on the hanger similar to a clothes hanger, such that garment 20 is not resting on operator's body. Shield 22 and flap 24 can be suspended from hanger 75 by ropes, wires, cables or any other suitable means. Widget 76 connects hanger to cable. Widget 76 can be a hook, a pulley, or any suitable means to attach hanger 75 to cable 72. Widget 76 is made of material that can support a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Pulley widget 76 allows operator 12 to bend sideways, such that pulley widget 76 moves along hanger 75 to properly distribute weight. Details relating to pulley widget 76 are explained below in FIG. 5. Cross bar 78 attaches to cable 72 via widget 76. Cross bar 78 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Cross bar 78 is positioned above operator's head to avoid collision with operator's head during manipulations. Cross bar 78 can include grooves where widget 76 attaches, such that weight is properly distributed when operator 12 leans forward or backward. Drop rod 80 attaches to cross bar 78 and is held in place with a nut 82. Drop rod 80 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Drop rod 80 can attach to shoulder plate 84 in various embodiments. In one embodiment, drop rod 80 can be angled inward, such that drop rod 80 is inserted into shoulder plate sleeve 84 closer to operator's neck. This particular embodiment is effective at distributing weight and supporting the suspended garment 20, shield 22, and flap 24. Shoulder plate 84 is suspended by hanger 75. Shoulder plate 84 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Shoulder plate 84 can be one piece that extends over both shoulders or shoulder plate 84 can be two pieces, such that each shoulder plate 84 is positioned over operator's shoulders. Suspended personal radiation protection garment 20 can be placed on shoulder plate 84, such that shoulder plate 84 supports the weight of garment 20. Shoulder plates 84 can be positioned slightly above operator's shoulders, such that shoulder plates 84 act as a substitute for operator's shoulders while the garment 20 is still substantially contoured to operator's body. Plate sleeve 86 can be welded or affixed to shoulder plate 84. Plate sleeve 86 is operable for hanger to be inserted, such that plate sleeve 86 securely attaches shoulder plate 84 to hanger 75. Plate sleeve 86 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Plate sleeve 86 is operable for rotational motion of shoulder plate 84 relative to hanger 75. This allows operator 12 to freely move in the forward bending or rearward bending bodily motions. Bending forward will tilt shoulder plates 84 along with the tilt of the operator's shoulders, and the swivel motion of the sleeve on hanger 75 will allow hanger 75 to maintain a desirable vertical orientation rather than being forced into a tilted angulation, which would apply additional undesirable forces on hanger 75 and suspension device 60, as well as place additional downward force on cable 72. In one embodiment, hanger 75 includes widget 76, cross bar 78, drop rod 80, and nut 82. Widget 76 can be a hook attached to cable 72, such that cable hook attaches to cross bar 78. Drop rod 80 can be positioned inside plate sleeve 86, such that plate sleeve 86 is welded on shoulder plate 84. Garment 20 can be placed over shoulder plates, such that garment 20 is suspended by hanger 75. Details relating to this hanger 75 embodiment are explained below in FIG. 4A and FIG. 4B. In another embodiment, hanger 75 includes widget 76 and drop rod 80. Widget 76 can be a pulley attached to cable 72, such that pulley 76 attaches to hanger 75. Hanger 75 can be positioned inside plate sleeve 86, such that plate sleeve 86 is welded on shoulder plate 84. Garment 20 can be placed over shoulder plates 84, such that garment 20 is suspended by hanger 75. Details relating to this hanger 75 embodiment are explained below in FIG. 5. In another embodiment, hanger 75 can be a unified, rigid piece, such that shoulder plate 84, plate sleeves 86, hanger 75, garment 20, shield 22, and flap 24 are integrated. Suspended personal radiation protection face shield 22 may contain radiation-absorbing materials, such that face shield 22 attenuates X-rays, but is transparent to visible light allowing operator unhindered vision. Suspended personal radiation protection face shield 22 can be heavier and curve or bend around to cover more of operator's face than traditional radiation protection face shields 22, because operator 12 does not support the weight of the suspended personal radiation protection face shield 22. The suspended personal radiation protection face shield 22 protects operator 12 from radiation 18 approaching from the sides of operator's face. The operator 12 can wear normal corrective optical lenses behind face shield. Suspended personal radiation protection face shield 22 suspends from hanger 75. Suspended personal radiation protection face shield 22 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection face shield 22. Face shield support cables 88 are operable to suspend face shield 22 from hanger 75, such that operator 12 does not bear the weight of face shield 22. Face shield support cables 88 can also be ropes, wires, straps, rigid rods, or any suitable material to suspend the weight of face shield 22 and flap 24. Face shield support cables 88 can be affixed to hanger 75 in one or more places to achieve proper suspension. Face shield support cables 88 can be adjusted, such that face shield 22 and flap 24 are fitted properly to operator 12. Suspended personal radiation protection flap 24 may contain radiation-absorbing materials, such as acrylic lead or other metals. Suspended personal radiation protection flap 24 can be thicker and heavier than traditional radiation protection flaps, because operator 12 does not support the weight of the suspended personal radiation protection flap 24. Additionally, suspended personal radiation protection flap 24 can cover more of operator's neck and thyroid area. Suspended personal radiation protection flap 24 suspends from shield 22, which suspends from hanger 75. Flap 24 can be suspended from hanger 75 as well as face shield 22. Suspended personal radiation protection flap 24 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection flap 24. In another embodiment, suspended personal radiation protection shield 22 and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated with hanger 75, such that one piece is formed. FIG. 3 is a simplified block diagram that illustrates a harness integrated with personal radiation protective garment 20 in accordance with an embodiment of the present invention. Garment 20 includes an integrated harness, shoulder reinforcement 102, arm holes 104, and belt 28. Harness includes reinforced stitching 107, chest strap 106, waist strap 108, thigh strap 110, length adjusting strap 112, and length adjusting buckle 114. The suspension force of suspension device 60 can be adjusted to be greater than the weight of the protective garment 20, shield 22, and flap 24, such that suspension device 60 can support a portion or all of operator's body weight. This provides added relief of the burden on the operator's spine, hips, knees, and other support structures during long procedures. A specialized harness system is incorporated into garment 20 utilizing straps and pads around the chest, torso, or thighs. The harness is integrated into the garment 20 in such a way that the support system will result in reduction in weight of the not only the garment 20 upon the operator 12, but the harness also can support a portion or all of the operator's weight. The suspended garment 20 becomes part of the suspension system and reduces the weight of operator 12 to some degree. The operator 12 can freely move, such that a majority of operator's body weight is supported by suspension device 60. The harness system can include a rigid seat-like apparatus. Suspended personal radiation protection garment 20 may contain radiation-absorbing materials, such as lead or other metals. Suspended personal radiation protection garment 20 can be thicker and heavier than traditional radiation protection garments, because operator 12 does not support the weight of the suspended personal radiation protection garment 20. Additionally, suspended personal radiation protection garment 20 can cover more of operator's body, such as operator's arms and legs. Suspended personal radiation protection garment 20 suspends from hanger 75, which suspends from suspension device 60. Suspended personal radiation protection garment 20 can substantially contour to operator's body while garment 20 suspends from hanger 75, such that hanger 75 supports the weight of garment 20. Suspended personal radiation protection garment 20 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection garment 20. Suspended personal radiation protection garment 20 allows operator 12 to wear sterile gloves and gown in the usual manner. Shoulder reinforcement 102 on garment 20 provides an even distribution of force along the width of garment 20, such that garment 20 is not distorted while suspended on shoulder plate 84. Shoulder reinforcement 102 can include extra material, reinforcement stitching, or any means suitable to even distribution of force along the width of garment 20. Arm holes 104 are provided for operator 12 to freely move around arms and hands. Arm holes 104 can include sleeves 32 to provide more protection to operator's arms. Belt 28 on garment 20 can include Velcro, buckle, or fastening means, such that belt 20 helps garment stay closed. Belt 28 can be fastened on front, side, or rear of garment. Belt 28 also helps suspended personal radiation protection garment 20 substantially contour to operator's body, such that operator's body is properly protected. Harness chest strap 106 wraps around operator's chest to help secure operator's body. The suspension device 60 can suspend garment 20 and harness, such that suspension device 60 supports a portion or all of operator's weight. The effect of suspending operator 12 allows operator 12 to freely move with reduced weight, such that a majority of operator's body weight is supported by suspension device 60. This provides added relief of the burden on the operator's spine, hips, knees, and other support structures during long procedures. Chest strap 106 can include Velcro, buckle, or fastening means, such that chest strap 106 is secure around operator's chest. Harness waist strap 108 wraps around operator's waist to help secure operator's body. The suspension device 60 can suspend garment 20 and harness, such that suspension device 60 supports a portion or all of operator's weight. The effect of suspending operator 12 allows operator 12 to move about freely move with reduced weight, such that a majority of operator's body weight is supported by suspension device 60. This provides added relief of the burden on the operator's spine, hips, knees, and other support structures during long procedures. Waist strap 108 can include Velcro, buckle, or fastening means, such that waist strap 108 is secure around operator's waist. Harness thigh strap 110 wraps around operator's thighs to help secure operator's body. The suspension device 60 can suspend garment 20 and harness, such that suspension device supports a portion or all of operator's weight. The effect of suspending operator 12 allows operator 12 to move about freely with reduced weight, such that a majority of operator's body weight is supported by suspension device 60. This provides added relief of the burden on the operator's spine, hips, knees, and other support structures during long procedures. Thigh straps 110 can include Velcro, buckle, or fastening means, such that thigh straps 110 are secure around operator's thigh. Length adjusting straps 112 allow operator to customize harness to operator's height. Length adjusting straps 112 can be secured and adjusted by length adjusting buckle 114. Reinforced stitching 107 allows harness to be integrated with garment 20. Reinforced stitching is used on garment 20, chest strap 106, waist strap 108, and thigh straps 110. Reinforced stitching material 107 can support operator's weight. In another embodiment of this invention, the harness system will not be associated with radiation protection garment 20, and harness can be used to support a portion or all of the operator's body weight for the performance of medical or surgical procedures that do not require radiation. This prevents fatigue of operator due to standing in proper position for prolonged periods. FIGS. 4A and 4B are a simplified block diagram that illustrate a hanger 75 attached to shoulder plate 84 via plate sleeve 86 in accordance with an embodiment of the present invention. Hanger 75 includes cross bar 78, drop rod 80, and nut 82. Cross bar 78 attaches to cable via widget. Cross bar is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Cross bar 78 is positioned above operator's head to avoid collision with operator's head during manipulations. Cross bar 78 can include grooves where widget attaches, such that weight is properly distributed when operator 12 leans forward or backward. Drop rod 80 attaches to cross bar 78 and is held in place with a nut 82. Drop rod 80 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Drop rod 80 can attach to shoulder plate 84 in various embodiments. In one embodiment, drop rod 80 can be angled inward, such that drop rod 80 is inserted into shoulder plate sleeve 86 closer to operator's neck. This particular embodiment is effective at distributing weight and supporting the suspended garment 20, shield 22, and flap 24. Shoulder plate 84 is suspended by hanger 75. Shoulder plate 84 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Shoulder plate 84 can be one piece that extends over both shoulders or shoulder plate 84 can be two pieces, such that each shoulder plate 84 is positioned over operator's shoulders. Suspended personal radiation protection garment 20 can be placed on shoulder plate 84, such that shoulder plate 84 supports the weight of garment 20. Shoulder plates 84 can be positioned slightly above operator's shoulders, such that shoulder plates 84 are a substitute for operator's shoulders, while garment 20 is still substantially contoured to operator's body. Plate sleeve 86 can be welded on shoulder plate 84. Plate sleeve 86 is operable for hanger 75 to be inserted, such that plate sleeve 86 securely attaches shoulder plate 84 to hanger 75. Plate sleeve 86 is operable for rotational motion of shoulder plate 84 relative to hanger 75. This allows operator 12 to freely move in the forward bending or rearward bending bodily motions. Bending forward will tilt shoulder plates 84 along with the tilt of the operator's shoulders, and the swivel motion of the sleeve on hanger 75 will allow hanger 75 to maintain a desirable vertical orientation rather than being forced into a tilted angulation, which would apply additional undesirable forces on hanger 75 and suspension device 60, as well as place additional downward force on cable 72. In another embodiment, plate sleeve can be fixed. Plate sleeve 86 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. FIG. 5 is a simplified block diagram that illustrates a hanger 75 with a sideways bending modification in accordance with an embodiment of the present invention. Hanger 75 suspends from pulley 76, which suspends from cable 72. Shoulder plate 84 with integrated plate sleeve 86 suspend from hanger 75. Cable 72 is suspended from balancer 70 and attaches to pulley 76. In other embodiments, cable 72 may also include a rope or a belt. Cable 72 is several feet long and allows operator 12 to move extensively in the vertical Z-axis. Cable 72 also allows operator to freely move slightly outside the perimeter of the plane formed by the X and Y axes. Cable 72 can include a swivel mount that permits free rotation of the cable suspension mechanism allowing operator 12 to twist as needed. This may include a swivel hook or snap that connects the cable 72 to hanger 75. Cable 72 is operable to safely hold the amount of weight and force caused by the suspended personal radiation protection garment 20, shield 22, and flap 24. Pulley 76 is operable to roll along hanger 75, such that pulley 76 rolls along hanger 75 when operator 12 bends sideways. Pulley 76 attaches to cable 72 and hanger 75. Pulley 76 is made of material to support weight of suspended personal radiation protection garment 20, shield 22, and flap 24. For example, when operator 12 bends sideways, pulley 76 will roll along hanger 75, such that hanger 75 becomes tilted. This effect allows operator 12 to freely bend sideways, such that suspended personal radiation protection garment 20, shield 22, and flap 24 are all properly suspended. Hanger 75 is operable to suspend the personal radiation protection garment 20, shield 22, and flap 24. Hanger 75 can be attached to pulley 76, such that pulley 76 allows hanger 75 to tilt when operator 12 bend sideways. Hanger 75 can be positioned above operator's head to avoid collision with operator's head during manipulations. Personal radiation protection garment 20, shield 22, and flap 24 can be detached to hanger 75, attached to hanger 75, and remain attached to hanger 75 indefinitely. For example, garment 20 can rest on hanger 75 similar to a clothes hanger, such that garment 20 is not resting on operator's body. FIG. 5 illustrates two different embodiments for the design of hanger 75. Hanger 75 can also include a component operable to prevent pulley 76 from moving beyond the hanger's edge. Shoulder plate 84 is suspended by hanger 75. Shoulder plate 84 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Shoulder plate 84 can be one piece that extends over both shoulders or shoulder plate can be two pieces, such that each shoulder plate 84 is positioned over operator's shoulders. Suspended personal radiation protection garment 20 can be placed on shoulder plate 84, such that shoulder plate 84 supports the weight of the garment 20. Shoulder plates 84 can be positioned slightly above operator's shoulders, such that shoulder plates 84 are a substitute for operator's shoulders while garment 20 is still substantially contoured to operator's body. Plate sleeve 86 can be welded on shoulder plate 84. Plate sleeve 86 is operable for hanger 75 to be inserted, such that plate sleeve 86 securely attaches shoulder plate 84 to hanger 75. Plate sleeve 86 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. FIG. 6 is a simplified block diagram that illustrates a trolley 68 and a balancer 70 in accordance with an embodiment of the present invention. Suspension device 60 includes bridge 64, roller 65, trolley 68, safety cable 69, balancer 70, hook 71, and cable 72. Bridge 64 can be positioned perpendicular between rails 62 over the area of operator's workplace. Bridge 64 represents the length of the Y-axis that operator can move freely within while wearing the suspended personal radiation protection garment 20, shield 22, and flap 24. Bridge 64 is affixed to rails 62 and movable along rails by an end truck 66 on each rail 62. Bridge 64 can include a runway. Details of bridge 64 interacting with other components are explained above in FIG. 1. Roller 65 attaches to trolley 64 and is positioned in bridge runway, such that roller 65 can slide along bridge runway. Roller 65 is operable to easily slide along bridge runway, such that operator 12 can move freely. Trolley 68 is positioned in bridge runway. Trolley 68 is freely movable along the Y-axis of bridge 64. The length of the Y-axis spatial movement of trolley 68 can be limited to the ends of bridge runway, such that end trucks 66 prevent further movement. Trolley 68 can include a latch for balancer 70 attachment and a safety cable 69. For extra safety, safety cable 69 or chain may be attached to a separate trolley, which is allowed to move adjacent to weight bearing trolley 68. Trolley 68 can support the weight for suspended personal radiation protection garment 20, shield 22, and flap 24, such that operator 12 can move freely in the X and Y spatial planes defined above by the length of the rails 66 and the length of the bridge 64. The plane defined by the X and Y spatial axes is designed to correspond to operator's desired work area on the floor. Operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 has very smooth and facile motion within this plane. In another embodiment of this invention, a telescoping component on bridge 64 can allow extension of trolley 68 farther than the length of the bridge 64, such that the Y spatial axis is greater for operator to freely move within the X, Y plane. Safety cable 69 can be permanently affixed to trolley 68 and balancer 70. Safety cable 69 is operable to suspend the weight of balancer 70, hanger 75, garment 20, shield 22, and flap 24, such that operator 12 is protected if balancer 70 becomes detached from trolley 68. For extra safety, safety cable 69 or chain may be attached to a separate trolley, which is allowed to move adjacent to weight bearing trolley 68. Balancer 70 can be a spring balancer 70 attached to trolley 68 by a hook 71, and a safety chain 69 or cable for the event of hook failure. Spring balancer 70 applies constant and controllable uplifting force on garment 20, shield 22, and flap 24. Spring balancer 70 can include a coiled flat spring, similar to a clock spring, attached to a reel with a conical shape. The conical shape provides a variable mechanical advantage, which offsets the variance of the force provided by the spring as it winds or unwinds, such that there is a relatively constant force on cable within a definable working range. Spring balancer 70 allows operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 freedom of motion in the vertical Z-axis spatial plane. Operator 12 wearing the heavy and bulky garment 20, shield 22, and flap 24 can freely perform vertical motion activities, such as stooping, leaning, squatting, standing on an elevated surface. The tension can be designed to provide optimum relief of garment's 20 weight for operator 12, and this force can be constant in all positions by operator 12. Spring balancer 70 applies a constant force to oppose the weight regardless of how much cable is extended. Balancer 70 can also take on the different embodiments explained above in FIG. 1. Hook 71 is affixed to balancer 70 and is a means to suspend balancer 70 from trolley 68. Hook 71 is made of material that can support a minimum weight of balancer 70, hanger 75, operator 12, garment 20, shield 22, and flap 24. If hook 71 fails, then safety cable 69 can prevent damage to operator 12. Cable 72 is suspended from balancer 70 and attaches to hanger 75. In other embodiments, cable 72 may also include a rope or a belt. Cable 72 is several feet long and allows operator 12 to move extensively in the vertical Z-axis. Cable 72 also allows operator to freely move slightly outside the perimeter of the plane formed by the X and Y axes. Cable 72 can include a swivel mount that permits free rotation of the cable suspension mechanism allowing operator 12 to twist as needed. This may include a swivel hook or snap that connects the cable 72 to hanger 75. Cable 72 is operable to safely hold the amount of weight and force caused by the suspended personal radiation protection garment 20, shield 22, and flap 24. FIG. 7 is a simplified block diagram that illustrates a monorail 61 track in accordance with an embodiment of the present invention. A monorail 61 track can be used in place of parallel rail system described above in FIG. 1. Monorail 61 track includes monorail 61, switch 63, roller 65, trolley 68, balancer 70, and ceiling mounts 73. Monorail 61 can be ceiling mounted in the orientation that best fits the particular room and type of operation. Trolley 68 can run freely along the monorail 61 with balancer 70 and garment 20 suspended from trolley 68. Monorail 61 can include curves, and extra tracks connected and controlled by switches 63. Monorail 61 has the advantage of being less expensive, easier to install, and potentially installable in operating rooms that may not accommodate the parallel rail track due to its dimensions. Operator 12 can move freely along the path of monorail 61, but operator 12 would be more limited in the motion away from the monorail 61 in a perpendicular direction. Some motion in this direction would be allowed by the spring balancer 70, which could reel out several feet of cable 72 accordingly. However, balancer 70 would exert some pull forces on the operator 12, which hinder motion somewhat. to operator's body. Monorail 61 can include a runway, such that trolley 68 can move along monorail 61. Switches 63 are integrated with monorail 61. Switches 63 are operable to connect different tracks of monorail 61, such that operator 12 can move to other areas of the room. Operator 12 can operate switches by an electronic device or any suitable means. Roller 65 attaches to trolley 68 and is positioned in monorail runway, such that roller 65 can slide along monorail runway. Roller 65 is operable to easily slide along monorail runway, such that operator 12 can move freely. Trolley 68 is positioned in monorail runway. Trolley 68 is freely movable along monorail 61. Trolley 68 can support the weight for suspended personal radiation protection garment 20, shield 22, and flap 24. Operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 has very smooth and facile motion along monorail 61 path. In another embodiment of this invention, a telescoping component on monorail 61 can allow extension of trolley 68 farther out than monorail 61 path. Balancer 70 can be a spring balancer 70 attached to trolley by a hook, and a safety chain or cable for the event of hook failure. Spring balancer 70 applies constant and controllable uplifting force on garment 20, shield 22, and flap 24. Spring balancer 70 can include a coiled flat spring, similar to a clock spring, attached to a reel with a conical shape. The conical shape provides a variable mechanical advantage, which offsets the variance of the force provided by the spring as it winds or unwinds, such that there is a relatively constant force on cable within a definable working range. Spring balancer 70 allows operator 12 wearing suspended personal radiation protection garment 20, shield 22, and flap 24 freedom of motion in the vertical Z-axis spatial plane. Operator 12 wearing the heavy and bulky garment 20, shield 22, and flap 24 can freely perform vertical motion activities, such as stooping, leaning, squatting, standing on an elevated surface. The tension can be designed to provide optimum relief of garment's weight for operator 12, and this force can be constant in all positions by operator 12. Spring balancer 70 applies a constant force to oppose the weight regardless of how much cable 72 is extended. Balancer can also take on the different embodiments explained above in FIG. 1. Ceiling mounts 73 are affixed to ceiling and attached to monorail 61. Ceiling mounts 73 are operable to securely fasten monorail 61, such that ceiling mounts 73 can support a minimum weight of monorail track 61, trolley 68, balancer 70, hanger 75, operator 12, garment 20, shield 22, and flap 24. FIG. 8 is a simplified flowchart that illustrates an example method of the suspended personal radiation protection system in accordance with an embodiment of the present invention. The flowchart begins at step 800, where operator 12 steps into suspended personal radiation protection garment 20, shield 22, and flap 24. Operator 12 can adjust suspension device's 60 height, such that shoulder plates 20 are suspended slightly above operator's shoulders. Operator 12 can adjust the length of garment 20 by fastening means, such that garment 20 covers substantially all of operator's legs. Suspended garment 20, shield 22, and flap 24 are weightless to operator 12. At step 802, operator 12 or another individual can strap garment 20 closed by fastening means 26, such that garment 20, shield 22, and flap 24 are substantially contoured to operator's body. Operator 12 can fasten belt 28 around garment 20, such that garment 20 is secured even further. Operator 12 can also wear sterile gown and gloves. This process is very fast and effortless. At step 804, operator 12 can move freely in all three spatial planes while wearing suspended personal radiation protection garment 20, shield 22, and flap 24. Operator 12 can walk diagonally, crouch, or bend sideways in a free motion while receiving protection of suspended personal radiation protection garment 20, shield 22, and flap 24. At step 806, operator 12 has complete freedom of motion to use radiation device to properly treat patient 14. Suspended personal radiation protection garment 20, shield 22, and flap 24 are substantially weightless to operator 12, such that operator 12 is comfortable and unhindered. Operator's arms are able to freely move in order to properly treat patient 14. Operator 12 can bend over patient 14 without causing pain to operator's spine. At step 808, suspended garment 20, shield 22, and flap 24 properly protect operator 12 from harmful radiation 18. Since garment 20 is suspended, garment 20, shield 22, and flap 24 can be heavier to provide more protection to operator 12. Suspended garment 20, shield 22, and flap 24 are substantially contoured to operator's body, such that a substantial area of operator's body is protected. Suspended garment 20 can also be made of thicker material to provide extra protection to operator 12. Sleeve 32 on garment 20 can provide further protection to arms and armpit area. At step 810, operator 12 can move freely to return to spot where operator 12 initially stepped into suspended personal radiation protection garment 20, shield 22, and flap 24. Operator 12 can move freely in all three spatial planes while wearing suspended personal radiation protection garment 20, shield 22, and flap 24. Operator 12 can walk diagonally, crouch, or bend sideways in a free motion while receiving protection of suspended personal radiation protection garment 20, shield 22, and flap 24. At step 812, operator 12 or another individual can quickly and effortlessly unfasten garment 20 and belt 28. Operator 12 can easily step out from the suspended garment 20, shield 22, and flap 24. garment 20, shield 22, and flap 24 can remain suspended. It is important to note that the stages and steps described above illustrate only some of the possible scenarios that may be executed by, or within, the present system. Some of these stages and/or steps may be deleted or removed where appropriate, or these stages and/or steps may be modified, enhanced, or changed considerably without departing from the scope of the present invention. In addition, a number of these operations have been described as being executed concurrently with, or in parallel to, one or more additional operations. However, the timing of these operations may be altered. The preceding example flows have been offered for purposes of teaching and discussion. Substantial flexibility is provided by the tendered system in that any suitable arrangements, chronologies, configurations, and timing mechanisms may be provided without departing from the broad scope of the present invention. Accordingly, any appropriate structure, component, or device may be included within suspended personal radiation protection system 10 to effectuate the tasks and operations of the elements and activities associated with executing compatibility functions. FIG. 9 is a simplified block diagram that illustrates a face shield and flap suspending from hanger in accordance with an embodiment of the present invention. Hanger includes widget 76, cross bar 78, drop rod 80, nut 82, shoulder plate 84, plate sleeve 86, and shield support cables 88. Hanger 75 is operable to suspend the personal radiation protection garment 20, shield 22, and flap 24. Hanger 75 is attached to cable 72. Hanger 75 is positioned above operator's head to avoid collision with operator's head during manipulations. Personal radiation protection garment 20, shield 22, and flap 24 can be detached to hanger 75, attached to hanger 75, and remain attached to hanger 75 indefinitely. For example, garment 20 can rest on the hanger similar to a clothes hanger, such that garment 20 is not resting on operator's body. Shield 22 and flap 24 can be suspended from hanger 75 by ropes, wires, cables or any other suitable means. Widget 76 connects hanger to cable. Widget 76 can be a hook, a pulley, or any suitable means to attach hanger 75 to cable 72. Widget 76 is made of material that can support a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Pulley widget 76 allows operator 12 to bend sideways, such that pulley widget 76 moves along hanger 75 to properly distribute weight. Details relating to pulley widget 76 are explained below in FIG. 5. Cross bar 78 attaches to cable 72 via widget 76. Cross bar 78 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Cross bar 78 is positioned above operator's head to avoid collision with operator's head during manipulations. Cross bar 78 can include grooves where widget 76 attaches, such that weight is properly distributed when operator 12 leans forward or backward. Drop rod 80 attaches to cross bar 78 and is held in place with a nut 82. Drop rod 80 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Drop rod 80 can attach to shoulder plate 84 in various embodiments. In one embodiment, drop rod 80 can be angled inward, such that drop rod 80 is inserted into shoulder plate sleeve 84 closer to operator's neck. This particular embodiment is effective at distributing weight and supporting the suspended garment 20, shield 22, and flap 24. Shoulder plate 84 is suspended by hanger 75. Shoulder plate 84 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Shoulder plate 84 can be one piece that extends over both shoulders or shoulder plate 84 can be two pieces, such that each shoulder plate 84 is positioned over operator's shoulders. Suspended personal radiation protection garment 20 can be placed on shoulder plate 84, such that shoulder plate 84 supports the weight of garment 20. Shoulder plates 84 can be positioned slightly above operator's shoulders, such that shoulder plates 84 act as a substitute for operator's shoulders while the garment 20 is still substantially contoured to operator's body. Plate sleeve 86 can be welded or affixed to shoulder plate 84. Plate sleeve 86 is operable for hanger to be inserted, such that plate sleeve 86 securely attaches shoulder plate 84 to hanger 75. Plate sleeve 86 is made of material that can support at least a minimum weight of the suspended personal radiation protection garment 20, shield 22, and flap 24. Plate sleeve 86 is operable for rotational motion of shoulder plate 84 relative to hanger 75. This allows operator 12 to freely move in the forward bending or rearward bending bodily motions. Bending forward will tilt shoulder plates 84 along with the tilt of the operator's shoulders, and the swivel motion of the sleeve on hanger 75 will allow hanger 75 to maintain a desirable vertical orientation rather than being forced into a tilted angulation, which would apply additional undesirable forces on hanger 75 and suspension device 60, as well as place additional downward force on cable 72. Suspended personal radiation protection face shield 22 may contain radiation-absorbing materials, such that face shield 22 attenuates X-rays, but is transparent to visible light allowing operator unhindered vision. Suspended personal radiation protection face shield 22 can be heavier and curve or bend around to cover more of operator's face than traditional radiation protection face shields 22, because operator 12 does not support the weight of the suspended personal radiation protection face shield 22. The suspended personal radiation protection face shield 22 protects operator 12 from radiation 18 approaching from the sides of operator's face. The operator 12 can wear normal corrective optical lenses behind face shield. Suspended personal radiation protection face shield 22 suspends from hanger 75. Suspended personal radiation protection face shield 22 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection face shield 22. Face shield support cables 88 are operable to suspend face shield 22 from hanger 75, such that operator 12 does not bear the weight of face shield 22. Face shield support cables 88 can also be ropes, wires, straps, rigid rods, or any suitable material to suspend the weight of face shield 22 and flap 24. Face shield support cables 88 can be affixed to hanger 75 in one or more places to achieve proper suspension. Face shield support cables 88 can be adjusted, such that face shield 22 and flap 24 are fitted properly to operator 12. Floor plate 23 can be integrated with shield 24, such that floor plate 23 may contain radiation-absorbing materials, such as acrylic lead or other metals. Floor plate 23 can be a thicker material than flap, such that floor plate 23 protects operator from harmful radiation. Suspended personal radiation protection flap 24 may contain radiation-absorbing materials, such as acrylic lead or other metals. Flap can be a softer fabric containing radiation-absorbing materials. Suspended personal radiation protection flap 24 can be thicker and heavier than traditional radiation protection flaps, because operator 12 does not support the weight of the suspended personal radiation protection flap 24. Additionally, suspended personal radiation protection flap 24 can cover more of operator's neck and thyroid area. Suspended personal radiation protection flap 24 suspends from shield 22, which suspends from hanger 75. Flap 24 can be suspended from hanger 75 as well as face shield 22. Suspended personal radiation protection flap 24 allows operator 12 to move freely in the X, Y, and Z planes simultaneously, such that operator 12 can move normally as if operator 12 is not wearing a heavy radiation protection flap 24. In another embodiment, suspended personal radiation protection shield 22 and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated, such that one piece is formed. In another embodiment, suspended personal radiation protection garment 20, shield 22, and flap 24 can be integrated with hanger 75, such that one piece is formed. Although the present invention has been described in detail with reference to particular embodiments, it should be understood that various other changes, substitutions, and alterations may be made hereto without departing from the spirit and scope of the present invention. The illustrated suspension device 60 in FIG. 1 has only been offered for purposes of example and teaching. Suitable alternatives and substitutions are envisioned and contemplated by the present invention: such alternatives and substitutions being clearly within the broad scope of suspension device 60. Using analogous reasoning, the hanger 75 illustrated by FIG. 1 may be supplanted by a single piece hanger, wires, or any other suitable devices that are conducive to properly supporting the weight of the operator 12, garment 20, face shield 22, and flap 24. In addition, while the foregoing discussion has focused on medical procedures, any other suitable environment requiring radiation protection may benefit from the compatibility teachings provided herein. Although the present invention has been described with several embodiments, a myriad of changes, variations, alterations, transformations, and modifications may be suggested to one skilled in the art, and it is intended that the present invention encompass such changes, variations, alterations, transformations, and modifications as fall within the scope of the appended claims. |
|
054597676 | summary | BACKGROUND OF THE INVENTION The present invention generally relates to a testing method for nuclear fuel materials, and more particular to a testing method for multi-component nuclear fuel particles which is characterized by a high level of accuracy and efficiency. Recent developments in nuclear reactor technology have created a corresponding need for improved fuel materials having a high level of structural integrity. In particular (as discussed in further detail below), fuel particles have been developed for high temperature gas reactor systems (hereinafter known as "HTGR" systems) which involve small, substantially spherical particles (microspheres) having an average diameter of about 300-900 .mu.m. Each of these particles includes a central core or center portion comprised of a fissionable radioactive material. In a preferred embodiment, this material will consist of .sup.235 UCO (uranium-235 carbonate). The center portion or central core of each particle is entirely covered/encapsulated by multiple protective layers preferably consisting of pyrolytic carbon, as well as at least one barrier layer preferably consisting of silicon carbide (SIC). The pyrolytic carbon layers are optimally applied by chemical vapor deposition using a conventional fluidized bed system. The SiC layer is preferably derived by the thermal decomposition of methyltrichlorosilane. The completed particles which incorporate the foregoing chemical compositions are often called "TRISO" particles, and are further discussed in the following references (incorporated herein by reference) which likewise discuss HTGR technology: Tennery, V. J., et al., "Structural Characterization of HTGR Pyrocarbon Fuel Particle Coatings", J. Am. Ceram. Soc., 60(5-6):268-274(1977); Stinton, D. P., et al., "Effect of Deposition Conditions on the Properties of Pyrolytic SiC Coatings for HTGR Fuel Particles", Ceramic Bulletin, 57(6):568-573(1978); Krautwasser, P., et al., "Raman Spectral Characterization of Silicon Carbide Nuclear Fuel Coatings", J. Am. Ceram. Soc., 66(6):424-433(1983); Smith, C. L., "SiC-Fission Product Reactions in HTGR TRISO UC.sub.2 and UC.sub.x O.sub.v Fissile Fuel: I., Kinetics of Reactions in a Thermal Gradient", J. Am. Ceram. Soc., 62(11-12):600-606(1979); and Allen, P. L., et al., "Nuclear Fuel Coated Particle Development in the Reactor Fuel Element Laboratories of the U.K. Atomic Energy Authority", Nucl. Technol., 35:246-253(1977). Furthermore, while the present invention shall be described herein with reference to a nuclear fuel particle containing a .sup.235 UCO center region with multiple pyrolytic carbon protective layers and at least one SiC barrier layer, the present invention may likewise be used in connection with nuclear fuel particles of comparable physical character/dimensions which contain other materials aside from those listed above. Further information regarding the physical, chemical, and structural character of nuclear fuel materials suitable for testing in accordance with the present invention shall be discussed in greater detail below. Of particular importance regarding the use of nuclear fuel particles (e.g. particles having a radioactive core/center portion surrounded by at least one protective layer and at least one barrier layer) is the physical strength and integrity of each particle with emphasis on the barrier layer. As indicated above, a preferred barrier layer associated with HTGR fuel particles of the type described herein is comprised of SiC. This material is chemically characterized as a moderately brittle ceramic composition. The barrier layer is of particular importance since a significant amount of the strength and structural integrity of each fuel particle is directly attributable to the barrier layer associated therewith. In addition, the barrier layer is designed to retain fission products (e.g. xenon, krypton, carbon monoxide, cerium, cesium, and palladium) within each particle unit during use in an HTGR system. The presence of a weak and ineffective barrier layer in a nuclear fuel particle will diminish the strength/durability of the particle, and will also permit the leakage of fission products outwardly from the particle. For this reason, it is desirable to test the structural integrity of a particle sample before using a particular batch or supply of fuel particles within a selected reactor system. In this regard, the present invention involves a new and unique method for testing nuclear fuel particles as discussed in further detail below. When nuclear fuel particles and brittle ceramic materials therein (e.g. SiC) are tested for mechanical strength, they exhibit a wide sample-to-sample variation in measured strength values. Strength distribution and stress analysis results are also affected by the selected test method. Many prior testing methods have been used to test the strength and structural integrity of "TRISO"-type nuclear fuel particles. For example, strength tests have been conducted using diametrical compression involving rings of SiC barrier layers removed from TRISO particles containing a center region comprised of .sup.235 UCO as discussed in Bongartz, K., et al., "The Brittle Ring Test: A Method for Measuring Strength and Young's Modulus on Coatings of HTR Fuel Particles", J. Nucl. Mater., 62:123-137(1976). Testing as described in the foregoing article involved the production of ring sections from each test particle using parallel cuts through the particle. Compressive force was thereafter applied to each ring section until it fractured in order to generate information regarding stress characteristics of the ring section under consideration. However, in many instances involving the use of this procedure, structural damage occurred to the ring sections during removal from each particle. Ring sections damaged during processing (e.g. cutting and polishing) were thereafter discarded since they could not be effectively tested. As a result, data was lost for many ring sections, especially those having inherent defects or weaknesses which could have generated valuable comparative information. Furthermore, when an individual ring section is tested using diametrical compression, only a small portion of the inner barrier layer (e.g. SiC) associated with each particle is exposed to maximum tensile compression. A particular ring section may represent only 10% of a particle's SiC surface area. In this regard, the area under maximum tensile stress may be about 10% (or less) of the ring section. For any particle being tested, use of the foregoing test procedure will therefore expose only about 1% of a given particle to maximum stress levels. In contrast, when the selected fuel particles are actually used in a reactor system, the entire surface and volume of the barrier layer (SIC) is exposed to maximum stress levels. Another testing technique is discussed in Gilchrist, K. E., et al., "A Technique for Measuring the Strength of High Temperature Reactor Fuel Particle Coatings", J. Nucl. Mater., 43:347-350(1972). This technique involved a probability-based method designed to test the surface and interior volume of the barrier layer (SIC) in each particle. To implement this test, various portions of each test particle were physically removed (e.g. by cutting and the like), ultimately resulting in the preparation of a hollow hemispherical section from the particle. The hemispherical section was then cemented over a small hole in a metal (copper) plate and internally pressurized to determine the amount of pressure necessary to fracture the section. Further information regarding this technique is disclosed in Allen, P. L., et al. "Nuclear Fuel Coated Particle Development in the Reactor Fuel Element Laboratories of the U.K. Atomic Energy Authority", Nuclear Technology, 35:246-253(1977). Finally, an additional method is disclosed in Minkato, K., et al., "Crushing Strength of Irradiated TRISO Coated Fuel Particles", J. Nucl. Mater., 119:326-332(1983). The method disclosed in this reference (hereinafter referred to as the "point load test") involved a crush test designed to determine the strength of selected fuel particles. Specifically, individual particles were positioned between flat platens of hardened steel and compressed between the platens. This method is particularly characterized by a process in which limited portions of the selected fuel particle (e.g. those portions or "points" touching each flat platen) are exposed to stress levels compared with the present invention which more broadly distributes compressive forces. The considerable benefits associated with the broad distribution of compressive forces, as well as further technical and substantive comparisons between both methods will be discussed below. The present invention involves a unique and highly efficient method which is characterized by numerous benefits compared with prior testing methods including but not limited to: (1) the avoidance of potentially-destructive process steps which involve the physical removal by cutting and the like of various sections of the selected fuel particles; (2) an absence of process steps involving the use of adhesive agents or other materials designed to retain various portions of test particles within the selected testing apparatus; and (3) the use of a process which more broadly distributes compressive forces over test particles, thereby resulting in more accurate, complete, and comprehensive data involving structural integrity, stress capability, and the like. In this regard, the present invention provides numerous advantages compared with prior methods in terms of effectiveness, accuracy, and simplicity. For this reason, the invention described herein represents an advance in the art of nuclear fuel testing as discussed in greater detail below. SUMMARY OF THE INVENTION It is an object of the present invention to a method for testing the strength and structural integrity of nuclear fuel particles which involves a minimal number of process steps and testing components/structures. It is another object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which is readily undertaken in a rapid and efficient manner so that large numbers of test particles may be accurately and effectively analyzed. It is another object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which is applicable to a wide variety of different nuclear fuel materials. It is a further object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which avoids the destructive physical treatment of test particles (e.g. the removal by cutting and the like of large sections from each fuel particle). It is a further object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which provides a controlled amount of compressive force to test particles in a highly accurate manner so that complete analytical results may be achieved. It is a still further object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which involves the application of compressive force in a manner wherein the applied forces are widely distributed over each fuel particle. As a result, a significantly greater degree of testing accuracy is achieved compared with other methods including those which involve the application of compressive force to each particle at single points thereon. It is an even further object of the invention to provide a method for testing the strength and structural integrity of nuclear fuel particles which avoids the use of complex testing equipment and chemical fixatives (e.g. adhesives) in the testing process. In accordance with the foregoing objects, the present invention involves a highly efficient and unique method for testing the strength and structural integrity of nuclear fuel particles which are substantially spherical in configuration. In particular, the method described herein is particularly designed to test spherical fuel particles which individually comprise a center region of fissionable nuclear/radioactive material (e.g. .sup.235 UCO) and at least one barrier layer surrounding the center region. The barrier layer provides the nuclear fuel particle with a significant and dominant part of its structural integrity, and also maintains fission products within the particle during use in a selected reactor. An exemplary and preferred composition suitable for manufacturing the barrier layer will consist of SiC. Each fuel particle comprises a hemispherical upper portion and a hemispherical lower portion, with the upper and lower portions being equal in size. In addition, each particle may likewise include at least one protective layer surrounding the barrier layer and/or beneath the barrier layer. Exemplary materials which may be used to construct each protective layer will consist of pyrolytic carbon and equivalent compositions. The protective layer or layers (especially those outside of the barrier layer) may be retained in position during testing of the selected particle or may optionally be removed as discussed below. Regarding the construction materials used to manufacture the fuel particles, the present invention shall not be limited to the testing of any particular fuel materials and compositions associated therewith. Instead, many different types of nuclear fuel particles using different components/materials may be tested with an equal degree of efficiency. In accordance with the invention, the fuel particle to be tested is first placed in a testing apparatus comprising an upper compression member and a lower compression member. In a preferred embodiment, each compression member will consist of a rigid and durable planar structure (e.g. constructed from stainless steel.) The upper compression member will preferably include a first pressure-exerting surface (optimally planar in construction) and at least one first depression therein beginning at the first pressure-exerting surface and extending inwardly into the upper compression member. As discussed in further detail below, the first depression is preferably circular in cross-section and sized to allow only part of the upper portion of the selected test particle therein while preventing entry of all of the upper portion into the first depression. Likewise, the lower compression member will include a second pressure-exerting surface (preferably planar in construction) and at least one second depression therein which is equal in size, shape, and configuration to the first depression. The second depression begins at the second pressure-exerting surface and extends inwardly into the lower compression member. The second depression is preferably circular in cross-section and sized to allow only part of the lower portion of the selected fuel particle therein while preventing entry of all of the lower portion into the second depression. The upper compression member and the lower compression member are positioned within the testing apparatus so that the first pressure-exerting surface faces the second pressure-exerting surface and is parallel thereto, with the first depression in the first pressure exerting-surface being directly above and in axial alignment with the second depression in the second pressure-exerting surface. Thereafter, the selected fuel particle is positioned between the upper compression member and the lower compression member within the testing apparatus, with at least part of the upper portion of the fuel particle being positioned within the first depression in the upper compression member, and at least part of the lower portion of the fuel particle being positioned within the second depression in the lower compression member. As a result, the fuel particle is securely positioned between the upper and lower compression members in a manner which prevents the axial, rotational, and lateral movement thereof without the use of any auxiliary structural components or chemical fixatives (adhesives). Compressive forces may then be applied to the fuel particle in a highly efficient manner wherein the forces are broadly distributed relative to the test particle. As discussed below, the use of a system which allows the broad distribution of forces provides more accurate results compared with systems which distribute forces at discrete and singular points on the test particle. After engagement of the selected particle within the testing apparatus and between the upper and lower compression members, the fuel particle is compressed between the compression members until it fractures. Compression within the testing apparatus is accomplished by movement of at least one of the upper and lower compression members toward and against the fuel particle at a preferred rate of about 0.002-0.004 inches per minute. Finally, the amount of compressive force which was needed by the testing apparatus to fracture the fuel particle is measured and recorded. This value provides important and accurate information regarding the strength and structural integrity of the fuel particle being tested. In addition, the force value necessary to fracture the particle may thereafter be mathematically converted into a tensile strength value which can then be plotted, characterized, and/or interpreted using one of many different standard statistical approaches. An important aspect of the foregoing process involves proper formation of the first and second depressions within the upper and lower compression members. In addition, the testing apparatus should be configured to achieve the precise axial alignment and overhead orientation of the first depression relative to the second depression. The first and second depressions may be formed within the upper and lower compression members by manual processes including but not limited to machining and/or drilling of the upper and lower compression members as desired. Orientation of the first and second depressions may also be accomplished manually by selective manipulation of the upper and lower compression members within the testing apparatus. In an alternative embodiment, the first depression and second depression are formed in precise axial alignment by initially providing a depression-forming spherical member having a hardness level which exceeds that of the upper compression member and the lower compression member. As a result, deformation and/or fracturing of the spherical member is prevented when the spherical member is compressed between the upper and lower compression members as discussed below. For example, if the upper and lower compression members are constructed from stainless steel, an exemplary and preferred composition suitable for producing the depression-forming spherical member will consist of zirconia. To properly form the first and second depressions, the spherical member is positioned within the testing apparatus and placed between the upper and lower compression members. Thereafter, the spherical member is compressed between the upper compression member and the lower compression member until it is pressed inwardly into the upper and lower compression members. As a result, the first depression is formed within the upper compression member and the second depression is formed within the lower compression member in a manner wherein the first depression is directly above and in precise axial alignment with the second depression. The spherical member is then removed from the testing apparatus, followed by insertion and testing of the selected fuel particle using the steps described above. This alternative method ensures that the first and second depressions are formed in a highly exact and accurate manner without the need for precise pre-testing alignment procedures. The present invention enables highly accurate results to be achieved while avoiding the use of complex and intricate testing processes. In addition, implementation of the invention enables a broader distribution of compressive forces to each test particle so that widely-distributed flaws within the particle have a greater chance of detection and characterization compared with narrow-distribution compression systems. These benefits are achieved without removing portions of the test particle by cutting or other disruptive physical processes which can introduce additional flaws into the particle prior to testing. Finally, the present invention enables precise and secure immobilization of the fuel particle being tested without the use of extensive equipment and chemical fixative materials (e.g. adhesives). In this regard, the invention represents an advance in the art of nuclear fuel technology, and enables the testing of nuclear materials in a highly advanced and efficient manner. These and other objects, features, and advantages of the present invention will be described below in the following Brief Description of the Drawings and Detailed Description of Preferred Embodiments. |
046577335 | description | DETAILED DESCRIPTION FIG. 1 shows a fuel assembly employed in a nuclear reactor of improved yield as described in French No. 2,535,508. This assembly comprises a set of fuel rods 1 which consist of a sheath tube enclosing pellets of fuel material. The tube is closed at its lower end by a plug 2 and at its upper end by a plug 3. The rod zone 1a located just above the lower plug 2 is filled with fertile material which replaces the fissile fuel material filling the median zone of the rod. The same applies to the zone 1b located below the upper plug 3 of the rod. Thus in each of the assemblies and in the entire core, an upper blanket and a lower blanket of fertile material is formed, allowing the neutron yield of the reactor to be improved, as described in French No. 2,535,508. The rods 1 are held in a structure consisting of the spacer grids 5 for transversal positioning of rods 1, the lower end plate 6, the upper end plate 8 and the guide tubes 7 which are connected to the grids 5 and which are fixed at one of their ends on the lower end plate 6 and at their other ends on the upper end plate 8. The guide tubes 7 thus ensure the positioning and the rigidity of the support structure of the rods 1. The guide tubes 7 are fixed in openings which penetrate the end plates 6 and 8, by means of a tapped end portion on which may be engaged the threaded portion of a bush 9 (for the lower end plate) or 10 (for the upper end plate). After screwing the bushes 9 and 10 into the tapped ends of the guide tubes 7, locking of these bushes in rotation is carried out. The fuel assembly is fixed by its lower part on the lower core plate 12 by a device with a central control 13 shown in outline in FIG. 1. This device for fixing the lower part of the assembly was the subject of French No. 2,517,867 in the name of the assignee of the present application. With reference to FIGS. 1 to 5, a description will now be given of the device for fixing the lower part of the fuel rods on the lower end plate 6. The lower plug 2 of the fuel rods is machined over the majority of its length in a section which is seen in FIG. 2 and which has three radial branches 2a, 2b and 2c at 120.degree.. Each of the radial expansions corresponding to the branches 2a, 2b and 2c comprises in addition in its lower portion a shoulder 15 projecting relative to the circular section of the rod in its cylindrical part in which is inscribed the section of the plug, with the exception of the parts projecting in the radial direction 15. An attachment plate 14 rests with its lower face on the upper face of the end plate 6 on which this plate 14 is fixed by threaded hollow bushes 16 engaged in openings 17 which penetrate the lower end plate 6. Each of the openings 17 comprises a shoulder 17a on which bears the head 16a of the bush 16. The threaded part 16b of the bush 16 is screwed into a tapped hole provided in the plate 14 in the extension of the opening 17 of the end plate 6. After the bush 16 is screwed in, its locking in rotation is carried out by deformation of an upper skirt 16c extending the head 16a of the bush, into grooves provided at the entry of the opening 17. It is possible in this way to fix the plate 14 in a perfectly stable manner on the end plate 6. The plate 14 comprises openings 18 with a diameter which is substantially equal to the diameter of the cylindrical part of the fuel rods 1, and in locations which correspond to the locations of the rods of the bundle. In the extension of each of the rods and of the openings 18, the end plate 6 is also pierced by holes 20 of a diameter near to that of the rods. The end plate 6 comprises therefore three types of perforations which penetrate right through it: the fixing openings of the guide tubes, the passage openings for the hollow attachment bushes 16 of the plate 14 and the holes 20 allowing the passage of the cooling water of the reactor around the lower part of the rods 1. The hollow bushes 16 also allow the passage of the cooling water. Similarly the attachment plate 14 comprises three types of perforations penetrating right through it: the holes for passing the guide tubes 7, the openings 18 for fixing the fuel rods 1 and the tapped holes for the screwing in of bushes 16. The lower surface of the attachment plate 14 has furthermore a set of grooves 21 which are parallel to each other and each of which corresponds to a row of fuel rods. The openings 18 for the passage of the lower part of the rods lead out at their lower end into the interior of the grooves 21. As may be seen in FIG. 1, the depth of the grooves 21 corresponds to the height of the radial shoulder 15 provided on the plugs 2 of the fuel rods 1. In the case of an assembly for a nuclear reactor with improved yield as described, this depth is 5 mm. The dimension of the shoulders 15 in the radial direction is 2 mm. The width of the grooves 21 machined on the lower surface of the plate 14 is chosen so that one of the radial branches of the lower part of the rod is perpendicular to the axis of the groove, as shown in FIG. 2. The three branches being at 120.degree., the axis of the rod is offset to a slight extent relative to the median plane of the groove, so that it is necessary to provide cylindrical recesses 24 in a wall of the groove, to make it possible to place the rods on the plate 14. In the case of an assembly with rods of a diameter of 9.5 mm, the width of the groove is 8 mm. We shall now describe the operations required for the fitting and the fixing of the rods in the assembly. This fitting is carried out on an assembly the structure of which comprises the upper end plate, the guide tubes and the spacer plates, with the lower end plate not yet being placed in position. To begin with, the attachment plate 14 is placed in position on the lower end of the guide tubes, the plate 14 comprising a system of holes corresponding to the position of these guide tubes. The rods are then inserted through the positioning plate 14 and through the spacer grids 5, these rods being stopped by the shoulders 15 of the lower plugs 2 which abut on the bottom of the grooves 21 machined in the attachment plate 14. The rods can be placed in position in only one way in the grooves which ensure their locking in rotation. The lower plate 6 is then placed in position on the ends of the guide tubes, the plate 14 then resting on the upper surface of the end plate 6. The lower end plate is then attached to the guide tubes by virtue of the threaded bushes 9 which are locked in rotation when they have been screwed into the ends of the guide tubes. The plate 14 is then fixed on the end plate 6 by virtue of the threaded bushes 16 which are also locked in rotation when they have been screwed in, by virtue of the skirt 16c which is deformed inside the grooves provided in the end plate 6. The rods 1 are then perfectly immobilized in the assembly in axial translation as well as in rotation. These rods are held laterally by the grids 5 which comprise only small bosses for holding the rods, and no longer the Inconel springs, as did the spacer grids of the assemblies of the prior art. Applicant's tests have shown that the rods fixed in this way are not subjected to abnormal modes of vibration in the reactor during operation. Furthermore, these rods are perfectly held in the axial direction by the attachment plate 14. Nevertheless, the cross-section of flow of the cooling water in the assembly remains considerable by virtue of the shape of the lower plugs which permits the flow of the water through the end plate and the attachment plate, in a cross-section which is comparable to the cross-section of the rod and by virtue of the hollow bushes 16. Finally, the dismantling of the lower end plate and of the rods is extremely easy because it is sufficient to unscrew the assembly bushes 16 from the plate 14 and the assembly bushes 9 from the guide tubes 7. During the unscrewing, the bush skirt is deformed to undo the locking in rotation of these bushes. It is possible to devise other means of fixing the plate 14 on the end plate 6, so long as these means of fixing permit easy dismantling as do the bushes which have just been described. It is equally possible to devise a shape of the profiled part of the lower plug of the rods which is slightly different from that which has been described, provided that this shape allows an orientation and a locking in rotation of the rods in the grooves. Finally, the assembly according to the invention applies not only in the case of the nuclear reactors with improved yield where the core comprises a layer of fertile material in its upper part and in its lower part, but also in the case of all the nuclear reactors in which the neutron balance is improved by reducing the quantity of material absorbing the neutrons in the fuel assemblies. |
claims | 1. A nuclear reactor comprising:a pressure vessel;a core of fissile material enclosed within the pressure vessel, the pressure vessel configured to have a coolant pass there through, traversing the core;at least one control rod moveable into and out of the core, the control rod having a magnetized upper portion and a drive mechanism that moves the magnetized upper portion over a travel length as the control rod moves over a full extent of its travel, the drive mechanism being surrounded by the coolant, except for energizing feeds which power the drive mechanism; anda control rod position indicator supported along at least a portion of the travel length and surrounded by the coolant, the control rod position indicator comprising:a plurality of magnetic switch modules that respectively close a magnetic circuit when the magnetized upper portion of the control rod passes by, the magnetic switch modules being supported at discrete spaced locations along the travel length; anda hermetically sealed nonmagnetic tube enclosing the magnetic switches and shielding the magnetic switches from the surrounding coolant. 2. The nuclear reactor of claim 1 wherein the hermetically sealed nonmagnetic tube is constructed substantially from metallic and/or ceramic materials. 3. The nuclear reactor of claim 2 wherein the metal is stainless steel. 4. The nuclear reactor of claim 1 wherein at least some of the magnetic switch modules comprise a series arrangement of two magnetic switches. 5. The nuclear reactor of claim 4 wherein the magnetic switches are reed switches. 6. The nuclear reactor of claim 1 wherein each of the magnetic switch modules has a module input and a module output with the module inputs connected together with a series resistive load in between each of the module inputs and a first position indicator output terminal and with the module outputs connected in parallel at a second position indicator output terminal, so that the series arrangement of resistive loads forms a voltage divider network. 7. The nuclear reactor of claim 1 wherein the magnetic switch modules are supported on a nonmagnetic terminal strip. 8. The nuclear reactor of claim 7 wherein the nonmagnetic terminal strip is substantially constructed from a ceramic material. 9. The nuclear reactor of claim 1 wherein the space between discrete locations of the magnetic switch modules is approximately 1½ in (3.8 cm). 10. The nuclear reactor of claim 9 including an extra magnetic switch module below a lowermost of the magnetic switch modules that is positioned at 1¼ in. (3.2 cm) from a bottom of the travel length. 11. The nuclear reactor of claim 10 wherein the extra magnetic switch module is connected in parallel to the other magnetic switch modules. 12. The nuclear reactor power generating system of claim 5 wherein the reed switches are encased in a high temperature potting compound. 13. The nuclear reactor power generating system of claim 5 wherein some of the reed switches are connected in series and some of the reed switches are connected in parallel. 14. The nuclear reactor power generating system of claim 5 wherein the reed switches are constructed from metallic, ceramic and glass materials. |
|
060699302 | description | DETAILED DESCRIPTION Referring to FIGS. 1 and 2, a known boiling water reactor 10 includes a drywell 12, a wetwell 14, a Gravity Driven Cooling System (GDCS) 16 and a passive containment cooling system (PCCS) 18. A reactor pressure vessel (RPV) having a core (not shown in FIG. 1) is positioned in drywell 12 and is coupled to GDCS 16. GDCS 16 is substantially separated from drywell 12 by a GDCS wall 20, and includes a pool of coolant 22 positioned so that when coolant from pool 22 must be supplied to the RPV, the coolant flows, under gravity forces, through a GDCS coolant delivery line 24 into the RPV. Under normal reactor operating conditions, however, coolant from GDCS 16 does not flow into the RPV. Wetwell 14 includes a suppression pool of water 26 and is substantially separated from both drywell 12 and GDCS pool 22. An air space 28 above suppression pool 26 is connected to an air space 30 above GDCS pool 22 via a pipe 32 or other connecting element. A wall 34 extends between wetwell 14 and drywell 12, and an opening 36 extends therethrough to provide communication between air space 28 above suppression pool 26 and drywell 12. A vacuum breaker assembly 38 is coupled to wall 34 to seal opening 36. Particularly, vacuum breaker assembly 38 includes a valve body 40 and a vacuum breaker 42. Valve body 40 includes an interior chamber 44, and an access cover 46 is coupled to valve body 40 to cover interior chamber 44. Four outlet passages, or filter screens, 48 extend from chamber 44, and facilitate transporting fluid between chamber 44 and a location outside of valve body 40. Vacuum breaker 42 is positioned within chamber 44 and coupled to valve body 40. Vacuum breaker 42 is configured to move between a first position, or closed position, where vacuum breaker 42 substantially prevents fluid from passing through opening 36, and a second position, or open position, where vacuum breaker 42 enables fluid to pass from wetwell 14 to drywell 12 through opening 36 and outlet passages 48. PCCS 18 includes a set of passive containment cooling condensers 50 (only one condenser 50 is shown in FIG. 1) positioned in a pool of water, or IC/PCC pool, 52, which is located outside containment drywell 12. Each condenser 50 includes an upper drum 54, a lower drum 56 and a plurality of tubes 58 extending between upper drum 54 and lower drum 56. Tubes 58 are configured to condense steam received from upper drum 54 and to transport the condensed steam to lower drum 56. A steam inlet path 60 extends between drywell 12 and upper drums 54 (only one upper drum 54 is shown in FIG. 1) and is configured to transport steam from within drywell 12 to upper drums 54. A condensate drain line 62 extends from each lower drum 56 (only one lower drum 56 is shown in FIG. 1) and into drywell 12, and a noncondensibles vent line 64 extends from each lower drum 56 and into suppression pool 26. In operation, PCCS 18 and vacuum breaker assembly 38 cooperate to limit pressure within drywell 12 to a pressure below the design pressure of drywell 12 and to keep the pressure differential between drywell 12 and wetwell 14 sufficiently great to force noncondensibles to flow from PCCS 18 to wetwell 14 when needed. Particularly, vacuum breaker 42 operates as a check valve and if pressure in wetwell 14 becomes sufficiently great compared to pressure in drywell 12, vacuum breaker 42 opens and allows fluid to pass from wetwell 14 to drywell 12 and reduce the differential pressure. Alternatively, if the pressure differential between wetwell 14 and drywell 12 is insufficient to force noncondensibles to flow from PCCS 18 to wetwell 14, then vacuum breaker 42 closes to substantially prevent fluid from passing from wetwell 14 to drywell 12. As explained above, known vacuum breakers sometimes become stuck in the open position, which may result in the pressure differential between wetwell 14 and drywell 12 to reduce too much. In such case, it is possible for noncondensibles to build up in lower drums 56 and tubes 58 and render PCCS 18 inoperable. FIG. 3 is a schematic illustration of a section of a boiling water reactor 70 in accordance with one embodiment of the present invention. Boiling water reactor 70 includes a drywell 72, a wetwell 74, a Gravity Driven Cooling System (GDCS) 76 and a passive containment cooling system (PCCS) 78. A reactor pressure vessel (RPV) having a core (not shown in FIG. 1) is positioned in drywell 72 and is coupled to GDCS 76. GDCS 76 is substantially separated from drywell 72 by a GDCS wall 80, and includes a pool of coolant 82 positioned so that when coolant from pool 82 must be supplied to the RPV, the coolant flows, under gravity forces, through a GDCS coolant delivery line 84 into the RPV. Under normal reactor operating conditions, however, coolant from GDCS 76 does not flow into the RPV. Wetwell 74 includes a suppression pool of water 86 and is substantially separated from both drywell 72 and GDCS pool 82. An air space 88 above suppression pool 86 is connected to an air space 90 above GDCS pool 82 via a pipe 92 or other connecting element. A wall 94 extends between wetwell 74 and drywell 72, and an opening 96 extends therethrough to provide communication between air space 88 above suppression pool 86 and drywell 72. A vacuum breaker assembly 98 is coupled to wall 94 to seal opening 96. Vacuum breaker assembly 98 includes a valve body 100 and a vacuum breaker 102. Valve body 100 includes an interior chamber 104, and an access cover 106 is coupled to valve body 100 to cover interior chamber 104. Four outlet passages, or filter screens, 108 extend from chamber 104, and facilitate transporting fluid between chamber 104 and a location outside of valve body 100. Vacuum breaker 102 is positioned within chamber 104 and coupled to valve body 100. Vacuum breaker 102 is configured to move between a first position, or closed position, where vacuum breaker 102 substantially prevents fluid from passing through opening 96, and a second position, or open position, where vacuum breaker 102 enables fluid to pass from wetwell 74 to drywell 72 through opening 96 and outlet passages 108. Vacuum breaker assemblies are known. PCCS 78 includes a set of, e.g., two, passive containment cooling condensers 110 (only one condenser 110 is shown in FIG. 1) positioned in a pool of water, or IC/PCC pool, 112, which is located outside containment drywell 72. Each condenser 110 includes an upper drum 114, a lower drum 116 and a plurality of tubes 118 extending between upper drum 114 and lower drum 116. Tubes 118 are configured to condense steam received from upper drum 114 and to transport the condensed steam to lower drum 116. A steam inlet path 120 extends between drywell 72 and upper drums 114 (only one upper drum 114 is shown in FIG. 3) and is configured to transport steam and noncondensible gas from within drywell 72 to upper drums 114. A condensate drain line 122 extends from each lower drum 116 (only one lower drum 116 is shown in FIG. 3) and into drywell 72. A PCCS vent line 124 extends from each lower drum 116 and into suppression pool 86. Particularly, each vent line 124 is substantially hollow and includes a first end 126, a second end 128, and a passage 130 extending between ends 126 and 128. First end 126 is coupled to one of lower drums 116 and second end 128 is submerged in suppression pool 86. To facilitate removing noncondensibles from PCCS 78 even while vacuum breaker 102 is in the open position, a vent line branch 132 is coupled between each vent line 124 and vacuum breaker assembly 98. Each vent line branch 132 is substantially hollow and includes a first end 134, a second end 136, and a passage 138 extending between ends 134 and 136. Branch first ends 134 are coupled to an intermediate portion 140 of vent line 124 so that each branch passage 138 is in communication with vent line passage 130. Branch second ends 136 are coupled to a respective vacuum breaker outlet passages 108. Referring now to FIG. 4, vacuum breaker assembly 98 is coupled to two vent lines 124. One branch 132 extends between one vent line 124 and one vacuum filter screen 108. To substantially maintain the primary function of vacuum breaker 102, e.g., to substantially ensure vacuum breaker 102 operates as a check valve which allows fluid to pass from wetwell 74 to drywell 72 to substantially prevent a large differential pressure from developing between wetwell 74 and drywell 72, each branch 132 is configured to substantially prevent condensate from accumulating around vacuum breaker 102. Particularly, each branch 132 is coupled between breaker valve assembly 44 and vent line 124 so that each branch 132 slopes substantially downwardly between its second end 136 and first end 134. Accordingly, each branch passage 138 slopes generally downwardly between branch second end 136 and branch first end 134, and facilitates draining condensate from each branch 132 and into suppression pool 86 via vent line passage 130. In addition, each vent line 124 is coupled to another vent line 124. Particularly, a cross-tie 142 (FIG. 3) extends between each vent line 124 and another vent line 124. Cross-ties 142 (only one cross-tie 142 is shown in FIG. 3) may either be positioned in wetwell 74 (as shown in FIG. 3) or drywell 72. In one embodiment of the present invention, to further reduce leakage between drywell 72 and wetwell 74, e.g., to limit the pressure differential between and leakage from drywell 72 to wetwell 74 even when vacuum breaker 102 is operating properly, and referring again to FIG. 3, PCCS 78 further includes a vent bypass line 144 extending between vent line 124 and wetwell 74. Vent bypass line 144 includes a first end 146, a second end 148, and a passage 150 extending between its respective first and second ends 146 and 148. First end 146 is coupled to vent line 124 adjacent vent line second end 128 so that vent bypass line passage 150 is in communication with vent line passage 130. Second end 148 of vent bypass line 144 is positioned in wetwell 74, and includes a valve 152 configured to move between a first, or closed, position and a second, or open position. Valve 152 may, for example, be an explosively opened valve, and is configured to be activated, e.g., opened, during a GDCS pool 82 drain down. Accordingly, vent bypass line 144 provides a substantially low flow resistance path that forces a majority of leak flow to pass through PCCS condensers 110 and enables noncondensibles to clear from PCCS condensers 110 even with a small drywell 72 to wetwell 74 pressure differential. The above described system facilitates removing noncondensibles from the PCCS even if the vacuum breaker is in the open position. Such system also facilitates maintaining an acceptable drywell to wetwell pressure differential. In accordance with another embodiment of the present invention, and referring to FIG. 5, a vent bypass line 160 is extended between vent line 124 and wetwell 74 by coupling vent bypass line 160 between vent line 124 and GDCS pool of coolant 82. Particularly, vent bypass line 160 includes a first end 162, a second end 164, and a passage extending between first and second respective ends 162 and 164. First end 162 is coupled to vent line 124 adjacent vent line first end 126 in drywell 72. Second end 164 is coupled to GDCS delivery line 84 in wetwell 74. Second end 164 is spaced from first end 162 so that GDCS pool 82 substantially seals bypass line 160 during blow down. A height H between second end 164 and first end 162 is selected so that such seal will not be broken during a peak pressure differential during blow down, and so that when such seal is broken, GDCS pool 82 operates as a suppression pool for condensate flowing through bypass line 160. After drain down, however, an open connection exists between vent line 124, GDCS air space 90 and wetwell air space 88 and, accordingly, vent bypass line 160 provides a substantially low flow resistance path that forces a majority of leak flow to pass through PCCS condensers 110. From the preceding description of various embodiments of the present invention, it is evident that the objects of the invention are attained. Although the invention has been described and illustrated in detail, it is to be clearly understood that the same is intended by way of illustration and example only and is not to be taken by way of limitation. For example, the above described system was described in connection with one set of two PCCS condensers and two vent lines. However, such system may include more than two condensers, and also may include more than two vent lines. Accordingly, the spirit and scope of the invention are to be limited only by the terms of the appended claims. |
050283847 | description | DETAILED DESCRIPTION OF THE INVENTION Referring to the drawing, a water cooled, boiling water nuclear fission reactor plant 10 for producing steam is shown combined with a steam powered turbine driving an electrical power generator. The nuclear fission reactor plant 10, as is typical, comprises a containment structure 12 housing the nuclear reactor and isolating the radioactive material and radiation produced products from the outer environment as a safety precaution. Housed within the protective containment structure 12 is the reactor pressure vessel 14 containing a core 16 of fissionable fuel. Circulating coolant water surrounds at least a majority of the reactor fuel core 16. Control rods 18 regulate the neutron sustained fission reaction of the fissionable fuel of the core 16, and in turn the occurrence and level of heat producing fuel fission for forming steam from the circulating coolant water. A steam separator and dryer system 20 is positioned above the fission heat emitting fuel 16 which produces steam from the surrounding coolant water, whereby the steam vapor rising from the fuel core is released from entrained liquid. Thus, the hot pressurized, steam discharged from the reactor pressure vessel is essentially free of ineffectual and corrosion causing liquid water, and thus suitable for turbine driving service. The dry steam vapor from the reactor pressure vessel 14 is passed through steam conduit 22 to a steam turbine 24, producing rotary movement which is transferred to an electrical generator 26 for producing electrical power. The spent steam exhausted from the turbine 24, now reduced to low pressure, is passed to a steam condenser 30 where it is cooled and converted to liquid form from recycling back through a condensed coolant water return conduit 32 to the pressure vessel 14 for reuse. Thus the coolant water, in the form of liquid or of gaseous steam, continuously circulation through the coolant system, removing heat energy from the heat producing fuel for the formation of steam, which in turn drives the turbine 24, is condensed back to liquid form, and returned to repeat the cycle. A practiced measure for inhibiting corrosion of certain types in nuclear reactor coolant water circulating systems such as described, consists of adding hydrogen to the recycling water as a means for reducing the free oxygen content, at least a portion of which is the result of radiation induced dissociation of some of the water. Accordingly, a hydrogen feed supply 34 can be provided to feed hydrogen into the coolant water system, such as into the condensed coolant water return conduit 32. However, as noted above, there is a negative effort to increasing the hydrogen concentration of the reactor coolant water. Hydrogen apparently promotes the conversion of non-volatile nitrogen compounds present in the coolant water to volatile nitrogen compounds such as ammonia. As noted, this phenomenon coupled with the radiations induced transmutation of oxygen atoms to the gamma ray emitting nitrogen16 isotope, results in volatile radioactive nitrogen compounds in the reactor coolant system. Such volatile radioactive nitrogen compounds, for example ammonia, entrained in the steam are carried beyond the reactor pressure vessel and the radiation retaining containment structure 12 and through the complete coolant circulating system. Thus, gamma ray emitting material passes through the turbine of power generating unit increasing the radiation level in the plant facility outside the enclosing protective containment 12. It has been observed, for example, that radiation levels within the steam system have been increased up to approximately five fold due to such circumstances. In accordance with this invention, the conveyance of radiation emitting volatile material, such as ammonia comprising the nitrogen16 isotope, out from the reactor pressure vessel and throughout the steam system including the steam turbine, thereby raising the radiation level outside the reactor containment and within the turbine/generator facility, is inhibited and controlled. Nitrogen containing compounds comprising any nitrogen16 isotope, in more volatile forms such as ammonia, are oxidized to non-volatile, water soluble forms comprising nitrates (NO-3) and/or nitrites (NO-2) by catalytic oxidation within the reactor pressure vessel to preclude their escape with the emerging steam. Oxidizing catalysts for use in the practice of this invention comprise metallic oxides having surfaces with oxidizing catalytic properties, such as oxides of group B metals of the periodic chart of elements, namely titanium dioxide and zirconium dioxide. Such catalytic oxidizing metals can be applied in the practice of this invention is a variety of arrangements for achieving the objective and advantages of chancing the safety of reactor plant operating and/or maintenance personnel performing outside of the protective reactor containment structure. One embodiment for the practice of this invention comprises constructing at least a potion or the conventional steam separator and/or dryer units from titanium or zirconium metal and oxidizing apt portion of it surface to provide the means for catalytic oxidation of ammonia to nitrates and/or nitrites. Another embodiment of this invention comprises surfacing at least a portion of the steam separator and/or dryer units, or other appropriate structure members within the reactor pressure vessel, with a suitable catalytic oxidizing metal such as titanium dioxide or zirconium dioxide. For example surfaces of conventional structural member can be plated by common means with titanium or zirconium metal, then oxidized on their exposed face. Alternatively catalytic oxidizers can be provided by affixing added component of high surface area bodies such as titanium dioxide and/or zirconium dioxide, for example metal oxide sponge, in effective locations within the reactor pressure vessel, or external thereto for catalytic oxidation prior to the steam leaving the containment structures. Such added catalytic oxidizing components can be located at any position providing high surface contract with the evolving or flowing steam and any vapor entrained therewith. According any apt arrangement will suffice whereby the produced steam and any entrained vapor are subjected to extensive contact with the surface of the catalytic oxidizing metal. |
summary | ||
summary | ||
abstract | Systems and methods that facilitate the formation and maintenance of new High Performance Field Reversed Configurations (FRCs). An FRC system for the High Performance FRC (HPF) includes a central confinement vessel surrounded by two diametrically opposed reversed-field-theta-pinch formation sections and, beyond the formation sections, two divertor chambers to control neutral density and impurity contamination. A magnetic system includes a series of quasi-dc coils axially positioned along the FRC system components, quasi-dc mirror coils between the confinement chamber and the adjacent formation sections, and mirror plugs between the formation sections and the divertors. The formation sections include modular pulsed power formation systems that enable FRCs to be formed in-situ and then accelerated and injected (=static formation) or formed and accelerated simultaneously (=dynamic formation). The FRC system further includes neutral atom beam injectors, a pellet injector, gettering systems, axial plasma guns and flux surface biasing electrodes. |
|
051446472 | abstract | A radiation exposure field limiting apparatus which prevents leakage of X-rays through a gap between each adjacent ones of leaves of radiation shielding blocks and wherein each leaf of the radiation shielding blocks is simplified in sectional shape and can be produced at a reduced cost. The leaves of the apparatus are in the form of plates and are mounted for individual movement relative to each other with respect to a plane of a center axis of radiations generated from a point radiation source to define an exposure field of radiations having an approximated profile to that of an object to be irradiated by such radiations. A face of each of the radiation shielding members at which the radiation shielding member contacts with an adjacent one of the radiation shielding members makes part of a face of a circular cone having the apex at an imaginary radiation source which is imaginarily disposed at a point spaced by a significant distance from the point radiation source. |
051608472 | abstract | This invention is a dynamic multivane electron arc beam collimator having collimation vanes, means for controlling the vanes, and local controllers at the collimation site which dynamically define an electron aperture which defines the electron field of an electron beam emitted by a linear accelerator during electron arc therapy. The collimator can be attached to or detached from the head of a linear accelerator. The collimator provides for improvement in electron arc therapy dose uniformity. |
039792560 | abstract | The ratio between the output signals of a pair of reactor safety channels is monitored. When ratio falls outside of a predetermined range, it indicates that one or more of the safety channels has malfunctioned. |
050769995 | abstract | A passive decay-heat removal system for a water-cooled nuclear reactor employs a closed heat transfer loop having heat-exchanging coils inside an open-topped, insulated box located inside the reactor vessel, below its normal water level, in communication with a condenser located outside of containment and exposed to the atmosphere. The heat transfer loop is located such that the evaporator is in a position where, when the water level drops in the reactor, it will become exposed to steam. Vapor produced in the evaporator passes upward to the condenser above the normal water level. In operation, condensation in the condenser removes heat from the system, and the condensed liquid is returned to the evaporator. The system is disposed such that during normal reactor operations where the water level is at its usual position, very little heat will be removed from the system, but during emergency, low water level conditions, substantial amounts of decay heat will be removed. |
summary | ||
048266493 | summary | A water-cooled nuclear reactor, especially a heating reactor that operates on the principle of internal natural circulation, is known from German Published, Non-Prosecuted Application DE-OS No. 33 45 099, corresponding to U.S. Application Ser. No. 005,289, filed Jan. 16, 1987 and particularly FIGS. 1-3 thereof. Such a reactor has a reactor pressure vessel and a reactor core supported therein, including a fuel element cluster disposed upright, control rods supported in such a way that they are insertable and retractable in interspaces between the fuel elements, as well as a supporting structure for fuel elements, control rods and the drives thereof. FIG. 3 of that publication shows the principle of a hydraulic control rod drive for the reactor, wherein the control rods are retractable against the force of gravity and are insertable in the direction of the force of gravity. Drive rods of the control rods having hydraulic piston/cylinder systems are provided, to which the cooling water can be supplied from below as working fluid through non-illustrated fluid lines that are internal to the reactor. The cooling water reservoir serves as a hydraulic drain. European Published, Non-Prosecuted Application No. 0 173 767 furthermore shows that it is known to provide a fluid pump external to the reactor, which communicates through pressure, suction lines and associated line ducts with the piston/cylinder systems on the pressure side and with the coolant reservoir in the reactor pressure vessel on the suction side. It is also known to vary the quantity of fluid on the pressure side of the fluid pump, by means of a control valve assembly disposed on the outside of the reactor pressure vessel, in order to adjust the control rods in the "raising" or "lowering" direction, or for the sake of maintaining the control rod position that has been reached. In the prior art control rod drive according to the above-mentioned European Application, the influence upon the fluid quantity on the compression side of the fluid pump is effected not only by means of the control valve assembly in the form of a pump bypass valve, but also, and above all, by adjusting the rpm of the fluid pump. However, in the prior art devices, a finely metered adjustment of the control rods is not possible if the pump is operating as a constant pump in its optimal rpm range and operation cannot be maintained if a pump malfunction or a disruption in the branch of the control valve assembly provided for the operation of raising the control rods occurs. It is accordingly an object of the invention to provide a hydraulic control rod drive for water-cooled nuclear reactors, especially heating reactors, which overcomes the hereinafore-mentioned disadvantages of the heretofore-known devices of this general type, with which a finely metered adjustment of the control rods is possible even if the pump is operating as a constant pump in its optimal rpm range, and in which both the disposition of the fluid pump external to the reactor and the control valve assembly connected to the pump are intended to be inherently safe, in the sense that in the event of either a pump malfunction or a disruption in the branch of the control valve assembly provided for the operation of raising the control rods, operation can be maintained. With the foregoing and other objects in view there is provided, in accordance with the invention, in a water-cooled nuclear reactor, especially a heating water reactor including: a reactor pressure vessel; PA1 a reactor core supported the reactor pressure vessel including a fuel element cluster disposed in an upright position, the fuel element cluster including fuel elements spaced apart in the reactor core defining interspaces inside and between the fuel rods, control rods, drive means for inserting the control rods in the direction of the force of gravity and retracting the control rods against the force of gravity in the interspaces, and a supporting structure for the fuel elements, the control rods and the drive means; PA1 the control rods having guide rods with hydraulic piston/cylinder systems, fluid lines inside the reactor feeding a working fluid in the form of cooling water to the guide rods from below, and a hydraulic drain in the form of a cooling water reservoir in the reactor pressure vessel; and PA1 a fluid pump assembly having a pressure side and a suction side, suction lines, pressure lines and associated line ducts connecting the pressure side of the fluid pump assembly with the piston/cylinder systems and connecting the suction side of the fluid pump assembly with the cooling water reservoir; PA1 an improvement comprising a hydraulic control rod drive assembly having at least one control rod drive, including: PA1 a control valve assembly disposed outside the reactor pressure vessel and connected to the fluid lines and the pressure lines, for influencing the fluid quantity on the pressure side of the fluid pump assembly in order to adjust the control rods in a raising and a lowering direction and to maintain a control rod position; PA1 the control valve assembly including the following control branches for actuating each of the control rods: PA1 a holding branch having an inlet side connected to the pressure line and an outlet side connected to the pressure side of the piston/cylinder system, a first fluid throttle, and a bypass fluid throttle disposed upstream of the first fluid throttle relative to a drop of the first fluid throttle for discharging into the drain, the first fluid throttle and the bypass fluid throttle having throttle cross sections dimensioned for allowing a fluid flow therethrough sufficient to hold a given control rod in a given position with the fluid pump assembly running; PA1 a raising branch having ends respectively connected to the holding branch upstream and downstream of the first fluid throttle, and a series circuit having a raising valve assembly and a second fluid throttle; and PA1 a lowering branch having one end connected to the holding branch downstream of the first fluid throttle relative to the drop of the first fluid throttle, another end discharging into the cooling water reservoir, and a series circuit of a lowering valve and a third fluid throttle; PA1 and means for automatically opening the lowering valve in the lowering branch if the raising valve in the raising branch sticks in an open position. The raising valve assembly may also include at lest two raising valves connected in series with one another for reducing a fluid flow through the raising branch if the raising branch is malfunctioning, at least enough to prevent further raising of a control rod being controlled. The advantages attainable with the invention are above all that the inherent safety of the control valve assembly from further retraction or from undesirable sticking of a control rod in the retracted position in the event that a raising valve sticks in its open position is increased considerably; that is, the safety that already exists without reducing the rpm or shutting off the fluid pump is considerably increased, so that taking total safety into account, that is, including the option of an emergency shutdown of the fluid pump, operator error can be considered to be precluded. The invention is particularly suitable for heating reactors that operate on the principle of a pressurized water reactor with internal natural circulation, or for heavy water moderated pressurized water reactors (pHWR=Pressure heavy Water Reactor). Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a hydraulic control rod drive for water-cooled nuclear reactors, especially heating reactors, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. |
abstract | The method according to the invention is accomplished via neutrons produced in a nuclear reactor and moderated to thermal energy level in such a way that a target to be irradiated can also be arranged outside of the reactor shell, within a cassette and/or a container suitable for this purpose. This solution can remarkably increase the production capacity, but can be applied for irradiation channels as well. The disclosure teaches the production of lanthanides and platinum metals, however, other species, e.g. Re, can also be produced. In the technological process the target (mother element) is commercially less valuable than the product (daughter element) prepared therefrom via (n, γ) nuclear reaction. The product—practically the alloy of the mother element and daughter element(s)—can be fully separated into its constituents, element by element, by means of prior art techniques, and can be processed. The thus obtained product, after retention (that is, after normalizing the radiation level), can be made use of. The exemplified daughter elements are Pm, Eu, Tm, Lu; and Rh, Os; and Re. When Os is produced, Re takes the role of the mother element. In certain products other daughter elements also form, such as e.g. Tc, as it is discussed in the specification. |
|
summary | ||
summary | ||
claims | 1. A method for reducing defects in an image captured by a camera, the defects caused by a flat panel screen of a display, comprising:providing a partially transparent display pixel during a time period when the camera is exposed;estimating an average transparency of the partially transparent display pixel;calculating values, as a function of the average transparency, for insertion in an occlusion map;inserting the values in the occlusion map; andestimating a corrected image using the occlusion map and the image captured by the camera,wherein estimating the corrected image comprises numerically dividing one or more pixel values of the image captured by the camera by the values inserted in the occlusion map. 2. The method of claim 1, further comprising recording an effect of a single display pixel on the occlusion map by making the single display pixel opaque and making all other display pixels transparent. 3. The method of claim 1, wherein a relationship between the occlusion map and occluding pixels is a linear relationship. 4. The method of claim 3, wherein the relationship between the occlusion map and occluding pixels is a shift invariant relationship. 5. The method of claim 1, wherein calculating values for insertion in the occlusion map comprises calculating a composite occlusion map by adding an occlusion map due to statically opaque elements and an occlusion map due to occluding pixels. 6. The method of claim 5, wherein the occlusion map due to statically opaque elements is experimentally estimated by presenting the camera with a flat intensity of light and keeping all display pixels transparent. 7. The method of claim 1, further comprising removing a defect caused due to a slight dispersion of light rays before the image is captured by the camera. 8. The method of claim 7, wherein removing the defect is done using deconvolution. 9. The method of claim 7, wherein removing the defect is done using linear system inversion. 10. The method of claim 7, wherein removing the defect is done by subtracting a single uniform value from each display pixel, where the single uniform value is approximated from a total dispersed light. 11. The method of claim 1, further comprising removing a defect caused due to a dispersion of light from a backlight. 12. The method of claim 11, removing of defect is done by subtracting a value that is estimated as a function of a time overlap between a display phase and a capture phase. |
|
claims | 1. A nuclear reactor building comprising:a pressure containment vessel formed with a plurality of pressure containment vessel penetration ports in the periphery thereof, wherein the pressure containment vessel is vertically divided into a plurality of blocks, each of the blocks has one or more pressure containment vessel penetration ports, wherein for each one of the blocks, all of the one or more containment vessel penetration ports of the respective one of the blocks are arranged on a same horizontal plane; anda biological shielding wall disposed outside the pressure containment vessel, wherein the biological shielding wall is divided into a plurality of modules by plural horizontal planes, and at least a portion of the biological shielding wall comprises a steel plate reinforced concrete. 2. The nuclear reactor building according to claim 1, wherein the modules are further divided by a vertical plane. 3. The nuclear reactor building according to claim 1, wherein at least one of the modules of the biological shielding wall is integrated with a building module of the nuclear reactor building. |
|
050341855 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS To facilitate understanding of the present invention, a conventional control blade for a boiling water reactor will be first described schematically with reference to FIGS. 41 and 42. FIG. 41 is a partially fragmentary perspective view of a conventional control blade 1, and FIG. 42 is a transverse sectional view of the same. As illustrated, an elongated sheath 3 having a U-shaped cross-sectional configuration is fixed to each end of a cross-shaped central tie rod 2, thereby forming a wing 4 in which a plurality of neutron absorbing rods 5 are inserted. Each of the neutron absorbing rods 5 is formed of, for example, a stainless steel cover tube filled with boron carbide (B.sub.4 C) grains provided as a neutron absorber. While the reactor control blade 1 is inserted in a core section of a nuclear reactor such as a boiling water reactor, the neutron absorber which fills the sheaths 3 is irradiated with neutrons and gradually loses neutron absorbing ability. The nuclear reactor control blade is therefore changed after being used for a predetermined operation period. In this type of conventional reactor control blade 1, as is clear from FIG. 42, each wing is filled with a neutron absorber with a density distribution uniform over the entire region of the wing, and sections of the wing divided in the axial direction are equalized with respect to neutron absorbing ability or reactivity. This arrangement, however, allows a certain dispersion of reactivity with passage of time owing to non-uniformity of the neutron irradiation rate, as mentioned before. There is therefore a possibility of a local deterioration in terms of reactor shut-down margin at the last stage of the operating cycle of the reactor. It is also desirable to improve this control blade wit respect to selection of material provided as a neutron absorber in order to reduce the total weight of the control blade. Embodiments of the present invention will be described below in detail with reference to the accompanying drawings to exemplify the practice of the present invention whereby the above-mentioned problems of the conventional art will be solved and the objects of the present invention will be attained. FIG. 1 shows a reactor control blade 1 which represents a first embodiment of the present invention. The appearance of the whole of the control blade 1 is substantially the same as the conventional control blade shown in FIG. 41. As illustrated in FIG. 1, the reactor control blade 10 is constructed in such a manner that inner ends of a plurality of rectangular wings 13 are connected by a connection member 12 so that they are cross-shaped as viewed in the lengthwise direction. A flat, elongated space in which neutron absorbers are to be packed is formed in each wing 13 The upper, i.e., top end structural member 10a and the lower, i.e., tail end structural member 10b, in a state inserted into a reactor core, are fixed to the inserted upper end and the inserted lower end of each wing 13, respectively, thereby increasing the mechanical strength of the control blade. An operation handle 10c is integrally formed on the upper end structural members 10a. A multiplicity of housing holes 14 are formed in each wing 13. The housing holes 14 are arranged in the longitudinal direction of the wing 13 from the inserted upper end 10a through a distance L corresponding to the total axial length of the core. As shown in FIGS. 1 and 2, each wing 13 is divided into several sections in which neutron absorbers having different characteristics depending upon the neutron irradiation rate and the required reactivity value are packed, or gas plenums are formed at some positions. In a region l.sub.4 at the inserted upper end, the neutron irradiation rate is high but a fairly high reactivity value is imparted by the effect of hafnium contained in the material of the wing 13. Therefore no neutron absorber is packed in the housing holes 14 in the region l.sub.4, and the housing holes 14 are used as gas plenums 16. A long-lived neutron absorber 17 constituted by a hafnium material is packed in each of the housing holes 14 in a region l.sub.5 which is defined immediately below the region l.sub.4 and in which the neutron irradiation rate is particularly high. It is preferable to use a hafnium material having a long lifetime in the region l.sub.5. If boron carbide (B.sub.4 C) is packed in the region l.sub.5, there is a possibility of a considerable reduction in the lifetime. A high-reactivity-worth neutron absorber 18 such as boron carbide (B.sub.4 C) is packed in the region l.sub.6 which is adjacent to the lower end of the region l.sub.5 because this region needs to have a certain high degree of reactivity worth (neutron absorbing ability) although the neutron irradiation rate in this region is comparatively high. The degree of reactivity worth boron carbide is higher than that of a hafnium material with respect to the same packing capacity. A neutron absorber 15 may be prepared as a material which is to be packed in the housing holes 14 in an upper end section inserted in the core from one or two substances selected from the following substances to increase the lifetime: hafnium (Hf), Hafnium-zirconium (Hf-Zr) alloy, hafnium-titanium (Hf-Ti) alloy, silver-indium-cadmium alloy, and oxides of rare earth elements such as europium oxide (Eu.sub.2 O.sub.3), dysprosium oxide (Dy.sub.2 O.sub.3), gadolinium oxide (Gd.sub.2 O.sub.3), samarium oxide (Sm.sub.2 O.sub.3) and the like. The neutron absorber 15 does not generate helium gas by reaction with neutrons. The use of the neutron absorber therefore enables prevention of swelling around the housing hole 14 or enables a reduction in the possibility of the wing 13 being excessively stressed by such swelling. In a region W defined by removing the regions l.sub.4 to l.sub.6 from an upper region l.sub.2 of each wing 13, the neutron irradiation rate is comparatively low, but the subcriticality becomes smaller with respect to this region when the control blade is fully inserted to shut down the reactor. It is therefore necessary to pack in the region W a large amount of neutron absorber of a high reactivity worth. In consequence, this region is provided with housing holes 14a which are arranged with a reduced pitch P between the centers of the holes, as shown in FIG. 12A, or are formed by being elongated in the diametral direction corresponding to the axial direction of the control blade, and which are arranged close to each other in the axial direction, as shown in FIGS. 2A, 12C and 12D. The amount of a high-reactivity-worth neutron absorber 18 packed in the housing holes 14a is greater. It is not necessary to increase the reactivity value of a lower region l.sub.3 of each wing 13. In this region, therefore, the housing holes 14 packed with the high-reactivity-worth neutron absorber 18 e.g., boron carbide (B.sub.4 C) are arranged with the gas plenums 16. That is, the gas plenum 16 are disposed in the region l.sub.3 extending from an inserted lower end O of each wing 13 toward an inserted upper end H of the same to a position at a distance 1/2 of the total axial length L, and the gas plenums 16 are formed of the housing holes 14 in which no neutron absorber is packed. It is not important to impart a high reactivity value to a portion in the vicinity of the inserted lower end O and, therefore, the gas plenums 16 may be disposed at smaller intervals, as shown in FIG. 1. The openings of the housing holes 14 and 14a of each wing 13 communicate with each other through a passage 19 formed in the outer edge portion of the wing 13, thereby allowing a gas generated in the regions l.sub.2 and l.sub.3 to pass through the passage 19 so as to be contained in the gas plenums 16. The pressures of helium gas contained in all the housing holes 14 and 14a of the regions l.sub.2 and l.sub.3 are thereby equalized. As shown in FIGS. 2C to 2F, a hafnium rod 20 having a generally semicircular cross-sectional configuration is mounted along the passage 19, edge portions 21 of the wing 13 are bent such as to wrap the hafnium rod 19, and the connecting portions of the wing edge portions 21 are welded to each other by seam welding, thus integrally forming the wing 13. In this embodiment, each wing 13 is formed from a diluted alloy obtained by diluting a long-lived neutron absorber such as hafnium (Hf) with a light-weight diluent such as zirconium (Zr) or Titanium (Ti). The diluted alloy is formed in such a manner that the hafnium content is ordinarily set to 20 to 90% by weight so as to be uniform through the total axial length L of the wing 13. It is also possible to gradually reduce the hafnium content generally from the inserted upper end section toward the inserted lower end in correspondence with the reactivity distribution in the axial direction. In an example shown in FIG. 3A, the wing 13 constituted by a diluted alloy in which a solid solution of hafnium (Hf) and zirconium (Zr) is formed has the housing holes 14 extending from its side (edge) portion, and the neutron absorber 15 e.g., B.sub.4 C are packed in the housing holes 14. The reactivity value or neutron absorption characteristic of the wing 13 varies depending upon tee thickness t of the portion formed of the Hf-Zr alloy, the pitch P with which the housing holes 14 are disposed, the diameter D of the housing holes, the Hf content in the alloy and so forth. That is, as shown in FIG. 3B, neutrons are absorbed by B.sub.4 C alone if the alloy contains no Hf. As the Hf content increases, the factor of neutron absorption by B.sub.4 C decreases while the sum of the factors of neutron absorption by Hf and B.sub.4 C increases at a small rate. With respect to a composition in which the Hf content is higher than 30% by weight, the rate at which the total factor increases becomes smaller and the total factor does not increase substantially even if the Hf content is further increased. In a region where the neutron irradiation rate is comparatively low and where an increase in the reactivity value alone is needed, the content of hafnium having a long lifetime is set to a lower value. The neutron absorption factor does not reach any saturation point while the Hf content is increased, and the reactivity increases though at a small rate as the Hf content becomes higher. However, since, as shown in FIG. 3C, the specific gravity of the diluted alloy increases with the increase in the Hf content, it is disadvantageous to set the Hf content higher than a certain level, which setting results in a considerable increase in the total weight as well as an increase in the production cost. On the other hand, in a region where an increase in the lifetime is needed, the Hf content should be increased in order to set a higher ratio of the factor of neutron absorption by Hf to the factor of neutron absorption by B.sub.4 C. However, even if the Hf content is higher than 90% by weight, the neutron absorption factor is not increased effectively, as shown in FIG. 3B. The Hf content is therefore determined within a range of 20 to 90% by weight. Most preferably, in practice, it is set to about 30 to 70% by weight. It is more economical to vary the Hf content with respect to the upper region l.sub.2 where the intensity of neutron irradiation is high and the lower region l.sub.3 where the neutron irradiation rate is comparatively low. That is, it is possible to minimize the amount of hafnium which is expensive by gradually reducing the Hf content from the inserted upper end section toward the inserted lower end O. As shown in FIG. 3C, the specific gravity of the diluted alloy changes with the change in the Hf content. The optimum Hf content is determined in consideration of the load withstanding strength of the control blade driving mechanism, the desired reactivity worth and the desired lifetime. The operation of the reactor control blade in accordance with this embodiment will be described below. Ordinarily, the distribution of the fission nuclide enrichment in the axial direction in the core of a reactor when burn-up is promoted to a certain extent is as represented by a curve A shown in FIG. 4. The burn-up control region of the core of reactors is divided in the axial direction into twenty four parts having equal lengths. It is therefore preferable to also divide the region of the reactor control blade 10 into corresponding twenty four parts and compare the divided parts. During burn-up, the fission nuclide enrichment value is large at the lower end of the core of the reactor because the progress of burn-up at this position is slower. If the axial length of the reactor core is L, a neutron spectrum hardening phenomenon takes place in a region between a central portion (2/4L) and the upper end by the effect of voids generated therein. The plutonium generation reaction (neutron capture reaction) is thereby promoted and the thermal neutron flux is reduced by the generated voids, resulting in a delay of burn-up. The fission nuclide enrichment distribution is therefore exhibited, as shown in FIG. 4. Where the fission nuclide enrichment in the core of the reactor is as shown in FIG. 4, the neutron multiplication factor during shut-down of the reactor is as represented by an axial distribution curve B shown in FIG. 5. As the value of the neutron multiplication factor is increased, the reactor shut-down margin becomes smaller and the subcriticality becomes smaller. A phenomenon of reduction in the neutron multiplication factor at the upper and lower ends of the reactor core exhibited as shown in FIG. 5 is due to leaks of neutrons. FIG. 6 shows a curve C which represents the neutron irradiation rate distribution over the reactor control blade 10 in the axial direction thereof. As can be read from the distribution curve C, the neutron irradiation rate abruptly increases in a very restricted upper end region of the control blade 10 (ordinarily defined between the upper end and a position at a distance of about 30 cm or, specifically, 5 cm from the upper end). With respect to other regions, the neutron irradiation rate decreases continuously and smoothly toward the lower end of the control blade 10. The reactor control blade 10 in accordance with the present invention is constructed to obtain satisfactory control effect with respect to the neutron multiplication factor characteristic shown in FIG. 5 and the neutron irradiation rate characteristic shown in FIG. 6. That is, the control blade 10 is designed to cope with the rise of the neutron multiplication factor (that is, a reduction in the shut-down margin) and with a tendency of the shut-down margin to reduce due to an increase in the neutron irradiation rate over the upper end section (having a length corresponding to the sum of the lengths l.sub.4 to l.sub.6, e.g., 90 to 95 cm). That is, in the reactor control blade in accordance with this embodiment shown in FIG. 1, the wing 13 is formed from an alloy having 30 to 50% by weight of hafnium diluted with zirconium, the hafnium content in the diluted alloy being uniform through the total axial length L. The reactivity value (neutron absorption characteristic) distribution in the axial direction is set as shown in FIG. 7 by providing gas plenums in the upper end region of the wing 13, changing the type of neutron absorber (B.sub.4 C, hafnium) packed in the housing holes and increasing the density of distribution of gas plenums in the lower region. That is, while the reactivity value in the upper section is reduced slightly because the region l.sub.4 in which the gas plenums 16 are provided and the region l.sub.5 in which hafnium material is packed are formed in the upper end section, the housing holes 14a in the region W have an elongated shape and a large amount of B.sub.4 C having a high degree of reactivity worth is packed in these housing holes, thereby forming a region having a high reactivity value. The gas plenum disposition ratio is gradually increased from the intermediate portion (2/4L) to the lower end so that the reactivity value decreases toward the lower end. The nuclear lifetime distribution in the axial direction of the reactor control blade in accordance with this embodiment is as shown in FIG. 8. The nuclear life time is reduced at a position in the inserted upper end section because the housing holes in this section is packed with no neutron absorber and serve as gas plenums and because the hafnium content in the alloy constituting the wing 13 is low, that is, 30 to 50% by weight. This position of reduction in the lifetime is restricted within a very small portion of the wing in the vicinity of the upper end thereof, and there is no considerable influence upon the subcriticality. A region where the lifetime is long appears below and next to the position at which the lifetime is reduced. This is because a hafnium material having a high hafnium density of about 97% by weight is inserted in the housing holes in this region to increase the nuclear lifetime to a large extent. A region where the lifetime is slightly shorter appears next, because the pitch with which the housing holes are disposed in the region is increased and the housing holes are filled with B.sub.4 C. Basically it is preferable to use a hafnium material in this region in terms of maintenance of the nuclear lifetime since the neutron irradiation rate therein is comparatively high, but B.sub.4 C is adopted in order to maintain a high reactivity value. When irradiated with neutrons, B.sub.4 C swells, presses the inner surfaces of the housing holes and causes a large stress in the base material of the wing. For this reason, there is a possibility of the structural strength of the wing being reduced owing to a deficiency of the base material connecting both surface portions of the wing in a case where holes elongated in the axial direction of the control blade are formed as the housing holes. It is therefore necessary to maintain the desired strength by, in ordinary cases, forming the housing holes with a circular cross-sectional configuration and leaving portions of the base material having a certain thickness between the adjacent housing holes. To prevent any excessive internal pressing force applied to the housing holes by swelling of B.sub.4 C, it is preferable to set the packing density of B.sub.4 C smaller than a certain value. That is, the packing density of boron carbide B.sub.4 C grains packed in the housing holes in the region where the intensity of neutron irradiation is high may be set to 30 to 65% of the theoretical packing density. If a space for absorbing an increase in the volume caused by swelling is provided in each hole in this manner, the pressing force can be absorbed. Even in the case where such spaces are formed, there is no possibility of considerable settling of the packed neutron absorber since the housing holes extend in the horizontal direction. With respect to the above range of the packing density, the particle size of the B.sub.4 C grains is about 50 to 300 mesh, and the grains can be produced easily and can be packed by a simple operation. Ordinarily, it is packed with a density of about 60%. Referring back to FIG. 8, the nuclear lifetime becomes shorter from the center of each wing in the axial direction (2/4L) toward the lower end of the same. This is because the ratio of the number of housing holes used as gas plenum to the number of housing holes packed with B.sub.4 C is increased in a lower portion. FIG. 9 shows a neutron multiplication factor distribution in the reactor exhibited when the reactor is shut down by full insertion of this embodiment control blade into the core after the operation of the same for a certain period of time along with a corresponding characteristic of the conventional example cited for comparison. In FIG. 9, the characteristic of the conventional reactor control blade in which the reactivity value distribution is uniform through the total axial length is represented by a broken line. This characteristic curve has peaks which correspond to a portion of the wing immediately below the inserted upper end and a portion in the vicinity of the inserted lower end, at which the neutron multiplication factor increases and at which the reactor shut-down margin becomes smaller. In the case of the reactor control blade in accordance with this embodiment having a reactivity value distribution such as that shown in FIG. 7, the neutron multiplication factor is restricted generally uniformly through the total axial length of the control blade, as represented by the solid line in FIG. 9. Specifically, the neutron multiplication factor is reduced to a large extent with respect to the region between the upper end L and the position corresponding to 3/4L where the subcriticality tends to become smaller in the case of the conventional arrangement. The subcriticality is therefore increased with respect to this region, thereby maintaining a sufficient reactor shut-down margin. FIG. 10 shows the comparison between an actual nuclear lifetime distribution characteristic of the reactor control blade in accordance with this embodiment and a corresponding characteristic of the conventional example. In the case of the conventional reactor control blade having a composition uniform through the total axial length, the nuclear lifetime is shorter in the upper region of each wing while it is unnecessarily longer in the lower region, as indicated by the broken line. The actual nuclear lifetime of the reactor control blade in accordance with this embodiment is determined by multiplying the neutron irradiation rate with respect to the position in the axial direction shown in FIG. 6 and the nuclear lifetime of the control blade shown in FIG. 8. In accordance with this embodiment, the nuclear lifetime is substantially equalized through the total axial length, as indicated by the solid line in FIG. 10 and, specifically, the resulting lifetime is remarkably increased with respect to the region between the inserted upper end and the position corresponding to 2/4L. A slight reduction at the upper end is not considerable since the degree of influence of this reduction upon the neutron multiplication factor during shut-down of the reactor is very small. A peak which appears in the vicinity of the upper end is due to packing of the long-lived neutron absorber in the housing holes in the corresponding region, and a depression appears next to the peak because B.sub.4 C having a comparatively short lifetime is packed in the housing holes in the corresponding region. The above-described first embodiment of the present invention includes a modified example which will be described below with reference to FIG. 11. A reactor control blade 10 which represents this example differs from the embodiment shown in FIG. 1 in that no elongated housing holes are formed in the upper region l.sub.2, and that all the housing holes 14 formed in each wing 3 by being arranged in the axial direction through the total axial length L have the same diameter. A boric compound (e.g., B.sub.4 C) having enriched boron of a mass number of 10 (B-10) or EuB.sub.6 is packed in the housing holes in the inserted upper end region. In the diluted alloy which is composed of hafnium and zirconium and which forms the wing 13, the Hf content is set to a slightly higher value for an increase in the reactivity value, thereby enabling the provision of a reactor control blade having a specifically high reactivity value. Next, examples of the structure of the wing including the shape and the arrangement of the housing holes 14 and 14a provided in the region W where the subcriticality decreases during shut-down of the reactor will be described below with reference to FIGS. 12A to 12G. As illustrated in FIG. 12A, the distance P between the centers of the housing holes 14a is set to be smaller than that in the regions l.sub.3 and l.sub.6, thereby increasing the amount of packed B.sub.4 C and, hence, the reactivity value of the region W. As shown in FIG. 12B, several housing holes 14 having a smaller diameter may be arranged in the axial direction of the control blade to form a group of housing holes 22. Groups of housing holes 22 thus formed may be disposed in succession in the axial direction at certain intervals. This example enables an increase in the capacity for packing the neutron absorber while ensuring the desired structural strength of the wing 3 by virtue of the base material interposed between the groups of housing holes 22. FIG. 12C shows an example in which the distance P between the centers of the housing holes 14 is set to be smaller than the diameter thereof in such a manner that several ones of the housing holes are combined to form housing holes 14a elongated in the axial direction of the control blade. This example enables the same effects as the example shown in FIG. 12B. FIG. 12D shows an example in which housing holes 14b having a reduced diameter are formed in succession in the upper end regions l.sub.4 and l.sub.5 and large-diameter housing holes 14 are formed in the region l.sub.6 while elongated housing holes 14a are formed in the region W. This example ensures, by the effect of the thinner housing holes 14b, improved properties of withstanding the force applied from the interior of each housing hole 14b toward the outside thereof by swelling of B.sub.4 C due to strong neutron irradiation, thus making it possible to prevent occurrence of any excessive stress in the wing. FIG. 12E shows an example in which housing holes 14c having a reduced diameter are formed between elongated housing holes 14a to further increase the neutron absorber packing capacity. FIG. 12F shows an example in which elongated housing holes 14d are formed such as to have a generally rectangular cross-sectional configuration and further increase the neutron absorber packing capacity compared with the elongated housing holes 14a with round ends shown in FIGS. 12D and 12E. FIG. 12G shows an example in which housing holes 14e and 14f having shaped-rectangular and triangular cross-sectional configurations are formed close to each other. Specifically, this example enables, as well as an increase in the packing capacity, an increase in the structural strength of the wing by the effect of a truss structure based on a rectangular form of the base material between the housing holes 14e and 14f. As described above, in the reactor control blade in accordance with the first embodiment of the present invention, each wing is formed from a diluted alloy prepared by diluting a long-lived neutron absorber such as hafnium having a high density with a diluent such as zirconium or titanium having a small density, the diluted alloy being formed of a solid solution containing zirconium or titanium, thus enabling manufacture of a control blade having a smaller weight and stable physically and chemically. This control blade can therefore be adopted for use in conventional reactors without changing design specifications relating to load withstanding performance of the existing control blade driving mechanisms. The reactivity value of the reactor control blade is increased by the complementary neutron absorption effects of hafnium contained as a neutron absorber in the diluted alloy forming each wing and of the neutron absorber packed in the housing holes in each region, thereby improving the reactor shut-down margin and increasing the nuclear lifetime to a large extent. Moreover, it is possible to obtain the optimum neutron absorption factor without increasing the total weight of the control blade by setting the hafnium content in the alloy forming the wing within a range of 20 to 90% by weight. That is, the present invention makes it possible to minimize the amount of expensive hafnium materials, which is advantageous in terms of economy. In addition, it is also possible to vary the hafnium content in the diluted alloy from the inserted upper end to the lower end in correspondence with the desired lifetime characteristic so as to form a hafnium content distribution based on the lifetime characteristic, thereby also contributing minimization of the amount of hafnium which is expensive and, hence, improvement in terms of economy. FIG. 13 shows a reactor control blade which represents a second embodiment of the present invention. The appearance of the whole of this control blade is substantially the same as that of the conventional control blade shown in FIG. 41. Referring to FIG. 13, a reactor control blade 110 is constructed in such a manner that a structural member 111 corresponding to the inserted upper end of the control blade (shown at the top of FIG. 13) and a structural member 112 corresponding to the inserted lower end of the control blade (shown at the bottom of FIG. 13) are connected by a central tie rod 113 having a cross-shaped transverse-sectional configuration, and an elongated-U-shaped metallic sheath 114 is fixed to one of projections of the tie rod 113, thereby forming a wing 115. The inserted upper end and the inserted lower end of each of the thus-formed wings 115 are fixed to the upper end structural member 111 and the lower end structural member 112, respectively, thereby increasing the mechanical strength of the control blade 110. An operation handle 116 is integrally formed on the upper end structural members 111, and guide rollers 117 for guiding the control blade 110 when the same is inserted into or extracted from the reactor core are provided on the upper end structural members 111. The sheath 114 connected to the tie rod 113 has a multiplicity of water-passage holes (not shown) arranged in its longitudinal direction so that the moderator can freely enter the interior of the sheath 114 or exit therefrom. Neutron absorbers having various neutron absorption characteristics selected in accordance with characteristics of the reactor are housed in the sheath 114. The construction of this embodiment differs from that of the former embodiment in that the reactor control blade 110 is divided into a first region X on the side of the inserted upper end, a second region Y on the side of the inserted lower end, and that the first region X is further divided into an inserted upper end region X.sub.1, a high-reactivity-worth region X.sub.2 and an inserted lower end region X.sub.3 while, in the illustrated example, neutron absorbing rods extending in the longitudinal direction of the wing are arranged in a row in the second region Y. This embodiment, however, includes other types of arrangement, as described below. The second embodiment will be described below in more detail with reference to the accompanying drawings. The reactor control blade 110 has an effective length L corresponding to the height of the reactor core, that is, the axial length of the core (corresponding to the axial length of the neutron absorber packing space). The first region X extends from the inserted upper end of each wing 115 through a length of l.sub.11 in the longitudinal direction which is, for example, approximately equal to 1/2.L. The longitudinal-direction length l.sub.11 of the first region X may be shorter as long as it is not less than about 1/4.L. The second region Y is formed as an ordinary neutron absorption region next to the first region X formed on the side of the inserted upper end of the wing 115. The first region X of the wing 115 has the inserted upper end region X.sub.1 where the wing is exposed to strong neutron irradiation, the high-reactivity-worth region X.sub.2 adjacent to the inserted upper end region X.sub.1, and the inserted lower end region X.sub.3. The inserted upper end region X1 extends from the inserted upper end of the neutron absorber packing space toward the inserted lower end and has a length ranging from, for example, 5 cm to 32 cm. This length is determined on the basis of the operational conditions of the reactor control blade 110. A long-lived neutron absorber in the form of a plate composed of hafnium and the like may be packed in the inserted upper end region X.sub.1. Instead, a long-lived neutron absorber diluted alloy 120 composed by diluting a long-lived neutron absorber with a diluent material such as zirconium (specific gravity: 6.5) or titanium (specific gravity: 4.5) may be packed, as shown in FIG. 13. The inserted upper end region X.sub.1 constitutes a top-end long-lived section. The reactor control blade 110 is continuously irradiated with neutrons from the reactor core over an area extending from the inserted upper end toward the inserted lower end through a distance of about 5 cm, and the neutron flux greatly varies in this area. Therefore at least one housing hole 121 which is formed in the wing so as to extend in the widthwise direction thereof serves as a void section, namely, a plenum at least in that area, as shown in FIGS. 13, 15A, and 15B. Within the housing hole 121, packing of boron carbide (B.sub.4 C) which swells under strong neutron irradiation is avoided. This is because it is possible that swelling due to packing of B.sub.4 C will cause a large stress around the housing hole 121, resulting in formation of cracks in the base material and, hence, deterioration of the desired properties of the control blade 110. In the example illustrated in FIG. 13, at least one housing hole 121 formed in a section X.sub.11 of the inserted upper end region X.sub.1 on the side of the inserted upper end is used as a gas plenum while a hafnium material 122, e.g., an absorber which is substantially undiluted is inserted in the housing hole formed in a section X.sub.12 of the inserted upper end region X.sub.1. This housing hole (lateral hole) may be packed with a long-lived neutron absorber having, as a main neutron absorbing component, a rare-earth oxide such as europium oxide or dysprosium oxide, or a silver-indium-cadmium (Ag-In-Cd) alloy material. If the long-lived neutron absorber diluted alloy 120 containing hafnium is used as the base material for the inserted upper end region X.sub.1, the degree of neutron absorbing effect is high because of the existence of hafnium. However, since the diluted alloy 120 is diluted with a diluent (e.g., a material having as a main component zirconium having a specific gravity of 6.5 or titanium having a specific gravity of 4.5), the neutron absorption lifetime of the diluted alloy 120 is shorter than a long-lived neutron absorber which is not diluted with any diluent material. To extend the neutron absorption lifetime, it is preferable to pack the hafnium member 122 provided as a long-lived neutron absorber in the housing hole of the section X.sub.12. If a long-lived neutron absorber, e.g., a hafnium material is used as the base material for the inserted upper end region X.sub.1, there is no need for the provision of any filler in the housing hole of the section X.sub.12. For reactor burning control, adjustment of the positions of the fuel assemblies and the control blade relative to each other is effected at intervals of 115 to 116 cm by which the effective length L of the core is divided into equal 124 parts. It is therefore preferable to set the length l.sub.12 of the inserted upper end region X.sub.1 to a unit length of 15 to 16 cm or to, at most, 30 to 32 cm twice as long as the unit length. Since the contribution of the inserted upper end region X.sub.1 or, more specifically, the section X.sub.11 to the reactor shut-down margin is ordinarily small, it is not necessary to add an auxiliary neutron absorbing material such as boron carbide (B.sub.4 C) to the long-lived neutron absorber, e.g., a hafnium alloy plate provided in this region. The high-reactivity-worth region X.sub.2 of the first region X is generally divided into a high-reactivity-worth long-lived section X.sub.21 formed on the side of the inserted upper end and a high-reactivity-worth section X.sub.22 formed on the side of the inserted lower end next to the high-reactivity-worth long-lived section X.sub.21, and the inserted lower end region X.sub.3 that extends in the widthwise direction of the wing is defined at the side of the inserted lower end of the high-reactivity-worth section X.sub.22. The longitudinal-direction lengths of the high-reactivity-worth long-lived section X.sub.22 and the high-reactivity-worth section X.sub.22 defined in the high-reactivity-worth region X.sub.2 of the first region X are substantially equal to each other. Instead, the longitudinal-direction lengths of the sections of the first region X may be set in such a manner that (inserted upper end region X.sub.1 +high-reactivity-worth long-lived section X.sub.21) and (high-reactivity-worth section X.sub.22) have substantially the same length. It is preferable to form the metallic sheath 114 from a diluted alloy composed by diluting a long-lived neutron absorber such as hafnium with a diluent such as zirconium (Zr) or titanium (Ti) having a small specific gravity. A diluent such as zirconium or titanium is mixed with a long-lived neutron absorber to form a preferable diluted alloy. Preferably, the central tie rod 113 is also formed from a similar diluted alloy. Long-lived neutron absorber diluted alloys 124 and 125 in the form of plates composed by diluting a long-lived neutron absorber such as hafnium with a diluent material are housed in the high-reactivity-worth region X.sub.2 of the first region X. Of these diluted alloys, the diluted alloy 124 housed in the high-reactivity-worth long-lived section X.sub.21 is, in the example illustrated in FIG. 12, formed integrally with the diluted alloy 120 provided in the inserted upper end region X.sub.1. The content of hafnium (Hf) in each of the diluted alloys 120 and 124 is, for example, about 50% by weight. Each of the diluted alloys 120 and 124 is an alloy composed by diluting hafnium provided as a long-lived neutron absorber with zirconium (Zr) provided as a diluent so that it has a specific gravity of 9.9. The long-lived neutron absorber diluted alloy 125 housed in the high-reactivity-worth section X.sub.22 has, for example, 20% by weight of hafnium and is composed by diluting hafnium with zirconium so that it has a specific gravity of 7.9. The diluted alloys 124 and 125 are formed with a plurality of lateral holes 126 which are equal in diameter, which extend in the widthwise direction of the wing 115 and which are arranged in a row at with equal pitches in the longitudinal direction of the control blade 110. Each lateral hole 126 is filled with a neutron absorber 128 different from the long-lived neutron absorber contained in the diluted alloys 124 and 125 except for the inserted upper end region X.sub.1. The neutron absorber 128 is a material in the form of grains or pellets having, as a main neutron absorbing substance, a boron compound such as boron carbide (B.sub.4 C) or boron nitride (BN) obtained by enriching natural boron (B) or Boron-10 (.sup.10 B), a rare-earth oxide such as europium oxide, dysprosium oxide, gadolinium oxide or samarium oxide, a mixture of a rare-earth oxide and a hafnium oxide, or a compound of boron and a rare earth element. In the example illustrated in FIG. 13, the density of a long-lived neutron absorber such as hafnium contained in the neutron absorber diluted alloys 124 and 125 provided in the high-reactivity-worth region X.sub.2 is changed in a step manner with respect to the high-reactivity-worth long-lived region X.sub.21 and the high-reactivity-worth region X.sub.22 ; and the density is high in the high-reactivity-worth long-lived region X.sub.21 where the neutron irradiation rate is high, and it is low in the high-reactivity-worth region X.sub.22 where the neutron irradiation rate is comparatively low. The density of this long-lived neutron absorber may be continuously changed toward the inserted lower end. The edge portion of the wing 115 is exposed to strong neutron irradiation in the first region X as well as in the inserted upper end region X.sub.1. For this reason, a long-lived neutron absorbing rod 130 which may be a flat, elongated hafnium plate is inserted in an edge portion of the wing on the side of the opening ends of the lateral holes 121 and 126 formed in the inserted upper end region X.sub.1 and the high-reactivity-worth region X.sub.2 (on the side of the wing edge), thereby closing the openings of the lateral holes 121 and 126. The lateral holes 121 and 126 communicate with each other through gaps 126 between the holes and the long-lived neutron absorbing rod 130 so that the gas pressure in the lateral holes 121 and 126 becomes uniform. The long-lived neutron absorber diluted alloys 120, 124, and 125 are bent so as to wrap the neutron absorbing rod 130 after the neutron absorbing rod 130 has been fitted to the opening ends of the lateral holes 121 and 126, and the neutron absorbing rod 130 is enclosed by welding as shown in FIGS. 16A to 16C. The base material of the high-reactivity-worth section X.sub.22 adjacent to the inserted lower end side of the high-reactivity-worth long-lived section X.sub.21 is constituted by the long-lived neutron absorber diluted alloy 125 like the section X.sub.21. Generally, the rate at which this section is irradiated with neutrons is smaller than that in the high-reactivity-worth long-lived section X.sub.21. This section is therefore formed as a region of a smaller hafnium density different from the inserted upper end region X.sub.1 and the high-reactivity-worth long-lived section X.sub.21 where a high Hf density is needed for increase in the lifetime. The reactivity value of the section X.sub.22 is only slightly smaller than those of the regions X.sub.1 and X.sub.21. That is, the high-reactivity-worth section X.sub.22 is formed as a high-reactivity-worth region. The inserted lower end region X.sub.3 is formed in the first region X next to the high-reactivity-worth long-lived region X.sub.2 of a lower subcriticality at the end of the first region X on the side of the inserted lower end of the wing. A gap 131 is formed in the region X.sub.3 so as to extend in the widthwise direction of the wing, and a border section X.sub.31 is formed in the rest of the region X.sub.3 from the end of the same on the side of the inserted lower end of the wing toward the inserted upper end. The border section X.sub.31 has a length l.sub.3 (about 2 to 3 cm). The gap 131 is filled with a metal wool formed from hafnium or the like. The gap 131 has a length l.sub.14 of, for example, about 0.5 to 1.5 cm in the longitudinal direction of the wing 115, and it is filled with a metallic wool formed from hafnium or the like. The l.sub.14 section is provided to absorb expansion/compression caused by thermal cycles of the first and second regions X and Y or by neutron irradiation and to keep a long-lived neutron absorber 132 in the section X.sub.31 close to the second region Y so as to minimize a gap section which contains no long-lived neutron absorber. In the reactor control blade 110, the second region Y is formed on the side of the inserted lower end of the blade while bordering the first region X. The second region Y extends from the first region X toward the inserted lower end of the wing 115. In the second region Y, neutron absorbing rods 133 are arranged in a row within the metallic sheath 114 formed from a Hf-Zr alloy so that they extend in the longitudinal direction of the wing. Each of the neutron absorbing rod 133 is formed of a stainless steel cover tube having a circular or rectangular cross-section, and grains or pellets formed from a neutron absorbing substance such as B.sub.4 C are charged in the tube. Of the neutron absorbing rods 133 arranged in the second region Y, one to three rods disposed near the outer edge of the wing 115 may be replaced with hafnium rods if necessary. The provision of the neutron absorbing rods 133 filled with a neutron absorbing substance such as B.sub.4 C and arranged in the second region Y of the wing 115 necessitates plugs which are provided as neutron absorbing members and which are attached to the top ends of the neutron absorbing rods 133. This construction entails formation of a region where there is no neutron absorbers. If the border section X.sub.31 is not provided, the space between the fist and second regions X and Y which contains no neutron absorber is enlarged, resulting in a reactivity loss. That is, if the length of the space which contains no neutron absorber is increased, the desired properties of the neutron absorbing rods 133 are impaired, which influences the nuclear lifetime. It is therefore necessary to minimize that space. In consideration of this relationship, a long-lived neutron absorber 132a is provided in the inserted lower end region X.sub.3 of the first region X and is placed on and fixed to the top ends of the neutron absorbing rods 133, thereby limiting the size of the gap or space. The arrangement shown in FIG. 13 may be formed as described below. A diluted alloy having a specific gravity of, for example, about 9.9 and containing 50% by weight of hafnium diluted with zirconium is used as the long-lived neutron absorber diluted alloys 120 and 124 provided as the base materials of the inserted upper end region X.sub.1 and the high-reactivity-worth long-lived section X.sub.21 of the first region X, while a diluted alloy having a specific gravity of, for example, about 7.9 and containing 20% by weight of hafnium diluted with zirconium is used as the long-lived neutron absorber diluted alloy 125 provided as the base material of the high-reactivity-worth section X.sub.22 of the high-reactivity-worth region X.sub.2. Each of the lateral holes 126 arranged with equal pitches in the high-reactivity-worth region X.sub.2 is filled with B.sub.4 C while each of the lateral holes 121 of the section X.sub.12 of the inserted upper end region X.sub.1 is filled with hafnium 122. In this case, the distributions of the hafnium (Hf) content and the B.sub.4 C content in the reactor control blade 110 in the axial direction are as shown in FIGS. 14A and 14B. Hafnium is a long-lived neutron absorber element. B.sub.4 C is a neutron absorbing substance which has a comparatively shorter lifetime but which has a large reactivity value. At the side of the inserted upper end of the first region X (in the inserted upper end region X.sub.1 and the high-reactivity-worth long-lived section X.sub.21 of the high-reactivity-worth region X.sub.2), the neutron irradiation rate is high, and the density of Hf contained as a long-lived neutron absorbing element is therefore increased. At the end of the first region X on the side of the inserted lower end (in the high reactivity section X.sub.2), the density of the contained Hf, which is a long-lived neutron absorber element, is limited to a low level in order to limit the increase in the weight as well as the increase in the cost depending upon the amount of Hf. The density of Hf provided in the first region X is changed on the basis of the use and the design lifetime of the control rod. Preferably, the hafnium density is set to 50% by weight or higher at the end of the first region X on the side of the inserted upper end of the wing and it is set to 20% by weight or higher at the other end of the first region X on the side of the inserted lower end of the wing. If the Hf density is much lower than 20% by weight at this end of the first region X, the reduction in the control blade reactivity value with the reduction in the Hf density becomes considerable and the performance of the control blade becomes rather unsatisfactory for the desired high-reactivity-worth control blade. If the Hf density is lower than 50% by weight at the side of the inserted upper end (X.sub.1, X.sub.21), it is difficult to attain desired long-lived properties. A reduction in the Hf density causes a relative increase in the neutron absorption factor with respect to B.sub.4 C, as shown in FIG. 6(B). Since B.sub.4 C is not a long-lived neutron absorbing material, the neutron absorption lifetime becomes shorter. If lengths of the section (X.sub.11 +X.sub.12 +X.sub.21) shown in FIG. 13, the section (X.sub.11 +X.sub.12 +X'.sub.21 +X'.sub.22) shown in FIG. 18, the section (X.sub.11 +X.sub.12 +X'.sub.21 +X'.sub.22) shown in FIG. 19 are restricted to a value of about 1/4 of (X+Y) which is the total length of the neutron absorber section, it is possible to attain back-fitting to the existing boiling water reactors by using natural Hf metal instead of using Hf-Zr(Ti) alloy to reduce the total weight. Thus, replaceable examples of the embodiment shown in these figures can be constructed. In the example shown in FIG. 13, the content of B.sub.4 C are generally uniform over the high-reactivity-worth region X.sub.2 of the first region X since the lateral holes filled with B.sub.4 C have the same dimensions and are arranged with equal pitches. The functions of this reactor control blade will now be described below. The reactor control blade 110 has a construction such that each wing 115 is divided into the first region X located at the side of the inserted upper end and the second region Y adjacent to the fist region X and located at the side of the inserted lower end; the long-lived neutron absorber 120 in which the Hf density is increased is provided in the inserted upper end region X.sub.1 of the first region X where the wing is continuously irradiated with neutrons, thereby forming this region as a long-lived region; the high-reactivity-worth long-lived section X.sub.21 of the high-reactivity-worth region X.sub.2 is formed next to the inserted upper end region X.sub.1 on the inserted lower end side thereof; the multiplicity of lateral holes 126 are arranged in a row in the longitudinal direction of the wing in the long-lived neutron absorber diluted alloy 124 housed in the section X.sub.21 ; and the lateral holes 126 are filled with the neutron absorber 128 in the form of grains or pellets formed from, for example, boron carbide obtained by enriching natural boron or boron-10. It is thereby possible to heighten the reactivity worth of the section X.sub.21 where the subcriticality tends to become smaller during shut-down of the reactor and, hence, to increase the reactor shut-down margin. In the high-reactivity-worth long-lived section X.sub.21, the long-lived neutron absorber diluted alloy 124, e.g., a hafnium plate and the neutron absorber 128 such as B.sub.4 C are provided to form a multi-hybrid structure for increasing the quantity of neutron absorbing materials. The reactivity worth is thereby improved, as shown in FIGS. 17B and 17C, and it is thereby possible to absorb neutrons in both the different types of neutron absorbers 124 and 128. In addition, the contribution of the long-lived neutron absorber diluted alloy 124 to the neutron absorption is greater and the neutron absorption factor of the other neutron absorber 128 is reduced, thereby enabling a long-term use or an increase in the lifetime of the control blade. It is thereby possible to increase the degree of reactivity worth by 5 to 10% from the level in the case of the conventional reactor control blade and to realize a lifetime 2.5 to 3 times higher than that of the conventional control blade. An example of the reactor control blade 110 in accordance with this embodiment in which the long-lived neutron absorber diluted alloys 124 and 125, i.e., Hf-Zr or Hf-Ti provided as base materials housed in the metallic sheath 114 are used in the high-reactivity-worth region X.sub.2 of the first region X, and in which the holes 126 formed in the diluted alloys 124 and 125 are uniformly filled with B.sub.4 C is substantially the same as the example shown in FIG. 3A. Also the relationship between the neutron absorption factor, the density, and the hafnium content in Hf-Zr alloy is substantially the same as that shown in FIGS. 3B and 3C. Therefore the description for this example will not be repeated. Next, a modified example of the reactor control blade in accordance with the second embodiment will be described below with reference to FIGS. 18A and 18B. The overall construction of a reactor control blade 110A is the same as that of the reactor control blade 110 shown in FIG. 13 except for the first region X, and the corresponding explanation will not be repeated. The wing 115 of the reactor control blade 110A in accordance with this modified embodiment is constructed as shown in FIGS. 18A and 18B. The difference between this wing and that of the former embodiment resides in the construction of the first region X. In the original example of the second embodiment, the high-reactivity-worth region X.sub.2 in the first region X in which a long-lived neutron absorber diluted alloy such as (Hf+Zr) is divided into the sections X.sub.21 and X.sub.22 (which may be fixed to each other by, for example, welding), the Hf density in the section X.sub.21 is set to a high level while the Hf density in the section X.sub.22 is set to a low level, and the lateral holes formed in the diluted alloys 124 and 125 are uniform (equal in shape and dimensions and arranged with equal pitches). That is, the wing is designed to extend the lifetime with respect to the end of the first region X on the side of the inserted upper end where the Hf density is high while greatly improving the reactivity worth with respect to the other end of this region on the side of the inserted lower end where the Hf density is low. In the modified example of the second embodiment, the hafnium density in a long-lived neutron absorber diluted alloy 140 used as a base material housed in the first region X is uniform, and housing holes (lateral holes) having different shapes are formed in the diluted alloy 140 with different pitches between the adjacent holes, thereby forming a long-lived region and a high-reactivity-worth region. The inserted upper end region X.sub.1 and the inserted lower end region X.sub.3 of the first region X are the same as those of the original example of the second embodiment. The inserted upper end region X.sub.1 is divided into the sections X.sub.11 and X.sub.12 on the basis of the same conception as the original example. In the modified example, the high-reactivity-worth region X.sub.2 in the first region X is divided into a high-reactivity-worth long-lived section X'.sub.21 on the inserted upper end side of this section, an intermediate particularly-high-reactivity-worth section X'.sub.22 and a high-reactivity-worth section X'.sub.23 on the inserted lower end side. The reactivity worth of the section X'.sub.21 may be smaller than that of the section X'.sub.22. In the section X'.sub.22 of the high-reactivity-worth region X.sub.2, the pitches between the lateral holes (housing holes) are slightly increased to improve the mechanical strength of the long-lived neutron absorber diluted alloy (base material) housed in the metallic sheath. Thus, this section is designed to exhibit a certain proof stress even if B.sub.4 C having a high reactivity value and provided as a neutron absorber housed in the lateral holes swells when irradiated with neutrons at a high rate. In this section, the ratio of Hf to B.sub.4 C is increased and the contribution of B.sub.4 C to the neutron absorption factor is reduced, thereby enabling an increase in the lifetime. The reactivity value decreases very little even if the ratio of Hf and B.sub.4 C is sightly reduced In the case where this section is filled with B.sub.4 C grains, the packing density is set to a value slightly smaller than the ordinary density and may be, for example, 60% TD (theoretical density). If the packing density is limited to this level, swelling spaces are maintained in the holes to reduce generated stresses in the holes and to delay the time at which the stresses start generating during neutron irradiation. This setting is preferred for increase in the lifetime. To set the packing density of the B.sub.4 C grains to a value which is 70% of the theoretical density, it is necessary to form and pack a mixture of a plurality of types of neutron absorber (B.sub.4 C grains, and other absorbers) having different particle sizes. Where the packing density is about 60% TD, it is not necessary to consider mixing except for the case where the particle size is very small or very large. Since the holes extending in horizontal direction are formed in the base material, there is no possibility of any considerable reduction in the reactivity worth due to settling of grains. Even if the arrangement is not designed to avoid settling or if a space containing no neutron absorber such as B.sub.4 C is formed by settling, Hf contained in the base material absorbs neutrons effectively. Therefore there is no possibility of considerable loss of reactivity worth or neutron flux peaking. The particularly-high-reactivity-worth section X'.sub.22 of the high-reactivity-worth region X.sub.2 has elongated holes each formed by combining several holes. The elongated holes are filled with B.sub.4 C grains. The elongated holes may have various shapes, including the examples shown in FIG. 12. The effects of such shapes are the same as those described above and therefore they will not be described in detail. Filling the elongated hole with B.sub.4 C enables the amount of B.sub.4 C provided as a neutron absorber to be increased, thereby achieving a high reactivity value. As the hole is elongated in the axial direction of the control blade, the Hf density in the base material becomes substantially lower and the factor of contribution of Hf to absorption of neutrons decreases, but the factor of contribution of B.sub.4 C to absorption of neutrons greatly increases, thus attaining a high reactivity worth. A substantial reduction in the Hf density is undesirable in terms of increase in the lifetime. In the section X'.sub.22, however, the neutron irradiation rate is generally smaller than that in the inserted upper end region X.sub.1 and that in the high-reactivity-worth long-lived section X'.sub.21, and there is no possibility of a reduction in the Hf density resulting in a considerable problem. Thus, this arrangement contributes to reductions in the weight and the cost. In the high-reactivity-worth section X'.sub.23 of the high-reactivity-worth region X.sub.2, the neutron irradiation rate is smaller than that in the particularly-high-reactivity-worth section X'.sub.22, and it is not necessary to set the reactivity value of the high-reactivity-worth section X'.sub.23 as high as that in the section X'.sub.22. For the high-reactivity-worth section X'.sub.23, therefore, the elongated hole structure is not adopted; the hole alignment pitch is slightly reduced; and the B.sub.4 C packing density is slightly increased compared with the conventional arrangement. This section may be the same as the conventional arrangement if the reactor core is of a certain type. A reference character 143 designates a support projection. In this arrangement, the first region X forms a particularly-high-reactivity-worth long-lived region and, specifically, the inserted upper end region X.sub.1 and the inserted upper end region X'.sub.21 of the high-reactivity-worth region X.sub.2 form a long-lived region. The packing density with which B.sub.4 C is packed in each lateral hole of the diluted alloy 140 may be 30 to 65% of the theoretical packing density at the side of the inserted to end where the neutron irradiation rate is particularly high. In the existing control blades, the packing density of B.sub.4 C grains is 70% TD.+-.5% TD, and it is thought that the neutron irradiation rate with respect to a constant swelling stress varies by about 20% with a variation of the B.sub.4 C grains packing density of about 5%. The degree of change in the swelling stress is not always definite since it also depends upon the particle size of the B.sub.4 C grains, but it is possible to delay the time at which swelling takes place by reducing the density. Where lateral holes are formed in the diluted alloys 140A to 140G as shown in FIGS. 12A to 12G, there is substantially no problem of settling of B.sub.4 C grains. It is possible to attain a certain reduction in the packing density. If the packing density of B.sub.4 C grains is set to 70% TD as in the conventional arrangement, it is necessary to mix B.sub.4 C grains having a different particle size. If the packing density is set to a level equal to or lower than about 60%, it is sufficient to use B.sub.4 C grains of a uniform particle size. This setting eliminates the need for particle size control and is advantageous in terms of cost reduction effects. If the packing density of the B.sub.4 C grains is smaller than 30% TD, B-10 is consumed at a higher rate by neutron reaction. This setting is not suitable for expansion of the lifetime. It is difficult to avoid a problem of settling in the case of low-density packing. However, it is easy to cope with such a problem by reducing the particle size as long as the B.sub.4 C grains density is higher than 30% TD. The reactor control blade 110 includes another type of control blade 110B which is constructed as shown in FIGS. 19A and 19B. Long-lived neutron absorber diluted alloys 150 and 151 may be housed in neutron absorber packing spaces formed in the metallic sheath 114 of the wing 115 formed from an Hf-Zr alloy of the reactor control blade 110B generally throughout the entire length of the wing from the inserted upper end to the inserted lower end. As shown in FIGS. 19A and 19B, the long-lived neutron absorber diluted alloys 150 and 151 are distributed to the first region X and the second region Y, respectively. The long-lived neutron absorber diluted alloy 150 provided in the first region X is substantially the same as the diluted alloy 140 provided in the first region X in the arrangement shown in FIG. 18 except for absence of the inserted lower end region X.sub.3. The corresponding components are therefore indicated by the same reference characters (symbols), and the description for them will not be repeated. A diluted alloy composed by diluting a long-lived neutron absorber such as hafnium with a diluent material, e.g., zirconium is used as the long-lived neutron absorber diluted alloy 151 provided in the second region Y. The hafnium content in the diluted alloy 151 is higher than 2% by weight. Natural zirconium, for example, is substantially equivalent to the diluted alloy 151. Natural zirconium contains about 2.5 to 3.0% by weight of hafnium. Lateral holes formed as housing holes in the diluted alloy 151 are filled with a neutron absorber 152 such as B.sub.4 C which is different from the long-lived neutron absorber. The neutron absorber packing space formed in the wing 115 of the reactor control blade 110B is not necessarily divided into the first region X and the second region Y as shown in FIGS. 19A and 19B. Instead, the neutron absorber packing space may be filled with substantially the same long-lived neutron absorber diluted alloys substantially throughout the entire length of this space from the inserted upper end to the inserted lower end. In this case, the diluted alloy may be formed integrally throughout the entire length of may be divided into several sections. Also, the diluted alloy may be housed without filling at least one of upper and lower end portions of the neutron absorber packing space. All the lateral holes formed as housing holes in the diluted alloy may be filled with a neutron absorber constituted by, for example, B.sub.4 C while they are filled with the neutron absorbers shown in FIGS. 19A and 19B. In that case, the long-lived neutron absorber diluted alloy packed in the neutron absorber packing space over the entire area thereof may be an alloy composed by diluting a long-lived neutron absorber such as hafnium with a diluent such as zirconium or titanium. If this alloy is composed of hafnium and zirconium, the content of hafnium is, for example, about 10% by weight. If it is composed of hafnium and titanium, the content of hafnium is, for example, about 30% by weight. FIGS. 20A and 20B show a reactor control blade 110C which is another modified example of the second embodiment. The metallic sheath 114 of the reactor control blade 110C constituting the wing 115 is formed from an Hf-Zr alloy or an Hf-Ti alloy. The Hf density is, for example, about 20% by weight in the former alloy and is about 30% by weight in the latter alloy. The Hf density is uniform in the axial direction of the reactor control blade 110C. Pairs of Hf plates 155, 155; 156, 156 are opposed to each other with certain gaps formed therebetween to construct a so-called neutron flux-trap structure in the metallic sheath 114. Water is allowed to enter the gaps between the pairs of plates during operation. The reactor control blade 110C is irradiated with neutrons at a higher rate at the side of the inserted upper end, and the corresponding portion of the control blade 110C needs to be highly improved in the reactivity worth. The thickness of the pairs of Hf plates facing each other is reduced from the inserted to end toward the inserted lower end. It is not necessary to insert any Hf plates in a region between the inserted lower end and a position at a distance of, for example, 1/2 to 1/4L from the inserted lower end. In this region, only Hf contained in the metallic sheath 114 serves as a neutron absorber. Cooling water introduced into the metallic sheath 114 flows inside the sheath and the inside gap between the opposed Hf plates toward the inserted upper end, and is thereafter discharged to the outside of the control blade. In principle, the control blade is designed to enable the cooling water flow at a rate such as to avoid boiling inside the control blade. FIGS. 21A and 21B show a reactor control blade 110D which is a sill another example of the second embodiment. Fundamentally, the reactor control blade 110D is substantially equal to the reactor control blade 110C shown in FIGS. 20A and 20B. The reactor control blade 110D differs from the control blade 110C in that it has holes which are formed in an Hf-Zr alloy plate 157 in a portion (section X.sub.2) where a highly improved reactivity worth is required and which are filled with B.sub.4 C, and that Hf plates or Hf-Zr (or Hf-Ti) alloy plates 158 which face each other with a certain gap formed therebetween are inserted at the side of the inserted upper end also. Since it is not necessary for the section X.sub.1 at the inserted upper end to be highly improved in reactivity worth and since, in this section, the neutron irradiation rate is particularly high, plates 159 formed from Hf having a long lifetime are generally adopted instead of B.sub.4 C having a comparatively shorter nuclear lifetime by being opposed to each other with a certain gap formed therebetween. The construction of a high-reactivity-worth section (section X.sub.2) is fundamentally the same as that of the section X.sub.2 shown in FIG. 18. A reference character 160 designates a neutron absorber such as B.sub.4 C and a reference character 161 designates a long-lived neutron absorber such as hafnium. FIGS. 22A and 22B show a reactor control blade 110E which is a further example of the second embodiment. Fundamentally, the sections X.sub.1 and X.sub.2 of the reactor control blade 110E are substantially equal to those shown in FIGS. 21A and 21B. In the reactor control blade 110E, neutron absorbing rods 162 of a conventional type formed of metallic pipes filled with B.sub.4 C are arranged on the side of the inserted lower end. Ordinarily, the metallic pipes are formed from a stainless steel, but they can be formed from other metals, e.g., an Hf metal, an Hf-Zr alloy, an Hf-Ti alloy and the like. The reactor control blade 110E differs from the other embodiments in that the tie rod is divided into parts which are provided inside the wings and which are connected by a connecting member 163 at desired intervals, instead of being formed as one member located at the center axis of the control blade. FIG. 23 illustrates a reactor control blade which represents a third embodiment of the present invention. The appearance of the whole of this control blade is substantially the same as the conventional control blade and the above-described embodiments. Referring to FIG. 23, a reactor control blade 210 has wings 211 each of which is divided into a first region having a inserted upper end region Xa which is formed at the inserted upper end and the outer edge portion of the wing where the wing is irradiated with neutrons at higher rates and which greatly contributes to the desired reactivity value, a second region or high-reactivity-worth region Ya which is adjacent to the first region and where the subcriticality becomes smaller during shut-down of the rector, and a third region Za located at the side of the inserted lower end of the wing and adjacent to the high-reactivity-worth region Ya. Each wing 211 of the reactor control blade 210 has a multiplicity of lateral holes or housing holes 217, 218, and 219 which are formed between the inserted upper end and the inserted lower end of the wing so as to extend in the widthwise direction of the wing. The inserted upper end region Xa formed in the first region of the wing 211 extends from the inserted upper end of the effective axial length L of the control blade toward the inserted lower end of the same by a distance of about 5 cm to 32 cm. More preferably, the inserted upper end region Xa has a length of about 5 to 16 cm defined below the inserted upper end. Housing holes formed in the inserted upper end region Xa, namely, housing holes 217 and a longitudinal housing hole positioned in an outer edge region of the wing are filled with long-lived neutron absorbers 220 and 221, e.g., materials containing hafnium. The control blade 210 has a gap which is formed along the inner edge of the wing 211 and which is filled with water. If this gap is large, the increase in the thermal neutron flux becomes considerable. For this reason, a long-life neutron absorber may be provided along the inner edge of each wing 211 in a region which outwardly extends from the inner edge by a distance of 0.5 to 1.5 cm and which extends from the inserted upper end toward the inserted lower end by a distance of, for example, about 15 to 40 cm. The width l.sub.25 of the region in the outer wing edge portion of the first region in which the long-lived neutron absorber 221 is packed may be about 1 to 2 cm. In the reactor control blade intended mainly to be highly improved in the reactivity worth, the reactivity value of the long-life neutron absorber 221 is ordinarily smaller than that of B.sub.4 C. Therefore the width l.sub.25 may be about 0.5 cm. The length l.sub.21 of the region having the width l.sub.25 may be smaller if the control blade intended mainly to be highly improved in the reactivity worth. However, it is necessary to set the length l.sub.21 to a value equal to or larger than 1/4 the effective axial length L in the case where the control blade is intended mainly to perform reactor operation control by being inserted into the reactor core during operation. In a case where the specification relating to the use of the control blade cannot be determined definitely, the width l.sub.25 may be set to about 0.5 to 1 cm while (l.sub.24 -l.sub.23) is set to 1/2 L to avoid any reduction in the reactivity value and, hence, hindrance to achievement of a great improvement in reactivity worth. The outer edge of each wing 211 is closed by, for example, welding to enclose the openings of the housing holes with the long-lived neutron absorber 221. The long-lived neutron absorbers 220 and 221 are provided as a solid material such as grains. One or two substances selected from the following substances to form the long-lived neutron absorbers 220 and 221: hafnium metal, Hafnium-zirconium alloy, hafnium-titanium alloy, silver-indium-cadmium alloy, europium oxide, dysprosium oxide, samarium oxide and the like. The optimum combination of these substances is determined in consideration of the intensity of neutron irradiation, the operation period and so on. The capacity of each of the housing holes 218 formed in the second region Ya of the wing 211 with respect to the unit length in the longitudinal direction of the wing is increased relative to the capacities of the housing holes of the inserted upper end region Xa and the third region Za in order to increase the reactivity value of the control blade 210. More specifically, each housing hole 218 in the second region Ya is elongated in the axial direction of the control blade so as to increase the amount of packed neutron absorber 223 such as B.sub.4 C and, hence, to improve the reactivity worth of a region where the subcriticality becomes smaller during shut-down of the reactor, thereby attaining a high reactivity. The region where the subcriticality becomes smaller during shut-down of the reactor is formed in the second region Ya, where the wing is irradiated with neutrons at a rate which is comparatively high but which is much lower than that in the inserted upper end region Xa. For that region, it is possible to use B.sub.4 C as a neutron absorber suitable for a design to highly improve the reactivity worth although the use of B.sub.4 C is disadvantageous in terms of increase in the lifetime. To further improve the reactivity worth, a boron compound such as B.sub.4 C , born nitride or europium hexaboride (EuB.sub.6) obtained by enriching boron-10 may be used. It is possible to attain both a great improvement in the reactivity worth and an increase in the lifetime by using europium oxide as a main neutron absorber along with a neutron absorber containing no boron. However, europium oxide is expensive and is not so suitable for achievement of a great improvement in the reactivity worth when compared with enriched boron. Therefore, most preferably, the use of europium oxide is limited to a portion of the high-reactivity-worth region (second region) Ya adjacent to or in the vicinity of the inserted upper end region Xa. In this reactor control blade, it is possible to increase the mount of neutron absorber of a particularly high reactivity type (typically, B.sub.4 C) by elongating the housing holes 218 of the second region Ya in the axial direction of the control blade. The mount of neutron absorber 223 packed in the housing holes 218 formed in the second region Ya and the reactivity value (relative value) of this absorber are vary with changes in the distance between the centers of the housing holes 218 (pitch between the holes), as shown in FIG. 24B, on condition that the diameter of the housing holes 218 is constant. In accordance with a typical example of the design of this type of control blade, the thickness t of the plate is 8 mm, the diameter d of the holes is 6 mm, and the distance between the centers of the holes (pitch: p) is 8 mm. If the pitch p is changed under this condition, the mount of neutron absorber changes with the reactivity value, as shown in FIG. 24B. With respect to the value of the diameter of the housing holes equal to the pitch of the same, the amount of neutron absorber is 1.3 times as high as that in the conventional arrangement. In the state where the housing holes are superposed one on the other, that is, the plate is divided into two parts each having a thickness of (t-d)/2 so that the neutron absorber forms a flat layer when packed (when the number of holes reaches the limit), the amount of neutron absorber is 1.7 times higher. An example of a characteristic of the relative change in the reactivity value is represented by the double-dot-dash line in FIG. 24B although it cannot be treated as dependent on the amount of neutron absorber alone because it is influenced by the construction of the core, the fuel enrichment factor, the width of the water gap, burnable poisons, and so forth. In this example, an increase of 4% is exhibited if the housing holes are adjacent to each other without overlapping (p=d), and an increase of about 7.5% is exhibited if the housing holes are just superposed. In practice, the reactor control blade 210 cannot be designed to completely divide the plate that forms the wing 211, and the plate is necessarily formed in such a manner that it has two outer wall portions and some partition portions continuously connected therebetween. Therefore there is no possibility of the hole pitch p=0. To reduce the pitch p, an arrangement such as that shown in FIG. 23 can be adopted actually in which some of the housing holes adjacent to each other are grouped and the pitch p between the grouped holes is reduced while part of the base material extends (wing) between adjacent groups thus formed, thereby setting an effective value of p=4 to 5 mm (d=6 mm). This arrangement enables an increase in the reactivity value of about 5%, as shown in FIG. 24B. This is the principle of increasing the reactivity value in accordance with the present invention, whereby the distribution of the subcriticality in the axial direction of the control blade during shut-down of the reactor is improved and is made uniform in the axial direction by inhibiting formation of any region where the subcriticality becomes considerably low, as shown in FIGS. 17. A neutron absorber 225 such as B.sub.4 C is packed in each of the housing holes 219 formed in the third region Za of the wing 211. In the third region Za, it is not necessary to increase the reactivity value with respect to some of the housing holes 219 formed between the inserted lower end and a position at a distance of L/2 from the inserted lower end, and these holes may therefore serve as gas plenums without being filled with any neutron absorber. In this case, it is preferable to avoid selection of adjacent ones of the housing holes 219 used as gas plenums. The construction and the shape of the housing holes formed in the second region Ya of the wing 211 may be selected as shown in FIGS. 12. The effects of each construction or shape are also substantially the same in this embodiment and the description for them will not be repeated. FIG. 25 illustrates a reactor control blade which represents still another embodiment of the present invention. The appearance of the whole of this control blade is substantially the same as the above-described conventional example and the other embodiments of the present invention. Referring to FIG. 25, each of wings 302 of a reactor control blade 301 is constituted by a first region and a second region. The first region extends from the inserted upper end of the wing 302 in the axial direction of the control blade by a distance of 1/4 to 3/4 of the length L corresponding to the overall axial length of the reactor core. The second region extends from the lower end of the first region to the inserted lower end of the wing 302. The first and second regions are respectively defined within a range l.sub.31 and a range l.sub.32 shown in FIG. 25 and they are improved in the lifetime and in the reactivity worth. The reactivity worth of the first region is particularly high. The first region l.sub.31 is divided into a high-irradiation region Xb where the neutron irradiation is particularly high and a non-high-irradiation region Yb where the neutron irradiation rate is not so high. A neutron absorber is therefore provided in the first region l.sub.31 in an effective manner in consideration of the neutron irradiation rate. As shown in FIGS. 25, 26A and 27A to 27E, a multiplicity of housing holes 305 are arranged formed in the wing 302 in such a manner that they extend in the widthwise direction of the wing and that they are arranged in a row in the axial direction of the control blade. The first region l.sub.31 is divided into a section l.sub.33 in which gas plenums are formed, a section l.sub.34 in which a long-lived type neutron absorber is packed, a section l.sub.35 in which a high-reactivity-worth neutron absorber is packed, and a section l.sub.36 in which a long-lived type neutron absorber is packed. Of these sections, the sections l.sub.33, l.sub.34 and l.sub.36 correspond to the high-irradiation region Xb while the section l.sub.35 corresponds to the non-high-irradiation region Yb. In the first region l.sub.31, the section l.sub.33 is located at the inserted upper end, and the housing holes 305 formed within the section l.sub.33 serve as gas plenums for helium gas generated by reaction between neutrons and a neutron absorber 307 packed in the section l.sub.35 and other materials. This is because it is not always necessary to provide a neutron absorber in the section l.sub.33 where there is no need for a particularly high reactivity worth during shut-down of the reactor. This arrangement is particularly suitable in a case where hafnium or a hafnium alloy is used as a base material 312 of the wing. The hafnium alloy is, for example, hafnium-zirconium alloy or hafnium-titanium alloy. As shown in FIG. 26B, a long-lived neutron absorber in the form of a pair of opposed plates 309 may be disposed in the section l.sub.33 so that they are spaced apart from each other in the direction of the thickness of the wing 302 to form a gap 310. The neutron absorber plates 309 are formed with water passage holes 311 for enabling the cooling water to pass through the gap 310. This arrangement ensures that the speed of radiated neutrons is reduced by the slowing down effect of the cooling water filling the gap 310 and that the decelerated epithermal neutrons and thermal neutrons are effectively absorbed in the neutron absorber plates 309. The section l.sub.34 is located between the section l.sub.33 and the section l.sub.35. At the position of the section l.sub.33, the neutron irradiation rate is high and the desired reactivity value is not small. A long-lived neutron absorber 306 such as hafnium is therefore packed in the housing holes 305. The long-lived neutron absorber 306 may be selected from the following substances other than hafnium: hafnium alloys such as hafnium-zirconium alloy; silver-indium-cadmium alloy; europium oxide (Eu.sub.2 O.sub.3); europium hexaboride (EuB.sub.6); dysprosium oxide (Dy.sub.2 O.sub.3) and the like. This embodiment is the same as the former embodiment with this respect. The reason for the provision of the long-lived neutron absorber 306 in the region l.sub.34 is that there is a strong possibility of occurrence of small neutron flux spikes in this region. The long-lived neutron absorber 306 is packed in, for example, one or two of the housing holes 305. The section l.sub.35 is located at the end of the first region l.sub.34 on the side of the inserted lower end of the wing, and it corresponds to the non-high-irradiation region Yb. The subcriticality with respect to the section l.sub.35 becomes smaller during shut-down of the rector after the reactor has been operated for a long period of time. A high-reactivity-worth neutron absorber 307 is packed in the housing holes 305 formed in the section l.sub.35. For example, the neutron absorber 307 is selected from boron compounds obtained by enriching natural boron (B) or Boron-10 (.sup.10 B), e.g., boron carbide (B.sub.4 C) and europium hexaboride (EuB.sub.6). If boron carbide is packed in the housing holes 305 of the section l.sub.35, it is preferable to set the packing density to 30 to 65% of the theoretical density in terms of measure to cope with swelling as well as of economy. The section l.sub.36 is provided in the first region l.sub.31 along the outer edge of the wing 302 and included in the high-irradiation region Xb. A long-lived neutron absorber 308 such as hafnium is provided in the section l.sub.36. The provision of the section l.sub.36 is not necessary if it is certain that the control blade is used only to shut down the reactor. In the case of a control blade used to control the reactor by being inserted into the core during operation, it is necessary to provide a long-lived neutron absorber in the section l.sub.36 having a width of 1 to 2 cm because the wing is exposed to a strong neutron irradiation during operation. To increase the width of section l.sub.36 conflicts with the design to improve the reactivity worth because the reactivity value of a long-lived neutron absorber such as hafnium is ordinarily smaller than that of a high-reactivity-worth neutron absorber such as boron carbide. It is therefore preferable to set the width of the section l.sub.36 to about 1 to 2 cm. If whether or not the control blade is used during reactor operation is not clear, it is preferable to set the width of the section l.sub.36 to about 0.5 to 1 cm, which value is sufficient to increase the lifetime of the control blade effectively. A very small gas-permeable gap is formed between the long-lived neutron absorber 308 in the section l.sub.36 and the neutron absorbers 306 and 307 packed in the housing holes 305 in order to discharge a gas such as helium gas generated by the reaction between neutrons and the neutro absorber 307, e.g., boron carbide packed in the housing holes within the section l.sub.35 to the gas plenums formed in the section l.sub.33. The provision of this very small gap makes it possible to reduce the pressure due to the generation of helium as well as to restrict swelling, thereby increasing the mechanical lifetime of the control blade. If hafnium is provided in the section l.sub.36, the neutron absorbers 306 and 307 are first packed in the housing holes 305, a hafnium rod having a semi-circular cross section is then fitted to the ends of the housing hole on the side of the outer edge of the wing so as to prevent boron carbide or the like packed in the section l.sub.35 from leaking, and the hafnium rod is wrapped with the wing base material 312. The closing ends are welded from the outside. Thus, large amounts of neutron absorbers 306 and 307 are packed in the first region l.sub.31, thereby attaining a high degree of reactivity worth. To further improve the reactivity worth, various modifications such as those shown in FIGS. 12A to 12G are provided. Any one of stainless steel, hafnium, and hafnium alloys can be used as the sheath base material 312 of the first region l.sub.31. In accordance with the present invention, the neutron absorption factors of the neutron absorbers 306 and 307 are not reduced considerably even during a long-term operation because the amounts of neutron absorbers 306 and 307 are packed in the housing holes 305 of the first region l.sub.31 are large, thereby achieving a sufficient increase in the lifetime even if stainless steel is used as the wing base material 312. If hafnium or a hafnium alloy which is a long-lived neutron absorber is used as the wing base material 312 of the first region l.sub.31, the long-lived neutron absorber or hafnium and the high-reactivity-worth neutron absorber or boron carbide respectively absorb neutrons at suitably distributed rates such that the load of neutron absorption on boron carbide is reduced. Correspondingly, the total lifetime can be extended. If a hafnium alloy is used as the wing base material 312 of the first region l.sub.31 while the housing holes 305 of the section l.sub.35 are filled with boron carbide, the neutron absorption factors of hafnium and boron carbide change with the density of hafnium contained in the hafnium alloy so that the total neutron absorption factor of hafnium and boron carbide increases with the hafnium density, as mentioned below, while these materials absorb neutrons at certain distributed rates. That is, as the density of hafnium in the wing base material 312 of the first region l.sub.31 increases, the reactivity value of the first region increases. In consequence, it is possible to construct a control blade having an extended lifetime and a particularly high reactivity value by using hafnium or a hafnium alloy used as the wing base material 312 of the first region l.sub.31. The relationship between the neutron absorption factors of the hafnium alloy and boron carbide is the same as that shown in FIG. 3. In a case where the density of hafnium in the wing base material 312 is changed with respect to the sections l.sub.33, l.sub.34, and l.sub.35 of the first region l.sub.31, the hafnium density in the sections l.sub.33 and l.sub.34 may be set to a value equal to or higher than 70% by weight while the hafnium density in the section l.sub.35 is set to a value much smaller than 70% by weight. In the second region l.sub.32, a neutron absorber in the form of a pair of opposed plates 313 spaced apart from each other in the direction of the thickness of the wing 302 to form a gap 314. The neutron absorber plates 313 are formed with water passage holes 315 for enabling the cooling water to pass through the gap 314. A long-lived neutron absorber such as hafnium or a hafnium alloy is used as the neutron absorber plates 313. This long-lived neutron absorber is selected from examples mentioned below with respect to the first region l.sub.31. FIGS. 28 show a comparison between the cross sections of the first and second regions l.sub.31 and l.sub.32, and FIG. 28A shows the cross section of the section l.sub.35 of the first region l.sub.31. In the first region l.sub.31, neutrons radiated to the wing 302 are directly absorbed by the neutron absorber 307, e.g., boron carbide packed in the wing 302. If hafnium or a hafnium alloy is used as the wing base material 312, neutrons are directly absorbed by both boron carbide and hafnium. FIG. 28B shows the cross section of the second region l.sub.32. The gap 314 is formed between the neutron absorber plates 313 formed from hafnium or a hafnium alloy, and the cooling water fills the gap 314 while flowing through the same. In the second region l.sub.32, neutrons radiated from one side of the wing 302 are decelerated by the slowing down effect of the cooling water that fills the gap 314 and are thereafter absorbed in one of the neutron absorber plates 313 by being changed into epithermal and thermal neutrons. In this case, the reactivity value increases linearly if the gap 314 filled with cooling water is larger. This effect means that the amount of hafnium in the neutron absorber plates 313 can be reduced if the gap 314 is larger. However, if the gap becomes equal to or larger than 3 cm, there is no change in the reactivity value, and it is difficult to set the gap 314 to a size larger than 7 mm in the actual rector control blade for boiling water reactors. It is therefore preferable to set the gap 314 to a size smaller than 7 mm while the desired amount of hafnium is provided in the neutron absorber plates 313. If zircaloy is used to form the upper end structural member 316 and the lower end structural member 317 as shown in FIG. 26A, the wing base material 312 and the upper end structural member 316 can be welded together and the lower end structural member 317 and the neutron absorber plates 313 can also be welded together even in the case where hafnium or a hafnium alloy is used as the wing base material 312. If stainless steel is used to form the upper end structural member 316 and the lower end structural member 317 as shown in FIG. 26B, these members and the wing are connected by using pins 318 formed from stainless steel. As described above, the present invention can be embodied in various ways. The above-described embodiments, however, are separated into two basic types: one having sheaths which form a wing assembly having a cross-shaped cross section; and one having no sheath. In the reactor control blade having no sheath, neutron absorber elements are directly fixed between the upper end structural member and the lower end structural member. In the reactor control blade having sheaths, the upper end structural member and the lower end structural member are connected by the tie rod, sheaths having an elongated-U-shaped cross section are fixed to projections of the tie rod, and neutron absorber elements are housed in the sheaths. For application of either type of control blade, as described above fragmentarily, it is important for the present invention to provide measures to cope with swelling. The structure of a control blade which is specially constructed in consideration of measures to cope with swelling will be described below with reference to the accompanying drawings. The embodiment described below is a type of control blade having no sheath for the wing, but it is to be understood that this specific structure is not limited to this embodiment and that it can be substantially applied to all the above-described embodiments. FIG. 29 shows a fragmentary longitudinal cross section of a wing 411 of a reactor control blade 410 in accordance with the present invention. The overall construction of each of the above-described embodiments can be applied to that of the control blade 410. Therefore the description for details thereof will not be repeated. Referring to FIG. 29, five lateral holes or neutron absorber housing holes which extend in the widthwise direction of the wing 411 are formed continuously to form a housing hole 418. If the housing holes 418 thus formed are filled with a neutron absorber containing boron (B-10), e.g., boron carbide (B.sub.4 C), europium hexaboride (EuB.sub.6) or boron nitride (BN), B-10 in the neutron absorber is changed into He gas and Li by neutron absorption reaction. The greater part of He gas stays in the grains of the neutron absorber and makes the grains swell. The swelling of the neutron absorber applies forces to localized portions of each housing hole 418 from the interior of the same toward the outside. As the neutron absorber swells inside each housing holes 418, a maximum stress is caused in extreme end portions of the elongated housing holes 418. At an intermediate portion thereof, the degree of stress is smaller since the wing base material can be displaced in the direction of thickness of the wing 411. To cope with these stresses, in the case of the arrangement having the elongated housing holes 418, the proof stress may be increased at the extreme ends of each housing hole in the direction of alignment of the housing holes, or the wing may be designed to delay the time at which the stress starts generating or to prevent the generation of the stress. The structure shown in FIG. 29 is designed to prevent the generation of any considerable stress from the extreme end holes of the elongated housing holes 418. The elongated housing holes 418 are formed so that the center of the row of the housing holes 418 correspond to a portion of the wing 410 where the subcriticality becomes minimized in the axial direction of the core of the reactor when the control blade is 410 is fully inserted into the core to shut down the reactor. A long-lived neutron absorber 430, e.g., hafnium metal, hafnium alloy, silver-indium-cadmium alloy, an oxide of a rare earth element such as Eu.sub.2 O.sub.3, Dy.sub.2 O.sub.3, Gd.sub.2 O.sub.3, or Sm.sub.2 O.sub.3, or a mixture oxide of an oxide of a rare earth element and HfO.sub.2 is inserted in the elongated housing hole 418 at the extreme ends thereof. The neutron absorber 430 generates no gas when it reacts with neutrons. Therefore there is no possibility of swelling and, hence, any stress directly generating at the extreme ends of the elongated housing hole 418. A neutron absorber 431 having B-10, e.g., B.sub.4 C is packed in the intermediate portion of the elongated housing hole 418 in order to improve the reactivity. The packed neutron absorber 431 swells and causes a stress at the intermediate portion of the housing hole 418 and expands the housing hole 418 in the direction of thickness of the wing 411. This stress is transmitted to the extreme ends of the housing hole 418, but no stress is generated by the neutron absorber 430 disposed at these extreme end positions, and the stress caused by the swelling at the intermediate portion of the housing hole 418 is readily absorbed by a deformation thereof, thus avoiding a reduction in the mechanical lifetime. This reactor control blade is designed to make the gas pressure uniform with respect to housing holes by providing communication between housing holes irradiated with neutrons at lower rates and gas plenums 426, thereby eliminating the possibility that the mechanical lifetime of the control blade will be determined by the stress caused by the gas pressure. FIG. 30 shows a structure wherein the proof stress is increased at the extreme ends of each of elongated housing holes 418a. Each housing hole 418a is formed in such a manner that the diameter of lateral holes located at the extreme ends of housing holes in the direction of alignment of the housing holes is reduced relative to that of the lateral holes located in the intermediate portion so that the thickness of the metallic base material of the wing 411 is increased at the extreme ends of the housing hole 418a. It is thereby possible to increase the stress withstanding strength even at the extreme ends of the elongated housing holes 418a of the wing 411. Th neutron absorber 431, e.g., B.sub.4 C having B-10 is packed in the elongated housing holes 418a. Even if the packed neutron absorber 431 swells when irradiated with neutrons, there is no possibility of generation of a considerably large stress at the extreme ends of the housing hole and, hence, a reduction in the mechanical strength since the stress withstanding strength is high at these positions and since the intermediate portion absorbs the swelling by deforming in the direction of thickness of the wing 411. If, in this case, a plate formed from a diluted alloy obtained by diluting Hf with Zr or Ti is used as the base material of the wing 411, the metallic base material of the wing 411 itself has a neutron absorbing ability. The rate at which the neutron absorber 431 absorbs neutrons is thereby reduced relatively, and it is possible to reduce the amount of the neutron absorber. As a result, the time at which the neutron absorber 413 starts swelling is delayed on the basis of the reduction in the neutron absorption rate, and the mechanical lifetime is extended correspondingly. FIG. 31 shows part of a reactor control blade designed to delay the time at which the stress due to swelling starts generating. In the wing 411 shown in FIG. 31, inner tubes 433 are loosely fitted in each of elongated housing holes 418b at extreme ends thereof, a neutron absorber 434, e.g., B.sub.4 C is packed in the inner tubes 433, and the neutron absorber 431, e.g., B.sub.4 C is packed in the intermediate portion of the housing hole 418b. The inner tubes 433 are loosely accommodated in the lateral holes formed at the extreme ends of the housing hole 418b so that swelling absorbing gaps 435 are formed between the inner surface of the housing hole 418b and the inner tubes 433. These gaps 435 serve as escapement spaces whereby the neutron absorber can freely swell to a certain extent, thereby delaying the time at which the stress due to swelling generated in the housing holes of the wing 411 starts generating. FIG. 32 shows part of a reactor control blade which is also designed to delay the time at which the stress due to swelling starts generating, as in the case of the structure shown in FIG. 31. Each of elongated housing holes 418c shown in FIG. 32 is formed with a smooth inner surface, and inner sleeves 436 are loosely fitted as liners in the housing hole 418c. A neutron absorber 437, e.g., B.sub.4 C is packed in the inner sleeves 436. Each inner sleeve 436 is formed from, for example, SUS steel, Hf metal or aluminum. In this arrangement also, swelling absorbing gaps 438 are formed as escapement spaces between the inner surface of the housing holes 418c and the inner sleeves 436. In this case, certain gaps 438 are necessarily formed between the elongated housing holes 418c and the outer surfaces of the inner sleeves 436, and these gaps serve as spaces whereby the neutron absorber can freely swell to a certain extent. As a result, the time at which the stress due to swelling generated in the housing holes of the wing 411 starts generating is delayed remarkably, and the mechanical lifetime is thereby extended. The above-described embodiments represent the reactor control blades having no sheath, but the present invention can also be applied in a similar manner to reactor control blades having sheaths. The above-described embodiments involve other various changes in the shape of the elongated housing holes formed in the second region of the wing. FIG. 33 shows a fragmentary longitudinal cross section of a wing 511 of a reactor control blade 510 in accordance with the present invention. This embodiment is provided in consideration of measures to cope with swelling as in the case of the embodiments shown in FIGS. 29 to 32. The overall construction of each of the above-described embodiments can be applied to that of the control blade 510. Therefore the description for details thereof will not be repeated. FIG. 33 shows an example of the arrangement of housing holes formed in the second region Yc of the wing 511 of the reactor control blade 510 to pack a larger amount of a neutron absorber in a restricted region. Housing holes 518 formed in the second region Yc of the wing 511 are formed as described below. First, a plurality of, e.g., four blind holes extending in the widthwise direction of the wing are continuously formed in the second region Yc of the wing 511 in such a manner that they overlap each other, thereby forming the elongated housing hole 518, as shown in FIG. 34A. Next, the body of the wing 511 is depressed so that the thickness thereof is reduced to form dimples 528 for absorbing swelling, as shown in FIG. 34B. The connected hole portion of each housing hole 518 is thereby deformed from the original state indicated by the broken line to the deformed state indicated by the solid line. After the formation of the dimples 528, the base material of the wing undergoes heat treatment to remove residual stress at the connected hole portion of each housing hole 518. Thereafter, a neutron absorber such as grains of B.sub.4 C is packed in each housing hole 518. As the reactor control blade in which the second region Yc of the wing 511 is constructed as shown in FIGS. 33, 34A and 34B is irradiated with neutrons when inserted into the reactor core, B.sub.4 C grains provided as a neutron absorber swells by the effect of He and the like generated by the irradiation and outwardly presses the inner surfaces of the housing holes 518. At this time, since the swelling absorption dimples are formed to provide a space for absorbing expansion by depressing the body of the wing 511 at the position of the connected hole portion of each housing hole 518 formed in the second region Yc of the wing 511 in the direction of thickness of the wing 511, it is possible for each housing hole 518 to expand to an extent corresponding to the original state shown in FIG. 34A before the deformation of the housing hole 518 without causing any considerable local stresses therearound, even if the inner surface of the housing hole receives the swelling force. Moreover, the rate of a change due to swelling in the volume of the B.sub.4 C grains provided as the neutron absorber is small, and the degree of swelling of B.sub.4 C grains required to displace the swelling absorption dimples 528 formed at the position of the connected hole portion of each housing hole 518 until the original position shown in FIG. 34A is reached is large. Thus, the swelling absorption dimples 528 at positions of the housing holes 518 in the second region Yc of the wing 511 absorb swelling of the B.sub.4 C grains, thereby effectively limiting stresses caused by the swelling of B.sub.4 C and enabling an extension of the mechanical lifetime. FIG. 35 shows a reactor control blade which is a further embodiment of the present invention. In this embodiment, the second region Y.sub.C1 of the wing 511 is divided into a high neutron irradiation region Y.sub.C2 formed on the side of the inserted upper end of the control blade and a moderate neutron irradiation region Y.sub.C2 formed on the side of the inserted lower end of the control blade. Housing holes 518a formed in the moderate neutron irradiation region Y.sub.C2 have a configuration substantially the same as that of the housing hole 518 shown in FIGS. 33, 34A and 34B but differs from the same in that the housing holes 518a have inner surfaces smoothly formed. In this case, it is possible to pack a larger amount of B.sub.4 C in the housing holes. Housing holes 518b are formed in the high neutron irradiation region Y.sub.C1 in such a manner that the body of the wing 511 is depressed at the position of each of round blind holes in the direction of thickness of the wing 511 so that these holes have an elongated configuration. Dimples 528a capable of absorbing swelling stress are thereby formed at the position of each housing hole 518b. Also, housing holes 517a (lateral blind holes) to be filled with B.sub.4 C are formed in the inserted upper end region X.sub.C of the first region. The diameter of the housing holes 517a is smaller than that of the housing holes 518b formed in the high neutron irradiation region Y.sub.C1. The thickness of the base material of the wing 511 of this embodiment is therefore larger, and the swelling withstanding strength is correspondingly large. Since the neutron irradiation rate is particularly high at the side of the inserted upper end, Hf is packed in this region. The above-described embodiments represent the reactor control blades having no sheath, but the present invention can also be applied in a similar manner to reactor control blades having sheaths. The above-described embodiments involve other various changes in the shape of the elongated housing holes formed in the second region of the wing. As described above, the present invention can be embodied in various ways. However, it is to be understood that the present invention is not limited to the above-described embodiments and that other changes and modifications are possible without departing from the scope and spirit of the present invention. For instance, it is possible that in a wing 615 of a reactor control blade 610 shown in FIGS. 36, a long-lived neutron absorber 621 covering an inserted upper end section Xd is formed as a solid body having a reduced thickness, because the reactivity effects can be reduced with respect to this region. In this arrangement, an inner portion of the wing 615 within the inserted upper end region Xd is cut off since the contribution of this portion to the reactivity worth is small, thereby reducing the total weight of the control blade 610 and reducing impacts to the fuel when the control blade is drawn out. In addition, an engagement recess 633 may be formed by partially cutting the inner end of the long-lived neutron absorber of the wing 615 in the widthwise direction of the same in order to support the long-lived neutron absorber on a support which laterally projects from an extending portion of the central tie rod. It is also possible that in another arrangement shown in FIG. 37, a multiplicity of small holes 635 are formed in a neutron absorber provided in the inserted upper end region Xd of the wing 615 of the control blade 610 in order to reduce the wight of the control blade 610. It is of course possible to form the portion of the wing formed of the neutron absorber 621 with gas plenums. It is also possible to form the wing 615 from a diluted alloy formed by diluting a long-lived neutron absorber such as hafnium with a diluent such as zirconium or titanium. FIGS. 38 and 39 illustrates another modified example. A reactor control blade 710 is formed in such a manner that the thickness of a long-lived neutron absorber 721 provided in an inserted upper end region Xe of a wing 715 is reduced in order to reduce the total weight of the control blade, and that longitudinal holes 740 extending in the longitudinal direction of the wing 715 are arranged in a row in the widthwise direction of the wing 715. A neutron absorber 724 in the form of grains or pellets formed from a chemical compound of boron obtained by enriching natural boron or boron-10 is packed in each of the longitudinal holes 740. If grains of B.sub.4 C or the like are packed in the longitudinal holes 740, there is a possibility of spaces being formed at the upper end portions of the holes by settling. However, since the long-lived neutron absorber 721 encircles the longitudinal holes 740, there is substantially no possibility of occurrence of neutron flux peaks due to absence of B.sub.4 C grains. The influence of the formation of such spaces on the reactivity is also very small. Therefore there is no need for inserting partition balls in the longitudinal holes 740. FIGS. 40A and 40B shows another modified example. This example, namely, a reactor control blade 810 has a plurality of connection members (lateral tie rods) 843 in place of the central tie rod. Upper end structural members and lower end structural members (not shown) are connected by the connection members 843. A neutron absorber assembly 844 is provided in the space for the central tie rod, thereby improving the reactivity worth. The neutron absorber assembly 844 is constituted by a rectangular central hafnium rod 844a and peripheral neutron absorber rods 844b filled with B.sub.4 C or the like. A circular or rectangular neutron absorber rod or stainless steel rod may be used in place of the central hafnium rod. A long-life neutron absorber 821, e.g., a hafnium plate is packed in a first region Xg of a wing 815 of the control blade 810, as shown in FIG. 40A, while an ordinary type of neutron absorber rods 827 are arranged in a second region Yg, as shown in FIG. 40B. The present invention is not limited to the above-described embodiments. It can be modified in other various ways and includes combinations of changes and modifications. For instance, with respect to each embodiment, a sheath member having U-shaped cross section may be accommodated in each wing, the wing or sheath member may be formed from stainless steel, and the positions and the arrangement of housing holes can be selected as desired. As described above with respect to the embodiments and modified examples, the present invention attains the abovementioned objects by providing long-lived reactor control blades capable of maintaining a sufficient reactor shut-down margin, improved in the total reactivity value, and free from the problem of swelling. |
summary | ||
047088222 | description | cl DETAILED DESCRIPTION OF THE INVENTION In a solidified package 3 shown in FIG. 1, radioactive solid waste 1 assumes a spherical pelletized shape and is embedded in a solidifying material 2. If an external pressure P is applied to the solidified package 3, stress concentrates in the solidified package and particularly at the boundary between the solidifying material 2 and the radioactive solid waste 1, and tangential stress .sigma. which is a cause of cracking reaches a maximum. In this case, the intensity of the tangential stress is given as a function of the external pressure P, modulus of elasticity E.sub.1 of the radioactive solid waste, and modulus of elasticity E.sub.2 of the solidifying material. FIG. 2 shows the dependency of the internal stress .sigma./P, normalized by external pressure, on the ratio E.sub.2 /E.sub.1, from which it will be understood that when the modulus of elasticity E.sub.1 of the radioactive solid waste is smaller than that E.sub.2 of the solidifying material (E.sub.1 <E.sub.2), the stress .sigma. at the boundary therebetween is greater than the external pressure P. Therefore, if the safety factor is set simply by comparing the compressive strength of the solidifying material with the external pressure P, a sufficient durability is not often maintained under practical conditions. The intensity of the stress concentrated at the boundary between the solid waste and the solidifying material is in inverse proportion to the radius of curvature of the surface of the solid waste. In practice, the radioactive waste consists of an aggregate of conduit pieces, waste cloth, plastic materials, as well as materials which have been dried, granulated, and pelletized, having a coarse surface and various radii of curvature. Therefore, the stress concentrates unevenly, unlike in the completely spherical representation of FIG. 1; i.e., the stress concentrates locally. With an actual solidified package, therefore, the inclination of the curve becomes steeper than that of FIG. 2, and the safety factor decreases greatly. This curve always passes through the point [.sigma./P, E.sub.2 /E.sub.1 ]=[1, 1]. When the modulus of elasticity E.sub.2 of the solidifying material is smaller than the modulus of elasticity E.sub.1 of the radioactive solid waste, therefore, the stress does not become greater than the external pressure, and the safety factor does not decrease. Steel material such as conduit pieces have a modulus of elasticity of 10.sup.6 kg/cm.sup.2, waste cloth and plastic materials have moduli of elasticity in the range of 10.sup.2 to 10.sup.3 kg/cm.sup.2, and materials obtained by drying concentrated liquid waste or ion-exchange resins, followed by pulverization and pelletization, have a modulus of elasticity of about 10.sup.3 kg/cm.sup.2. Though it is not possible to adjust the modulus of elasticity E.sub.1 freely, the modulus of elasticity E.sub.2 of the solidifying material can be adjusted so that the ratio E.sub.2 /E.sub.1 of moduli of elasticity becomes smaller than 1, in order to maintain the desired safety factor and to prevent the solidified package from being destroyed. There now follows a description of an embodiment for solidifying radioactive solid waste according to the present invention wherein mirabilite pellets are embedded in a polyester resin, the mirabilite pellets being obtained by pelletizing a powder obtained by drying concentrated liquid waste from a boiling-water reactor. The mirabilite pellets employed in this embodiment had an almond shape, measure about 3 cm long, about 2 cm wide, and 1.3 cm thick, and were prepared according to a known process, i.e., the process disclosed in Japanese Patent Laid-Open No. 15078/1980. The modulus of elasticity of the mirabilite pellets as 3.times.10.sup.3 kg/cm.sup.2. For the solidifying material, a polyester resin was used, having properties as shown in Table 1, that was formed by the radical polymarization reaction of an unsaturated polymer with a crosslinked monomer. FIG. 3 is a schematic diagram illustrating the crosslinking polymerization reaction, in which the unsaturated polyester polymer consists of ester bonds of glycol G and unsaturated acid M. The distance between an unsaturated acid M and a neighboring unsaturated acid M across a glycol G is called the distance between crosslinking points. Therefore the distance between crosslinking points can be increased by using a glycol with a large molecular weight and a long chain. By using a polybutadiene glycol instead of the traditionally-used propylene glycol, the inventors have succeeded in increasing the distance between crosslinking points 7-fold and in reducing the modulus of elasticity to one-fiftieth the original value i.e., to 5.times.10.sup.2 kg/cm.sup.2). 250 kg of the mirabilite pellets were placed into a cage within a 200-liter drum, and the solidifying material was poured into fill the space between the drum wall and the mirabilite pellets with the solidifying material. The drum was left to stand and harden, thereby obtaining a solidified package. The solidified package was subjected to an sea disposal test simulating a depth of 6,500 meters (pressure of 650 kg/cm.sup.2). The solidified package was not destroyed and no cracks developed. In this embodiment, the ratio E.sub.2 /E.sub.1 of the modulus of elasticity of mirability pellets to the modulus of elasticity of polyester is 0.2 and, hence, it is considered that stress does not concentrate. As a comparative example, a solidified package was also prepared using a customarily employed plastic material (details are shown in Table 1) with a high modulus of elasticity, and was subjected to the same test. In this case cracks developed, and the solidified package was partly destroyed. The ratio E.sub.2 /E.sub.1 of the modulus of elasticity of the plastic material to the modulus of elasticity of the mirabilite pellets was about 10. That is, tangential stresses of 5 to 10 times as great concentrated at the boundaries between the plastic material and the mirabilite pellets if an external pressure of 500 kg/cm.sup.2 was applied (which corresponds to a sea depth of 5,000 meters). The plastic material used as the solidifying material broke under a static water pressure of about 2,500 kg/cm.sup.2. Therefore, the solidified package developed cracks, and was destroyed as the worst case. TABLE 1 ______________________________________ Plastic solidifying material used in the Plastic solidifying embodiment of material used in the the invention comparative example ______________________________________ Unsaturated Unsaturated alkyl con- Unsaturated alkyl con- polyester taining polybutadiene taining propylene monomer glycol glycol Crosslinking Styrene Styrene monomer Features Long distance between Short distance between crosslinking points crosslinking points (molecular weight of up (molecular weight of up to 2,000), and small to 300), and large modulus of elasticity modulus of elasticity (5 .times. 10.sup.2 kg/cm.sup.2) (3 .times. 10.sup.4 kg/cm.sup.2) ______________________________________ According to the present invention, the solidifying material is not limited to a plastic but could also be cement. In this case, the cement may have natural rubber or synthetic rubber latex mixed therewith to adjust the modulus of elasticity of the cement to be within the range of about 10.sup.4 kg/cm.sup.2 to 10.sup.2 kg/cm.sup.2, so that the modulus of elasticity is smaller than that of the radioactive solid waste. When more than one kind of radioactive solid waste are to be treated, the modulus of elasticity of the solidifying material should, of course, be based upon the smallest modulus of elasticity of the wastes. |
summary | ||
summary | ||
description | This application is a US 371 application from PCT/RU2018/000895 filed Dec. 28, 2018, which claims priority to Russian Application No. 2018134285 filed Sep. 28, 2018, the technical disclosures of which are hereby incorporated herein by reference. The group of inventions relates to the field of safe operation of nuclear power plants (NPPs), in particular, to methods and systems for emergency heat removal from nuclear power facilities. An important problem of nuclear energy is the removal of heat from a nuclear reactor after a serious accident. It is well-known that after a reactor shutdown, heat removal from a nuclear power facility is required for a considerable amount of time. Standard sprinkler-type cooling systems can be used for such heat removal, however, under extreme effect, for example, when an aircraft falls on a safety building where such systems are located, their operation may be impaired. In order to avoid a catastrophic development of events, in such cases there are used systems organized by the principle of passive heat removal, i.e. they work automatically when all NPP support systems are turned off due to steam energy, which condenses in heat exchangers, giving off heat, and returns back in the form of chilled water. There are known systems of passive heat removal from the steam generator (SG SPHR), wherein a sectional heat exchanger connected with the steam generator, inlet and outlet pipelines, is located above the steam generator. During SG SPHR operation, steam is transferred from the steam generator to the heat exchanger through the inlet pipeline, there it gives off heat, condenses, after which the water formed flows through the outlet pipeline back to the steam generator. However, such systems can reduce the temperature of the coolant only to a certain value corresponding to the boiling point of water under appropriate conditions, i.e. about 110-130° C., because they use cooling by condensation of steam. Meanwhile, at present, increased demands are placed on heat removal systems, implying that the water in these systems must be brought to a temperature of 70° C., which will allow access to the reactor by raising its cover without fear of boiling saturated water with a temperature of 130° C. with sudden pressure drop and, as a result, thermal explosions. Thus, when using, for example, a passive heat removal system, it is necessary to additionally cool the water circulating in the primary system and having a temperature of about 130° C., up to 70° C., after operation of the SG SPHR system. Since until the water temperature in SG SPHR is reduced to 130° C., about 72 hours elapse from the onset of the emergency, it becomes possible to rely on the restoration of power supply and, thus, use active heat removal systems using pumps, valves, etc. To do this, the secondary coolant circulation system with a water-water energetic reactor (WWER) and feed water connected to it can be used. However, there are problems associated with the difference in the temperature of the feed water and steam in the steam generator, as well as with the existing piping arrangement, which in some areas has a negative slope, which can lead to blockages and hydraulic impacts, which, in turn, can destroy system pipelines. The present group of inventions is aimed at solving this problem. Various emergency heat removal systems are known that are designed to remove heat from a steam generator. There is a known power installation (application of the Russian Federation for invention No. 95117882, publ. 10 Oct. 1997), comprising a water-water reactor with circulation pipelines for hot and cooled coolant, connected on the slope to the steam generator, a system for passive heat removal from the steam generator and a pumped-storage tank with inlet and drain branch pipes, the last of which is in communication with the water-water reactor, while the free end of the inlet branch pipe of the pumped-storage tank is in communication with the pipeline for the cooled coolant in the zone of connecting it with the steam generator. There is also a known system of gas removal from the main circulation pump of the water-water reactor facility (patent of the Russian Federation for the invention No. 2107344, publ. 20 Mar. 1998), which includes a reactor, an active zone, main circulation pipelines, a pressure compensator with water and steam spaces, a steam generator with “hot” and “cold” vertical collecting headers, a pipeline for gas removal from a “cold” vertical collecting header with isolating valves installed on the gas removal pipeline, the main circulation pump to which in the upper part, a gas removal pipeline is connected, a gas removal pipeline from the upper part of the main circulation pump is connected to a gas removal pipeline from a “cold” vertical collecting header to isolating valves. There is a known system for emergency heat removal (patent of the Russian Federation for the invention No. 2646859, publ. 19 Feb. 2018), comprising a heat exchanger-heater and a heat exchanger-cooler, connected to each other by the riser and downcomer legs, a tank with water inventory, connected by a pipeline to the downcomer leg, and there is also a tank for collecting non-condensable gases, which is connected to the downcomer leg between the heat exchanger-cooler and the tank with the water inventory, wherein, a check valve is installed between the tank with the water inventory and the tank for collecting non-condensable gases, and controlled valves are installed between the latter and the heat exchanger-cooler. There is a known system of passive heat removal through a steam generator (patent of the Russian Federation for utility model No. 96283, publ. 20 Jul. 2010), comprising a coolant circulation circuit including a steam generator connected by inlet and outlet pipelines to a heat exchanger located inside a tank with a coolant inventory installed above the steam generator, wherein, a start-up device, which comprises two start-up valves of different flow areas, is installed on the heat exchanger outlet pipeline. The closest analogue to the claimed system is an emergency cooling system (patent of the Russia Federation for utility model No. 111336, publ. 10 Dec. 2011) comprising steam and water legs, a combined heat exchanger-condenser, a once-through-type steam generator, a water inventory tank, a water inventory tank cistern for emergency cooling, wherein a partition plate is installed in the water inventory tank for emergency cooling, dividing it into two sections, each of which is connected to the atmosphere in the upper part above the water level, and the sections are interconnected by holes in the partition plate, located under the water level. During the operation of the above systems, a method for bringing a nuclear power plant into a safe state under extreme effect is implemented. In particular, in the system of gas removal from the main circulation pump of the water-water reactor facility (patent of the Russian Federation for the invention No. 2107344, publ. 20 Mar. 1998), a method for bringing a nuclear power plant into a safe state is implemented, which includes feeding a steam gas mixture from the upper part of the main circulation pump through the gas removal pipelines to the steam space of the pressure compensator, and from the “cold” vertical collecting header through gas removal pipeline 11 to the steam space of the pressure compensator due to hydrostatic pressure in it. In this case, steam-gas seals do not appear in the circuit, and the natural circulation does not break. In the system for emergency heat removal (patent of the Russian Federation for the invention No. 2646859, publ. 19 Feb. 2018), non-condensable gases contained in the pipelines of the raiser leg, collectors and the pipe system of the heat exchanger-cooler are compressed by steam coining from the heat exchanger-heater, and concentrated in the lower part of the circuit as a heavier substance and squeezed into a tank for collecting non-condensable gases. In the system of passive heat removal through a steam generator (patent of the Russian Federation for utility model No. 96283, publ. 20 Jul. 2010), in the event of an emergency, the heat of a nuclear reactor is removed through a steam generator through one or more heat exchangers. In this case, according to the appropriate signals, the system is automatically started by opening one of the two valves of the start-up device, after which the natural circulation is established in the SG SPHR circuit. Heat is transferred from the steam generator to the tank water when the steam stream is condensed in the sections of the heat exchanger; the condensate formed is fed back to the steam generator through the outlet pipeline; and when the heat energy comes from the SG SPHR circuit, the water in the tank is heated and boiled, and the resulting secondary steam is removed to environment. The closest analogue to the claimed method is the method that is implemented during the operation of the emergency cooling system with a combined heat exchanger (patent of the Russian Federation for utility model No. 111 336, publ. 10 Dec. 2011), wherein, in case of emergency, the steam generator is disconnected from the secondary system of the nuclear power plant by isolation valves, then by opening another isolating valve, the water leg of the system is connected to it, water is fed through the water leg of the intermediate circuit, heat is removed through the heat exchanger-condenser to the water stored in a storage tank cistern, heating and evaporating it, and after draining the storage tank cistern, heat is removed to atmospheric air. The disadvantage of the above systems and methods of cooling is the impossibility of using them to cool the nuclear reactor to a temperature below the boiling point due to the fact that all heat transfer processes in such systems are carried out due to boiling and condensation of the coolant. In addition, in such systems, the relative position of the steam generator and the heat exchanger is critical, if the heat exchanger is located below the steam generator, it becomes difficult to organize the movement of the coolant even with the pump, since the presence of steam in the coolant in this case leads to the formation of air blockages and, as a result, can lead to hydraulic impact. The object of this group of inventions is to create a method and system for bringing an NPP into a safe state after extreme effect, allowing cooling of the coolant of the NPP to a temperature below the boiling point while eliminating the possibility of hydraulic impact in the system due to the separation of steam and water. The technical result of the group of inventions is to increase the safety of operation of NPPs under extreme effects by providing the ability to reduce the temperature of the coolant below the boiling point while eliminating the possibility of hydraulic impact in the system due to the separation of steam and water. The technical result is achieved by the fact that in the known system for bringing a nuclear power plant into a safe state after extreme effect, including inlet and outlet pipelines, a steam generator, a storage tank and a heat exchanger, they further introduce a separation tank located above the steam generator and connected by two pipelines to a storage tank, a pump and a control unit, wherein the heat exchanger is installed in the outlet pipeline, the first water valve is installed in the inlet pipeline, and the separation tank is connected with a storage tank by a pipeline with a second water valve installed in it and a pipeline with a first air valve installed in it. It is preferable to use a deaerator configured to remove steam from the system as a storage tank. It is rational to equip the storage tank with a make-up pipeline connected to an external coolant source. It is recommended that the steam generator be equipped with a vertical steam discharge pipeline with a second air valve installed in it. It is preferable to equip the system with several steam generators connected to the inlet and outlet pipelines and installed parallel to each other. It is rational to make at least a part of the inlet pipeline atilt with a slope upward towards the separation tank. The technical result is also achieved by the fact that in the known method of bringing a nuclear power plant into a safe state after an extreme effect, using a system comprising a steam generator, inlet and outlet pipelines, a storage tank and a heat exchanger, they further include a pump for feeding a coolant and subsequent operation of the system, support the system pressure with monitoring to ensure that the coolant does not boil, install a separation tank above the steam generator, and before feeding the coolant to the storage tank, it is first fed to the separation tank. It is rational to use water as a coolant. It is preferable to feed the coolant to the storage tank from an external source. It is recommended to use the feedwater make-up tank of the NPP as an external source. It is rational to maintain a constant level in the storage tank and separation tank. It is preferable to open the first and second air valves when the pressure in the system increases above the level of 0.27 MPa until the pressure drops below the specified level. It is recommended that the heating of the pipelines to a temperature of 120-140 degrees is performed before feeding the coolant. It is rational to open the first and second air valves when the steam pressure drops to 98 kPa. The system for bringing a nuclear power plant into a safe state after an extreme effect consists of steam generator 1, second air valve 2 connected to it through a steam discharge pipeline, inlet pipeline 3 with first water valve 5 installed in it, connecting steam generator 1 to separation tank 4, which is connected to storage tank 8 through the two pipelines with second water valve 6 and first air valve 7 installed in them, the storage tank is connected to steam generator 1 through outlet pipeline 9, wherein pump 10, heat exchanger 11 and third water valve 12 are installed. In a preferred embodiment, the storage tank is connected by a pipeline to the feedwater make-up tank (not shown in the FIGURE). A system for bringing a nuclear power plant into a safe state after extreme effect in the preferred embodiment works as follows. After using passive heat removal systems, for example, SG SPHR, or other heat removal systems, the coolant temperature of the NPP will be reduced to 130° C., the system control unit opens the valve between storage tank 8 and the feedwater make-up pipeline, thereby letting feedwater make-up having a temperature of about 25° C. into storage tank 8 to a certain level; it opens third water valve 12 and closes first water valve 5, turns on pump 10, maintains a certain water level in steam generator 1 (about 3.7 in), performs heating of inlet pipeline 3 and outlet pipeline 8, wherein a pressure in the system is maintained at about 0.27 MPa through second air valve 2. Then, when the temperature of the wall of separation tank 4 is reached the value of 125° C., the control unit opens first water valve 5 and sets it in the mode of maintaining a constant liquid flow rate (about 7.5 kg/s per steam generator 1 when using four steam generators 1 in the system). Thereafter, the first air valve 7 is opened, which, similarly to second air valve 2, starts to work in the mode of maintaining the pressure at a level of about 0.27 MPa, and when separation tank 4 reaches a certain level, second water valve 6 starts to work in the mode of maintaining the liquid level. Maintaining the specified steam pressure in the system is required in order to avoid boiling of saturated water in the steam generator when the pressure decreases. Then, after the flooding of steam generator 1 and pipelines, third water valve 12 can be switched to the mode of maintaining increased liquid flow (up to 12.5 kg/s, up to 50 kg/s for a total of four steam generators). Then, the reactor is cooled down to a temperature of 70° C., which can take several days. Upon reaching a temperature of 70° C., a passive heat removal system ensures the removal of residual heat during all the time necessary for this, which can be up to 60 days. In this case, in the preferred embodiment, when the pressure in the system is lower than 98 kPa, first 7 and second 2 air valves are opened to their full section area and turned off from the pressure maintenance mode in the system, wherein there is no longer any danger of the coolant boiling up in steam generator 1 at that moment and there is no need in pressure regulation, and atmospheric pressure is sufficient for the most efficient heat exchange process. All of the above processes are controlled by a control unit (not shown in the FIGURE). In a preferred embodiment of the group of inventions, a deaerator is used as storage tank 8, and the piping system of the secondary system of the NPP with WWER already used in normal operation of the NPP as inlet 3 and outlet 9 pipelines, wherein the deaerator is located below the steam generator, and inlet pipeline 3 in the systems currently used in NPPs with WWER is located with a decrease from steam generator 1 towards the deaerator, which is rational for the normal operation of the secondary system of NPPs with WWER, since it allows the collection of moisture after the passage of steam through this section at its lower point and to remove it to the drainage system so as to avoid its feed to the NPP turbine. This solution allows to use the systems already existing in the secondary system of the NPP to bring the NPP to a safe state, however, in the emergency operation mode of the inventive system, not steam passes through steam pipeline 3, but the steam-water mixture and therefore the reduction of inlet pipeline 3 creates the conditions for the occurrence of steam blockages in pipeline 3, as a result, hydraulic impacts. That is why separation tank 4, located above steam generator 1, is added to the system, and at least a part of inlet pipeline 3 is placed with a slope upward towards separation tank 4. This solution avoids the accumulation of steam blockages. In addition, in order to remove excess steam from steam generator 1, a vertical steam discharge pipeline with second air valve 2, configured to relieve steam pressure when the pressure exceeds 0.27 MPa, is additionally introduced into the system in the preferable embodiment, since lower pressure can lead to boiling water and therefore poses a threat to the integrity of the piping of the system. The steam discharge pipeline can be made wide enough, up to 3 meters in diameter, in order to avoid turbulent effects during steam removal. The use of a deaerator as storage tank 8 also makes it possible to use its blowdown system to remove steam from the system. In addition, it is also rational to use other standard secondary systems of WWER NPPs. In particular, in a preferred embodiment of the claimed group of inventions, the standard feedwater make-up system of NPPs is used as an external source of feedwater make-up, the standard secondary system pump of an NPP with WWER is used as a pump, and the standard cooling system of non-critical consumers of NPPs is used as heat exchanger 11. When supplying feed water to the system, its flow rate can be chosen so that the process of filling steam generator 1 and pipelines 3 and 9 occurs with saturated water. Due to this, it is possible to avoid condensation hydraulic impact arising from the meeting of steam with a cold liquid. One of the main conditions for the occurrence of condensation hydraulic impact is the underheating of water relative to steam, the critical values of which are 15° C. and above. The most likely section wherein condensation hydraulic impact can occur during filling is the steam collecting header of steam generator 1. As calculations showed, when filling the system, it is rational to maintain water at a temperature close to the saturation temperature and reduce it only after pipelines 3 and 9 are completely filled. Calculations carried out using software have shown that when using four steam generators connected to the inventive system in parallel, using water from the feed system and the cooling system for non-critical consumers, it is possible to cool the NPP from 130° C. to 70° C. without causing hydraulic impact for 60 hours. In addition, the calculations showed that even in the event of failure of one of the steam generators, cooling the system using three steam generators according to the proposed method and system is quite safe and allows to bring the NPP to a safe state at a temperature of 70° C. The method and system for bringing a nuclear power plant into a safe state after an extreme effect can be applied in nuclear power plants with water-water energetic reactor to bring them to a safe state after an extreme effect. |
|
description | This application is a U.S. National Stage of International Application No. PCT/RU2018/000912, filed on Dec. 29, 2018, and published as WO 2020/040657 on Feb. 27, 2020, titled “Nuclear Reactor Fuel Assembly,” which claims priority to RU 2018130533 filed on Aug. 23, 2018. Each application, publication, and patent listed in this paragraph are hereby incorporated by reference in their entireties. The invention relates to nuclear engineering, more particularly, to fuel assemblies of nuclear pressurized water reactors. A nuclear reactor fuel assembly is known (a prototype, V. D. Shmelev, Yu. G. Dragunov et al., Cores of VVER for Nuclear Power Plants, Moscow, IKC Akademkniga, 2004, pp. 130-131, FIGS. 6.18 and 6.19), which comprises a bundle of fuel rods, installed in a frame formed by guide channels and spacing grids, a bottom nozzle, and a removable head. The removable head comprises the upper and lower shells, support hold-down plate, springs, collet tubes. The lower ends of the collet tubes are fixed to the guide channels, and the upper ones pass through holes in the hold-down plate and the upper shell's plate and have thrust bushings at the end. Thus, when the head is in operation, there may be a control rod in its upper shell. The drawback of the known fuel assembly is that when a control rod falls, the support plate moves along 18 collet tubes which are fixed to the guide channels on one side and in the holes of the upper shell's plate on the other one. To avoid jamming, it is necessary that the holes are accurately positioned for the passage of collet tubes in the support plate and the upper shell's plate. Also, this technical solution does not allow to reduce the height of the head in order to increase the fuel load without changing the dimensions of the fuel assembly. Another drawback of the known fuel assembly is that when the head which is fixed by collets on the guide channels is compressed, the collet tubes go up relative to the upper shell's plate. This requires choosing the height of the upper shell so that the collet does not interact with the elements of the control rod, which is a drawback, since it increases the height of the head, which negatively affects the uranium capacity of the fuel assembly. The objective of the invention is to develop a design of a fuel assembly with the increased uranium capacity while maintaining end-to-end dimensions. The object of the invention is to reduce the axial dimensions of the head of the fuel assembly, which allows increasing the height of the fuel column. The technical result of the invention is a change in the design of the removable head enabling tightening of the fuel assembly, falling control rod energy dissipation with reduced axial dimensions of the removable head. The invention's objective is achieved by the fact that the fuel assembly of a nuclear reactor comprises a bundle of fuel rods (1) installed in a frame formed by guiding channels (2) and spacing grids (3), a bottom nozzle (4), a removable head (5) comprising an upper shell (6), a support element (7), springs (8), and collet tubes 17. The innovation lies in that the upper shell (6) of the removable head (5) is made in the form of a tube (9) with a rigidly fixed plate (10) interacting with the springs (8), with the collets (17) made of coaxially located lower tubes (18) and upper tubes (19) fixed in the plate (10), the inner surface of the upper tubes (19) and the outer surface of the lower tubes (18) have base platforms (20) that interact with each other, the support element (7) is made in the form of a tube (11) coaxially located to the pipe (9) of the upper shell (6) with the necessary assembly clearance (12) and having a boss (13) in its lower part interacting with the springs (8), and a stop (14) in its upper part interacting with the tube (9) of the upper shell (6); the plate (10) of the upper shell (6), opposite each boss (13) of the support element (7), has holes (15) whose shape corresponds to the shape of the boss (13) of the support element (7), with the clearance in plan view between the hole (15) in the plate (10) of the upper shell (6) and the boss (13) being at least the mounting clearance (12) between the tube (11) of the support element (7) and the tube (9) of the upper shell (6). The fuel assembly of a nuclear reactor comprises a bundle of fuel rods (1) installed in a frame formed by guiding channels (2) and spacing grids (3), a bottom nozzle (4), a removable head (5) comprising an upper shell (6), a support element (7), springs (8), and collet tubes (17). The collet element (17) is made of coaxially located lower tube (18) and upper tube (19) rigidly fixed in the plate (16), the upper shell (6), while on the inner surface of the tube (19) and on the outer surface of the lower tube (18) there are base platforms (20) which interact with each other. The upper shell (6) of the removable head (5) is made in the form of a tube (9) with fixed plate (10) interacting with springs (8), support element (7) made in the form of a tube (11) located coaxially to the tube (9) of the upper shell (6) with a required installing clearance (12) and having bosses (13) in the lower part interacting with springs (8), and at the upper part the stop (14) interacting with the tube (9) of the upper shell (6), and in the plate (10) of the upper shell (6) opposite each of the bosses (13) of the support element (7) there are holes (15) which conform to the shape of the boss (13) of the support element (7), wherein the clearance in plan view between the hole (15) in the plate (10) of the upper shell (6) and the boss (13) is at least the installing clearance (12) between the tube (11) of the support element (7) and the tube (9) of the upper shell (6). The operation of the nuclear reactor fuel assembly is carried out as follows. Collet elements (17) are split-type, consisting of an upper (19) and a lower (18) tube with support platforms (20) on the inner surface of the tube (19) and the outer surface of the lower tube (18). Thus, the upper tube (19) of the collet element (17) is rigidly connected (for example, by welding) to the plate (10) of the upper shell (6) of the head. Springs with initial pre-tightening are installed coaxially to the collet elements (17) and create a force on the upper (19) and lower (18) tubes of the collet element (17). Due to this force when the head is not tightened the upper (19) and lower (18) tubes of the collet element (17) are pressed against each other by the base platforms (20) made in them. The relative location of these base platforms (20) and the sizes of the upper (19) and lower (18) tubes taking into account a rigid connection of the upper tube (19) with the plate (16) and the upper shell (6) of the head determines the maximum distance between the plates of the upper and lower shells and therefore, the overall vertical dimension of the unloaded head of the fuel assembly (not shown in the drawing) and a pre-tightening of the head's spring unit. Portion of springs (8) of the removable head (5) of a nuclear reactor's fuel assembly thrusts their upper ends against the plate (10) of the upper shell (6) rigidly connected to the upper shell (6). The other portion of the springs (8) thrusts its upper ends against the bosses (13) of the support element (7). The fuel assembly with the removable head (5) installed in a nuclear reactor is pre-tightened by the internals of the nuclear reactor (not shown in the figure). When tightening the head of the fuel assembly, the upper shell (6) of the head moves down together with the support element (7), the tube (9) with the plate (10) rigidly fixed in it, and the upper tubes (19) of collet elements connected to the plate (10), which move along the lower collet tubes (18), wherein a clearance is formed between the stop (20) of the upper tube (19) and the stop (20) of the lower collet tube (18). The springs rest against the plate (10) or against the bosses (13) of the support element (7) with their upper end, and against the lower projections of the lower tubes (18) of the collet elements (17) with their lower end through special bushings. Each guide channel (2) goes inside the corresponding lower tube (18) of the collet element (17). Tightening the head of the fuel assembly causes reduction of the distance between the plate (10) and the upper ends of the guide channels which support the lower collet tubes (18) and, consequently, there are additional (beyond preliminary one) compression of the springs of the spring unit and higher tightening forces of individual guide channels. When tightening the head (5) of fuel assembly, the support element (7) together with the tube (9) and the plate (10) moves down compressing all the springs (8) of the spring unit of the fuel assembly. The force generated by the springs (8) ensures that the fuel assembly does not float in all the operating modes. When the emergency protection actuates, the structural elements (16) of the control rod interact with the plate (10). The control rod falling energy is absorbed by springs interacting directly with the plate (10) of the upper shell (6). The tube (9), plate (10) and the upper tubes (19) of the collet element (17) are moved; the springs are compressed adding to the load through the lower collet tubes (18) and the guide channels (2) in the same way as when the fuel assembly is tightened. When the emergency protection actuates, the structural elements (16) of the control rod interact with the plate (10) rigidly fixed in the tube (9) of the upper shell (6) pressing it down. The control rod falling energy is absorbed by springs (8) interacting directly with the plate (10) of the upper shell (6). Wherein the springs (8) resting on the bosses (13) of the support element (7) remain stationary due to the cutouts in the plate (10) of the upper shell (6) into which the bosses (13) of the support element (7) enter. Thus, this ensures that a nuclear reactor's fuel assembly is fixed in the plate of the reactor's protective tube unit when the emergency protection is triggered. The proposed solution is most appropriate to be used for operation in water cooled water moderated power reactors. For mounting and dismounting the removable head, a device can be used in accordance with RF patent No. 2075118. The proposed improvement in the design of the removable head of the fuel Assembly makes it possible to reduce its dimensions while maintaining the fixation of the fuel Assembly in the reactor's internals at the time of damping the decreasing regulator. |
|
claims | 1. A system for drying spent nuclear fuel comprising:a canister defining a cavity configured for holding spent nuclear fuel elements;a gas circulation system comprising in fluid communication a condenser module, a desiccant module, and a gas circulator module;the gas circulation system configured to form a hermetically sealed closed-loop flow path when fluidly connected to the cavity of the canister, the gas circulator module configured to circulate a non-reactive gas in the closed-loop flow;a valve assembly configured and operable for adding and removing the desiccant module as part of the hermetically sealed closed-loop path;a dew point temperature measuring sensor located in the closed-loop flow path upstream of the condensing module and downstream of the cavity;a programmable controller operably coupled to the dew point temperature measuring sensor and valve assembly for adding and removing the desiccant module, the programmable controller configured to automatically: (1) isolate the desiccant module from hermetically sealed closed-loop path during a first drying phase; and (2) add the desiccant module as part of the hermetically sealed closed-loop path during a second drying phase; anda moisture accumulator which receives moisture from the condenser module, and wherein the programmable controller adds the desiccant module as part of the hermetically sealed closed-loop path during the second drying phase when no more liquid accumulates in the moisture accumulator. 2. The system of claim 1 wherein the desiccant module is added as part of the hermetically sealed closed-loop by the programmable controller upon the programmable controller determining that the condensing module is no longer removing substantial amounts of water during operation, thereby ending the first drying phase. 3. The system of claim 1 wherein the programmable controller is further configured to:hold the cavity of the canister at sub-atmospheric pressure for a predetermined period of time while the gas circulator module applies vacuum pressure to the cavity to remove residual moisture; anddiscontinue application of vacuum pressure to the cavity after the predetermined period of time has lapsed. 4. A system for drying spent nuclear fuel comprising:a canister defining a cavity configured for holding spent nuclear fuel elements;a gas circulation system comprising in fluid communication a condenser module, a desiccant module, and a gas circulator module;the gas circulation system configured to form a hermetically sealed closed-loop flow path when fluidly connected to the cavity of the canister, the gas circulator module configured to circulate a non-reactive gas in the closed-loop flow;a valve assembly configured and operable for adding and removing the desiccant module as part of the hermetically sealed closed-loop path;a dew point temperature measuring sensor located in the closed-loop flow path upstream of the condensing module and downstream of the cavity;a programmable controller operably coupled to the dew point temperature measuring sensor and valve assembly for adding and removing the desiccant module, the programmable controller configured to automatically: (1) isolate the desiccant module from hermetically sealed closed-loop path during a first drying phase; and (2) add the desiccant module as part of the hermetically sealed closed-loop path during a second drying phase;wherein the desiccant module is added as part of the hermetically sealed closed-loop by the programmable controller upon the programmable controller determining that the condensing module is no longer removing substantial amounts of water during operation, thereby ending the first drying phase;wherein the dew point temperature measuring sensor is configured to create signals indicative of the measured dew point temperature of the non-reactive gas and transmit the signals to the programmable controller; andwherein the programmable controller is configured to analyze the signals and add the desiccant module to the close-loop flow path via operating the valving assembly when the dew point temperature measurement sensor produces a steady state reading. 5. A system for drying spent nuclear fuel comprising:a canister defining a cavity configured for holding spent nuclear fuel elements;a gas circulation system comprising in fluid communication a condenser module, a desiccant module, and a gas circulator module;the gas circulation system configured to form a hermetically sealed closed-loop flow path when fluidly connected to the cavity of the canister, the gas circulator module configured to circulate a non-reactive gas in the closed-loop flow;a valve assembly configured and operable for adding and removing the desiccant module as part of the hermetically sealed closed-loop path;a dew point temperature measuring sensor located in the closed-loop flow path upstream of the condensing module and downstream of the cavity;a programmable controller operably coupled to the dew point temperature measuring sensor and valve assembly for adding and removing the desiccant module, the programmable controller configured to automatically: (1) isolate the desiccant module from hermetically sealed closed-loop path during a first drying phase; and (2) add the desiccant module as part of the hermetically sealed closed-loop path during a second drying phase;wherein the desiccant module is added as part of the hermetically sealed closed-loop by the programmable controller upon the programmable controller determining that the condensing module is no longer removing substantial amounts of water during operation, thereby ending the first drying phase;wherein the dew point temperature measuring sensor is configured to create signals indicative of the measured dew point temperature of the non-reactive gas and transmit the signals to the programmable controller;wherein the programmable controller is configured to analyze the signals and add the desiccant module to the close-loop flow path via operating the valving assembly when the dew point temperature measurement sensor produces a steady state reading; andwherein the valve assembly comprises two three-way valves operably coupled to the programmable controller, the valves changeable in position to add or remove the desiccant module as part of the hermetically sealed closed-loop path. |
|
description | The following is a description of embodiments of the present invention, with reference to the accompanying drawings. In the drawings, like components are indicated by like reference numerals. In this embodiment, the intensity of electron irradiation per unit area on a sample to be measured is not increased with a higher magnification and a narrower scanning range in a scanning electron microscope. More specifically, the scanning technique, the electron capturing range, and the capturing timing are controlled in the manner described below. As the magnification of a scanning electron microscope becomes higher, the electron scanning rate for scanning the surface of the sample to be measured becomes lower. In other words, the scanning rate becomes lower with a higher magnification on a sample, even when the actual electron scanning rate is kept constant. To solve this problem, the scanning rate can be increased with an increase in magnification. However, being normally set at the highest possible scanning rate, the electron scanning rate cannot be changed. In view of this, this embodiment provides a method of limiting the capturing timing and the capturing range of the scintillator without changing the scanning range of one direction at a high magnification. In the following, the present invention is applied to a critical dimension scanning electron microscope (CD-SEM). However, it should be understood that the present invention may be applied to other devices involving converging charged particle beams. First Embodiment FIG. 3 shows the structure of a CD-SEM in accordance with a first embodiment of the present invention. As shown in FIG. 3, this CD-SEM comprises an electron gun 7, a column 9, a sample chamber 11 in which a sample 10 is placed, ion pumps 15, 23, and 25, a magnification variable resistance 27, a scanning power source 29, secondary electron detectors 31 and 35, scan generators 32 and 36, amplifiers 33 and 37, an image memory 39, an image memory editing unit 40, and a CRT 41. The electron gun 7 includes an anode 13. The column 9 contains capacitor lenses 17, deflecting coils 19, and object lenses 21. The sample chamber 11 is exhausted by an exhaust pump (a dry pump). FIG. 4 is an enlarged view of a main unit 42 shown in FIG. 3. Here, the number of deflecting coils 19 contained in the column 9 varies with the device, and the deflecting coils 19 may be replaced by electrodes. As shown in FIGS. 3 and 4, the secondary electron detector 31 is connected to the amplifier 33 and the scan generator 32. Meanwhile, the secondary electron detector 35 is connected to the amplifier 37 and the scan generator 36. The amplifiers 33 and 37 are connected to the image memory 39, which is connected to the image memory editing unit 40. The CRT 41 is connected to the image memory editing unit 40. The scanning power source 29 is connected to the deflecting coils 19 via the magnification variable resistance 27. In the CD-SEM having the above structure, primary electrons 20 emitted from the electron gun 7 are deflected at a desired magnification by the deflecting coils 19. The deflected primary electrons 20 then collide with the sample 10 to release secondary electrons 22. The secondary electrons 22 go up in the impinging direction of the primary electrons 20, i.e., move upward from the sample 10 to be detected by the secondary electron detectors 31 and 35. A scintillator 44 contained in the secondary electron detectors 31 and 35 generates a signal that is proportional to the number of detected electrons. The generated signal is then amplified by the amplifiers 33 and 37 to generate an image signal. The image signal is stored in the image memory 39, and edited by the image memory editing unit 40. The resultant image is displayed on the CRT 41. The timing of the scintillator 21 capturing the secondary electrons 22 generated from the sample 10 is controlled by the scan generators 32 and 36, so that the secondary electrons 22 are captured at a predetermined timing. The magnitude of the current flowing through scanning coils that constitute the deflecting coils 19 is adjusted so as to make the magnification variable. In other words, the deflecting direction of the primary electrons 20 can be changed by varying the magnitude of the current flowing through the scanning coils. Generally, a deflector for deflecting the primary electrons 20 can be an electromagnetic deflector or an electrostatic deflector. In this embodiment, the electromagnetic deflector is employed. In the electrostatic deflector, the balance of a voltage applied to the electrodes is varied so as to obtain a magnification deflection and a longitudinal and transverse deflection having different magnifications between the vertical direction and the horizontal direction. In the following, a case in which a threshold magnification for changing scanning ranges is 50K will be described. FIG. 5 is a flowchart showing a magnification changing method and a pattern-size measuring method performed by the CD-SEM of the first embodiment of the present invention. FIGS. 6A to 6C illustrate the methods shown in FIG. 5. As shown in FIG. 5, a magnification of 50K, for instance, is selected in step S1. Since the selected magnification of 50K is smaller than the threshold magnification, a region 1 shown in FIG. 6A is selected as a scanning range of an electron beam in step S2. This region 1 contains a pitch line pattern 2 formed on a device chip (the sample 10). In step S3, the scanning range is irradiated with an electron beam, and secondary electrons released from the sample are captured by the scintillator. In step S4, based on a signal generated by brightness conversion performed by the scintillator, an image that reproduces the region 1 obtained by the CD-SEM at the 50K magnification is outputted to the CRT 41. In step S5, the line width (a measured value) of the pitch line pattern 2 measured by the CD-SEM at the 50K magnification is outputted to the CRT 41. If desired information is not obtained from the image outputted to the CRT 41 in step S4, the magnification is increased. In step S6, a magnification of 100K, for instance, is selected. Since the selected magnification of 100K is higher than the threshold magnification of 50K, a region 45 that has the same length as the region 1 in the horizontal direction but has a shorter length than the region 1 in the vertical direction is selected as the scanning range, as shown in FIGS. 6A and 6B. In order to change the scanning direction only in one direction (the vertical direction) as described above, the ratio of currents flowing through the deflecting coils 19 in both directions should be varied, and the magnetic field of the electromagnetic lens should be balanced. The fixed axis in the electron beam scanning may extend either in the vertical direction or in the horizontal direction. In step S8, the scanning range constituted by the region 45 is irradiated with an electron beam. As a result, the timing of the scintillator capturing the secondary electrons generated from the sample is changed as follows. More specifically, with the variable scanning range being FOV1 and the fixed scanning range being FOV2, and the initial value of the capturing timing of the scintillator being t1, the capturing intervals T of the scintillator can be expressed by the following equation (1). T=(FOV1/FOV2)xc3x97(t1)xe2x80x83xe2x80x83(1) Here, FOV1 is set to be 1.5 xcexcm in accordance with the vertical length of the region 45 enlarged at a magnification of 100K, while FOV2 is set to be 3.0 xcexcm in accordance with the vertical length of the region 1 enlarged at the magnification of 50K. As t1 is (sec), the capturing timing T of the scintillator becomes (xc2xd)xc3x97{fraction (1/8388608)} (sec). Since it is apparent from the equation (1) that FOV1 becomes smaller with a higher magnification, the capturing timing T becomes small, and the electron detecting frequency becomes high. As the scan generators 32 and 36 determine the capturing timing, i.e., the secondary electron detecting timing, it is possible to change the capturing timing by setting the above-mentioned time for the scan generators 32 and 36. The secondary electrons 22 captured into the scintillator 44 are then subjected to brightness conversion to generate signals depending on the brightness level. As the capturing intervals become shorter, the number of obtained signals becomes larger. Accordingly, when the information based on the signals is outputted, the resultant image is too long in the horizontal direction, as shown in FIG. 6B. Therefore, in step S9, unnecessary information is cut off by the image memory editing unit 40, and the remaining data is extracted. In the above example, the resultant image has a length twice as great as the scanning range 45 in the horizontal direction. Accordingly, half of the information is discarded. As shown in FIG. 6B, the information obtained between the scan start point and the one-fourth point in the horizontal direction, and between the three-fourths point and the scan end point in the horizontal direction, is cut off. The remaining data obtained in an image information region 47 that is located between the one-fourth point and the three-fourths point is extracted. In this embodiment, when the magnification is 100K, secondary electrons are detected at a frequency twice as high as in the case of the magnification of 50K, and half of the data is extracted as image data. In step S10, an image that reproduces the region 47 obtained by the CD-SEM at the 100K magnification is outputted onto the CRT 41. In step S11, line widths (measured values) of the line pitch pattern 2 measured by the CD-SEM at the 100K magnification are outputted to the CRT 41. If desired information cannot be found in the image outputted onto the CRT 41 in step S10, the magnification is increased. For instance, a magnification of 150K is selected in step S12. In step S13, a region 49 that has the same length in the horizontal direction as in the case of the 50K magnification but is reduced in the vertical direction is selected as the electron beam scanning range, as shown in FIGS. 6A and 6C. To change the scanning range only in one direction (in the vertical direction in this case), the ratio of currents flowing through the deflecting coils 19 corresponding to the respective directions should be varied, and the magnetic field of the electromagnetic lens should be balanced. The fixed axis in the electron beam scanning extends either in the vertical direction or in the horizontal direction. In step S14, the timing of the scintillator capturing the secondary electrons generated from the sample due to the irradiation with an electron beam on the scanning range formed by the region 49 is changed in the same manner as described above. More specifically, in the equation (1), FOV1 is 1.0 xcexcm in accordance with the magnification of 150K, FOV2 is 3.0 xcexcm in accordance with the magnification of 50K, and t1 is {fraction (1/8388608)} (sec). Accordingly, the capturing timing T of the scintillator should be (⅓)xc3x97{fraction (1/8388608)} (sec). The capturing timing can be changed by setting the capturing intervals of the scan generators 32 and 36 at the above timing. As the capturing intervals become shorter, the quantity of obtained information becomes larger. When the obtained information is outputted, the resultant image is too long in the horizontal direction, as shown in FIG. 6C. In step S15, unnecessary information is cut off by the image memory editing unit 40, and the remaining data is extracted. In this embodiment, the scanning range in the horizontal direction is three times as great as the scanning range in the vertical direction. As a result, two thirds of the information will be discarded. More specifically, the information obtained between the scan start point and the one-third point, and between the two-thirds point and the scan end point, is cut off, and the remaining data obtained in the image information region 51, which is located between the one-third point and the two-thirds point, is extracted, as shown in FIG. 6C. Based on the extracted signals, an image that reproduces the image information region 51 obtained by the CD-SEM at the 150K magnification is outputted onto the CRT 41 in step S16. In step S17, the lines widths (the measured values) of the line pitch pattern 2 measured by the CD-SEM at the 150K magnification are outputted to the CRT 41. The operation then comes to an end. By the above method, with the intensity of electron irradiation per unit area in the region 1 in the case of 50K magnification shown in FIG. 6A being normalized to 1.0, the intensity of electron irradiation per unit area in the region 45 in the case of the 100K magnification shown in FIG. 6B is also 1.0, so is the intensity of electron irradiation per unit area in the region 49 in the case of the 150K magnification shown in FIG. 6C. As described above, with the CD-SEM of the first embodiment of the present invention, the intensity of electron irradiation per unit area does not increase at a high magnification. Accordingly, the sample to be measured can be prevented from being adversely affected by charging and contamination, and the sample can be protected from damage. Thus, a high-precision SEM image and line-width measured values can be constantly obtained. Also, with the CD-SEM of this embodiment, the resolution per pixel is constant regardless of the magnification. Thus, measured values can be obtained at high accuracy, regardless of the magnification. Since the technique of changing the timing of the scintillator 44 capturing the secondary electrons 22 without changing the scanning rate by the primary electrons 20 is employed in this embodiment, the scanning rate is substantially increased. Accordingly, adverse influence on image formation due to electron fluctuations can be avoided. Thus, the resultant image quality can be improved, and the reproduction accuracy can be increased. Second Embodiment FIG. 7 shows the entire structure of a CD-SEM in accordance with a second embodiment of the present invention. As shown in FIG. 7, the CD-SEM of this embodiment has substantially the same structure as the CD-SEM of the first embodiment, except that the CD-SEM of this embodiment further comprises a control unit 28 and a storage unit 30 connected to the control unit 28. The control unit 28 is connected to the magnification variable resistance 27, the scan generators 32 and 36, and the image memory editing unit 40. FIG. 8 is a flowchart showing an operation of the CD-SEM of the second embodiment of the present invention. As shown in FIG. 8, the CD-SEM of this embodiment operates in the same manner as the CD-SEM of the first embodiment, except that the threshold magnification, which is a threshold value for changing scanning ranges, is stored in the storage unit 30 in advance, and that the CD-SEM is controlled only when the control unit determines that a supplied measuring magnification (a setting magnification) exceeds the threshold magnification. Referring to both FIGS. 7 and 8, the operation of the CD-SEM of this embodiment will be described. First, a threshold magnification of 50K, for instance, is inputted into the CD-SEM in step S1. In step S2, the threshold magnification is stored in the storage unit 30. In step S3, an irradiation spot of the primary electrons 20 is moved to a pattern-size measuring point. In step S4, the control unit 28 determines whether or not a set measuring magnification is higher than the threshold magnification. If the measuring magnification is higher than the threshold magnification, the operation moves on to step S5. In step S5, a magnification of 100K is selected by the control unit 28. In step S6, a region that has the same length as the region at the 50K magnification in the horizontal direction, but has a shorter length than the region at the 50K magnification in the vertical direction is selected as the electron beam scanning range. In order to change the scanning range only in one direction (only in the vertical direction in this case), the ratio of currents flowing through the deflecting coils 19 in both directions should be varied, and the magnetic field of the electromagnetic lens should be balanced. The fixed axis in electron beam scanning may extend either in the vertical direction or in the horizontal direction. In step S7, the timing of the scintillator capturing the secondary electrons released from the sample by the electron beam irradiation is changed. More specifically, the capturing intervals T of the scintillator are determined by the equation (1). The change of the capturing timing can be carried out by setting the capturing intervals of the scan generators 32 and 36 at the above intervals T by the control unit 28. The secondary electrons 22 captured by the scintillator 44 are then subjected to brightness conversion, thereby generating a signal in accordance with the level of brightness. As the capturing intervals become shorter, the number of obtained signals becomes larger. Accordingly, when the information of the signals is outputted, a resultant image will be long in the horizontal direction. Therefore, excess information is cut off by the image memory editing unit 40 under the control of the control unit 28, thereby extracting data. In step S9, an image that is equivalent to the image conventionally obtained by the CD-SEM at the magnification of 100K is outputted to the CRT 41. In step S10, the line width (a pattern-size measured value) of the pitch line pattern 2 measured by the CD-SEM at the 100K magnification is outputted to the CRT 41. Meanwhile, if the control unit 28 determines that the measuring magnification is not higher than the threshold magnification, the operation moves on to step S20. In step S20, the magnification of 50K is selected by the control unit 28. In step S21, a region that is specified as an electron beam scanning range by the initial setting is selected. In step S22, the scanning range is irradiated with an electron beam, and secondary electrons released from the sample are captured by the scintillator 44. In step S23, based on a signal generated by brightness conversion performed by the scintillator 44, an image at the 50K magnification obtained by the CD-SEM is outputted to the CRT 41. In step S24, a pattern-size measured value measured by the CD-SEM at the 50K magnification is outputted to the CRT 41. In step S11, the control unit 28 determines whether or not other measuring points exist. If they do, the operation returns to step S3, and if there is no other measuring point, the operation comes to an end. As described so far, with the CD-SEM of the second embodiment, the threshold magnification for changing the scanning range is stored in the storage unit 30 in advance. Accordingly, the changing of the scanning range in the CD-SEM of the present invention can be carried out at a higher speed. Third Embodiment FIG. 9 shows the entire structure of a CD-SEM of a third embodiment of the present invention. As shown in FIG. 9, the CD-SEM of this embodiment has substantially the same structure as the CD-SEM of the second embodiment, except that a control unit 58 of this embodiment is further connected to the image memory 39. FIG. 10 is a flowchart showing an operation of the CD-SEM of the third embodiment of the present invention. As shown in FIG. 10, the CD-SEM of the third embodiment operates in the same manner as the CD-SEM of the second embodiment, except for the process of removing unnecessary information from the signal generated by the brightness conversion performed by the scintillator 44. In the CD-SEM of the third embodiment, the image memory 39 is switched on and off by the control unit 58, so that the information supplied from the amplifiers 33 and 37 are selectively trimmed in advance. In the following, the operation of the CD-SEM of the third embodiment will be described, with reference to FIG. 10. As shown in FIG. 10, a magnification of 50K, for instance, is selected as a measuring magnification in step S1. In step S2, a region that is specified by an initial setting is selected as an electron beam scanning range by the control unit 58. In step S3, the scanning range is irradiated with an electron beam, and the secondary electrons released from the sample to be measured are captured by the scintillator 44. In step S4, based on a signal generated by brightness conversion performed by the scintillator 44, an image obtained at the 50K magnification is outputted to the CRT 41. In step S5, a pattern-size measured value obtained at the 50K magnification is outputted to the CRT 41. If desired information cannot be obtained from the image outputted to the CRT 41 in step S4, the magnification is further increased. In step S6, a magnification of 150K, for instance, is selected by the control unit 58. As the selected magnification of 150K is higher than the threshold magnification of 50K, a region that has the same length as the region at the 50K magnification in the horizontal direction, but has a smaller length than the region at the 50K magnification in the vertical direction is selected as the electron beam scanning range by the control unit 58. In order to change scanning ranges only in one direction (only in the vertical direction in this case), the ratio of currents flowing through the deflecting coils 19 in both directions should be varied, and the magnetic field of the electromagnetic lens should be balanced. The fixed axis in electron beam scanning may extend either in the vertical direction or in the horizontal direction. In step S8, the timing of the scintillator capturing the secondary electrons released from the sample by irradiating the scanning range with an electron beam is changed by the control unit 58 in accordance with the equation (1). The changing of the timing is carried out by setting the capturing intervals of the scan generators 32 and 36 at the above intervals. The secondary electrons 22 captured by the scintillator 44 are then subjected to brightness conversion so as to generate signals depending on the brightness level. As the capturing intervals become shorter, the number of obtained signals become larger. Accordingly, when the information in accordance with the signals is outputted, a resultant image is too long in the horizontal direction. In step S9, a switching time B is calculated by the control unit 58. The switching time B is obtained by the following equation (2), using a time S required for scanning being {fraction (1/16384)} (sec). B=S/(FOV2/FOV1)xe2x80x83xe2x80x83(2) In the above equation (2), FOV2 is 3.0 xcexcm, and FOV1 is 1.0 xcexcm, for instance. In step S10, the image memory 39 is kept off between the scanning start and the switching time B, and the information obtained during this period is removed. The information obtained from the scanning start and the switching time B corresponds to a cut-off region shown in FIG. 6C. In step S11, the image memory 39 is kept on during the period between the switching time B and a time 2B, and information obtained during this period is stored as effective information in the image memory 39. This effective information corresponds to an image information region 51 shown in FIG. 6C. In step S12, the image memory 39 is kept off by the control unit 58 during the period between the time 2B and the scanning end. Information obtained during this period is removed. The information obtained during the period between the time 2B and the scanning end corresponds to a cut-off region shown in FIG. 6C. In step S13, an image obtained at the magnification of 150K is formed based on the effective information, and outputted to the CRT 41. In step S14, a pattern-size measured value obtained at the magnification of 150K is outputted to the CRT 41. As described so far, with the CD-SEM in accordance with this embodiment, unnecessary information that is caused by a change of the capturing timing of the scintillator 44 is removed in the process of storing effective information in the image memory 39. Thus, image formation can be carried out at a higher speed. Fourth Embodiment FIG. 11 shows the entire structure of a CD-SEM in accordance with a fourth embodiment of the present invention. As shown in FIG. 11, the CD-SEM of this embodiment has substantially the same structure of the CD-SEM of the third embodiment, except for the structure of a control unit 60 and data stored in a storage unit 61. FIG. 12 is a flowchart showing an operation of the CD-SEM in accordance with the fourth embodiment of the present invention. FIG. 13 is a graph illustrating the operation of the CD-SEM in accordance with the fourth embodiment. The CD-SEM of this embodiment operates in the same manner as the CD-SEM of the third embodiment, except that the CD-SEM of this embodiment is capable of calculating the threshold magnification by itself. In the following, the operation of the CD-SEM of this embodiment will be described in greater detail, with reference to FIG. 12. As shown in FIG. 12, in step S1, a beam spot of the primary electrons 20 is moved to a line pattern (a test pattern) formed on the surface of a sample for determining a threshold magnification. In step S2, a magnification range for measuring the line widths of the line pattern is determined to be between 50K and 200K, for instance. In step S3, the highest possible magnification for measuring line widths is set as the initial magnification by the control unit 60. In step S4, the line widths are measured at magnifications in the magnification range, and measured values are supplied from the image memory 39 and stored into the storage unit 61 via the control unit 60. In step S5, it is determined whether or not a measurement has been carried out at all the possible magnifications in the magnification range. If it is determined not, the operation returns to step S3, where the initial magnification is reset to a magnification one step lower than the previously set initial magnification. The magnifications are sequentially set so as to decrease monotonically. In step S4, the same line widths as measured once before are again measured at magnifications starting from the newly set initial magnification. The line pattern may be a pitch pattern formed in a scribe region or dummy region of a device chip. More specifically, the line pattern may be a pitch pattern made up of five or more lines. This pitch pattern is effective in equalizing the measurement conditions. However, the line pattern may also be a space pattern or a contact hole pattern. When the measurement is completed at the lowest possible magnification for measuring line widths, the operation advances to step S6. The relationship between the magnifications and the measured values can be represented by a measurement value curve 52 shown in FIG. 13, for instance. From the measured value curve 52, an error distribution of the measure values can be calculated. As shown in FIG. 13, when the error of the measured values exceeds the reproduction precision guaranteed range of the CD-SEM, 3"sgr"=2 nm, for instance, the control unit 60 determines that there is a magnification that should be a threshold value. In step S7, the control unit 60 determines that a magnification Mc is the threshold magnification, and the magnification Mc is stored as the threshold magnification in the storage unit 61. Here, the magnification Mc is one step lower than a magnification Me that is beyond the reproduction precision guaranteed range. On the other hand, if the control unit 60 determines in step S6 that the error of the measured values does not exceed the reproduction precision guaranteed range, 3"sgr"=2 nm, for instance, and that there is no magnification to be a threshold value, the operation moves on to step S30. In step S30, the control unit 60 sets a normal mode in which the magnification is 50K and the electron capturing timing by the scintillator 44 is the initial value, and measurement is carried out in the normal mode. In step S8, the beam spot formed by the primary electrons 20 is moved to a measuring point to be measured. In step S9, the control unit 60 determines whether or not a measuring magnification is higher than the threshold magnification Mc stored in the storage unit 61, and if the measuring magnification is higher than the threshold value Mc, the operation moves on to step S10. In step S10, the measuring magnification is selected. In step S11, a region that is reduced only in the vertical direction is selected as an electron beam scanning range. To change the scanning range only in one direction (the vertical direction in this case), the ratio of currents to flow through the deflecting coils 19 corresponding to the respective directions is varied, and the magnetic field of the electromagnetic lens is balanced. The fixed axis in the electron beam scanning extends either in the vertical direction or in the horizontal direction. In step S12, the timing of the scintillator 44 capturing secondary electrons released from the sample due to the irradiation is changed. In other words, the capturing intervals T of the scintillator can be determined by the equation (1). The change of the timing is carried out by the control unit 60, which sets the capturing intervals of the scan generators 32 and 36 at the above timing. The secondary electrons 22 captured by the scintillator 44 are then subjected to brightness conversion to generate signals depending on the brightness level. As the capturing intervals become shorter, the number of signals obtained becomes larger. Accordingly, when the information obtained by the signals is outputted, the resultant image is too long in the horizontal direction. Therefore, in step S13, unnecessary information is cut off by the image memory editing unit 40 controlled by the control unit 60, and the remaining data is extracted. In step S14, an image obtained at the set measuring magnification is outputted onto the CRT 41. In step S15, the line widths (the measured values) measured at the measuring magnification are outputted to the CRT 41. Meanwhile, if the control unit 60 determines that the set measuring magnification is lower than the threshold magnification, the operation moves on to step S20. In step S20, a measuring magnification lower than the threshold magnification Mc is selected. In step S21, the control unit 60 selects the region initially determined to be the electron beam scanning range. In step S22, the scanning range is irradiated with an electron beam, and the secondary electrons released from the sample are captured by the scintillator 44. In step S23, based on the signals generated by the brightness conversion, an image at the measuring magnification obtained by the CD-SEM is outputted onto the CRT 41. In step S24, the measured values obtained as a result of the measurement by the CD-SEM at the measuring magnification are outputted. In step S16, the control unit 60 determines whether or not there is any other measuring point. If there is, the operation returns to step S8. If there is no other measuring points, the operation comes to an end. As described so far, with the CD-SEM of the fourth embodiment, the optimum measurement control can be readily achieved, because the threshold magnification Mc used for changing the scanning range or electron capturing timing can be automatically calculated based on the measurement results of a line pattern formed on the surface of a sample for determining the threshold magnification Mc. Fifth Embodiment A CD-SEM of a fifth embodiment of the present invention is substantially the same as the CD-SEM of the fourth embodiment, except that the threshold magnification Mc is self-calculated. More specifically, in the CD-SEM of the foregoing fourth embodiment of the present invention, the threshold magnification is determined by the control unit 60 based on measurement results at various magnifications of 50K to 200K. In the CD-SEM of this embodiment, however, a measured value at a first magnification is compared with a measured value at a second magnification that is smaller than the first magnification. If the difference between the two measured values exceeds a measurement reproduction accuracy of the CD-SEM (3"sgr"=2 nm, for instance), the control unit selects the second magnification as the threshold magnification Mc and stores the selected threshold magnification in the storage unit. As shown in FIG. 14, the control unit selects a region 53 as the electron beam scanning range at a set magnification of 200K, a region 54 at a magnification of 150K, a region 55 at a magnification of 100K, and a region 56 at a magnification of 75K. In this manner, the electron beam scanning range is varied depending on the magnification, thereby reducing damage on the sample. Thus, the measuring accuracy can be increased. Sixth Embodiment A CD-SEM of a sixth embodiment is substantially the same as the CD-SEM of the fourth embodiment in terms of the structure as well as the operation. However, the CD-SEM of the sixth embodiment differs from the CD-SEM of the fourth embodiment in that it is capable of calculating the threshold magnification Mc. More specifically, in the CD-SEM of the fourth embodiment, magnifications are sequentially set in a range for measuring the line widths of a line pattern, for instance, a range of 50K to 200K, so that they monotonically decrease. In the CD-SEM of this embodiment, on the other hand, magnifications are sequentially set so that they monotonically increase from the lowest possible magnification for measuring the line widths of a line pattern to the highest possible magnification for measuring the line widths. By the different set magnifications and measured value obtained with the set magnifications, the measured value curve shown in FIG. 13 is obtained. With the CD-SEM of the sixth embodiment, the same effects as with the CD-SEM of the fourth embodiment can be achieved. The present invention is not limited to the specifically disclosed embodiments, but variations and modifications may be made without departing from the scope of the present invention. The present application is based on Japanese priority application No. 2000-085325, filed on Mar. 24, 2000, the entire contents of which are hereby incorporated by reference. |
|
049960202 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the preferred embodiments of the present invention will be described with reference to the drawings. FIG. 1 illustrates one embodiment of the present invention which has been applied to a piping of a fast reactor. Hereinafter, the same portion of FIGS. 2, 5 and 6 as that of FIGS. 1 and 3 carries the same reference number. A tubular thin strip 16 made of a hydrogen-absorbing metal surrounds a piping 11 and a preheater 12 mounted in parallel to the outer surface of the piping 11. The thin strip 16 was 0.1 mm to several mm in thickness. However, the thickness of the thin strip 16 is not, of course, restricted to this value. The hydrogen-absorbing metal for the thin strip 16 is, e.g., Mg.sub.2 Ni and a Ti-Mn based alloy such as TiMn.sub.1.5. The outer cylindrical surface of the thin strip 16 has a heat reserving material or heat insulator 14 disposed thereon. Along the outer circumference of the heat reserving material 14 is disposed a thin steel strip 15 to confine the material 14. A sheet of a hydrogen-absorbing metal e.g. Ti-Mn based alloy may be used instead of the thin steel strip 15. Thus, there are three cases, that is, a first case in which along the inner periphery of the heat reserving material 14 is disposed the hydrogen-absorbing metal, a second case in which along the outer periphery of the heat reserving material 14 is disposed the hydrogen-absorbing metal, and a third case in which along both the outer and inner peripheries of the heat reserving material 14 are disposed the hydrogen-absorbing metals. FIG. 2 illustrates a cross-section of a heat reserving arrangement showing another embodiment of the invention. A heat reserving material 14 includes a hydrogen-absorbing metal 17 scattered therein at a rate of 0.1 to 0.3 g/cm.sup.2 in the form of fiber (alternatively, chip or powder) so that the heat reserving material 14 and the hydrogen-absorbing metal 17 are uniformly mixed with each other. The heat reserving material 14 is normally divided into blocks for easy handling. FIG. 5 illustrates the wall arrangement of a piping showing still another embodiment of the present invention. The wall arrangement of the piping 11 comprises a wall 18 and a layer made of a hydrogen-absorbing metal 19 e.g. Ti-Mn based alloy which layer is jointed by spot-welding or by use of fittings such as bands, bolts and nuts, or is sprayed or coated integrally to the outer surface of the wall 18. FIG. 6 illustrates a piping showing another embodiment of the invention. A piping has an arrangement in which the inner cylindrical wall 20 or outer cylindrical wall 21 for conbining the heat reserving material 14 has a layer made of the hydrogen-absorbing metal 19 e.g. Ti-Mn based alloy which layer is jointed or sprayed or coated integrally to the inner or outer cylindrical wall 20 or 21. While Ti-Mn alloy composition was used as the hydrogen-absorbing metal, the following alloy compositions may be alternatively employed: Mg-Ni, Mg.sub.2 Ni, Mg.sub.2 Ni.sub.0.9 Cr.sub.0.1, LaNi.sub.5, MmNi.sub.5, MmCo.sub.5, MmNi.sub.4.5 Mn.sub.0.5, MmNi.sub.4.5 Al.sub.0.5, MmNi.sub.4.5 Cr.sub.0.5, TiFe, TiCr, TiCr.sub.2, TiFe.sub.0.9 Nb.sub.0.1, Ti-Zr-Mn-Mo, Ti-Mn-Fe-V, Ti-Zr-Mn-Fe and CaNi.sub.5. The character "Mm" represents a miSch metal consisting of a mixture of La, Ce, Pr, Nd, Sm and the like. In accordance with the above embodiments of the invention, tritium which occurs in the reactor core and may escape through the wall of the piping of the reactor to an outside atmosphere can be captured near the surface of the piping, so that a contamination of the outside atmosphere i.e. radiation exposure can be reduced and a gas cleaner in a containment vessel containing the reactor vessel can be simplified. |
059563800 | claims | 1. A method for determining the neutron flux density of a neutron-emitting source, which comprises: a) forming a wide-range signal W depending uniquely on a neutron flux density from a first measurement signal S.sub.1 and at least one second measurement signal S.sub.2 differing from one another and each dependent on the neutron flux density; b) selecting the first measurement signal S.sub.1 as a monotonal function of the neutron flux density for values of the neutron flux density less than a first limit flux density; c) equating the wide-range signal W to the first measurement signal S.sub.1 in accordance with the relationship W=f.sub.1 (S.sub.1)=S.sub.1 for values of the first measurement signal S.sub.1 corresponding to a neutron flux density less than a lower limit value which is less than the first limit flux density and to which a first signal value N.sub.1 of the first measurement signal S.sub.1 is allocated; d) forming the wide-range signal W as a function f.sub.2 of the measurement signals S.sub.1, S.sub.2 in accordance with the relationship W=f.sub.2 (S.sub.1, S.sub.2) for values of the first measurement signal S.sub.1 corresponding to a neutron flux density greater than the lower limit value; e) making the wide-range signal W continuous at the lower limit value in accordance with the relationship f.sub.1 (N.sub.1)=f.sub.2 (N.sub.1, S.sub.2); and f) using the wide-range signal W as a basis for determining the neutron flux density. a) selecting the second measurement signal S.sub.2 as a monotonal function of the neutron flux density, and selecting a second limit flux density to be less than the first limit flux density for values of the neutron flux density greater than the second limit flux density; and b) defining an overlapping region with the lower limit value and an upper limit value, the upper limit value greater than the second limit flux density and less than the first limit flux density, and uniquely allocating a second signal value N.sub.2 of the second measurement signal S.sub.2 to the upper limit value. a measurement device for producing and transmitting a first measurement signal S.sub.1 and a second measurement signal S.sub.2 differing from one another and each dependent on a neutron flux density, the first measurement signal S.sub.1 being a monotonal function of the neutron flux density for values of the neutron flux density less than a first limit flux density; and an evaluation device to be connected to said measurement device for forming a wide-range signal W depending uniquely on the neutron flux density and used to determine the neutron flux density, wherein: 2. The method according to claim 1, which comprises: 3. The method according to claim 2, which comprises forming the function f.sub.2 as follows: EQU f.sub.2 =.alpha.(S.sub.2)*S.sub.1 +.beta.(S.sub.1)*S.sub.2 4. The method according to claim 2, which comprises forming the function f.sub.2 in the overlapping region as follows: EQU f.sub.2 =(.alpha.*S.sub.1 +.beta.*S.sub.2)/(.alpha.+.beta.) 5. The method according to claim 2, which comprises equating the wide-range signal W to the second measurement signal S.sub.2 for values of the second measurement signal S.sub.2 to which a neutron flux density greater than the upper limit value is allocated. 6. The method according to claim 2, which comprises determining a further overlapping region immediately adjacent the first-mentioned overlapping region in an analogous manner to the formation of the first-mentioned overlapping region, by using the second measurement signal S.sub.2 and a third measurement signal dependent on the neutron flux density and differing from the first measurement signal S.sub.1 and the second measurement signal S.sub.2. 7. The method according to claim 1, which comprises determining the wide-range signal W as a monotonally rising function of the neutron flux density. 8. The method according to claim 1, which comprises using a measurement signal of one of an ionization chamber, a fission chamber, a boron meter, an SPN detector and a counting tube in each case for the measurement signals S.sub.1, S.sub.2. 9. The method according to claim 1, which comprises using a pulsed signal from an ionization chamber as the first measurement signal S.sub.1, and using a direct-current or alternating-current signal from the ionization chamber as the second measurement signal S.sub.2. 10. The method according to claim 1, which comprises determining the neutron flux density in a nuclear power facility having a reactor core with a plurality of fuel assemblies as the neutron-emitting source, and determining the neutron flux density between the fuel assemblies. 11. The method according to claim 1, which comprises determining the neutron flux density in a nuclear power facility having a reactor core with a plurality of fuel assemblies as the neutron-emitting source, and determining the neutron flux density outside the reactor core. 12. The method according to claim 1, which comprises determining the neutron flux density in a nuclear power facility having a reactor core with a plurality of fuel assemblies as the neutron-emitting source, and determining the neutron flux density between the fuel assemblies and outside the reactor core. 13. An apparatus for determining the neutron flux density of a neutron-emitting source, comprising: |
summary | ||
062822543 | abstract | A transfer device for moving a poison rod assembly between fuel cells in a nuclear fuel storage facility, where the poison rod assembly has a plurality of poison rods depending from a web. The transfer device includes a frame assembly, a poison rod assembly lifting assembly, which is moveable between an upper position and a lower position, a plurality of comb assemblies. The comb assemblies are slidably mounted on the frame so that the comb assemblies slide between an open position and a closed position as the lifting assembly moves from its lower position to its upper position. |
abstract | A system for producing at least one hydrocarbon fuel from a carbonaceous material, the system including: a nuclear power plant; a hydrocarbon fuel manufacturing plant, including at least an electrolyzer unit for producing a first hydrogen stream from water and electric power provided by the nuclear power plant, and an hydrocarbon fuel synthesis unit, the nuclear power plant supplying power to a power distribution grid to which electric power consumers other than the hydrocarbon manufacturing plant are electrically connected; a buffer storage of at least one given hydrocarbon fuel; a reforming unit for producing a second hydrogen stream from the at least one given hydrocarbon fuel, and a device to feed the at least one given hydrocarbon fuel to the reforming unit at a controlled feed flow rate; a device to feed the hydrocarbon fuel synthesis unit with the first hydrogen stream at a first controlled flow rate and with the second hydrogen stream at a second controlled flow rate; and a device to control the first and second controlled flow rate as a function of a current electrical power delivered by the nuclear power plant and the current power consumption of the electric power consumers. |
|
abstract | A semiconductor equipment control system and method is provided. The semiconductor equipment control system preferably includes semiconductor equipment having a process recipe stored therein and a host connected to the semiconductor equipment through a network. The host preferably includes a database in which a reference recipe is stored and is preferably configured to receive and compare a final modification time of the process recipe with a final modification time of the reference recipe. When the final modifications times are equal, the host is preferably configured to instruct the semiconductor equipment to perform a process according to the process recipe. When the final modification times are different, the host is preferably configured to check a recipe body of the process recipe against a recipe body of the reference recipe to determine if the process recipe is within an established tolerance. If the process recipe is within tolerance, the host may instruct the semiconductor equipment to perform the process according to the process recipe. If the process recipe is not within tolerance, the host preferably interlocks the process. Using this semiconductor equipment and control method, unconditional checking of the process recipe body can be eliminated, thereby resulting in significant time savings and a more efficient manufacturing process. |
|
summary |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.